From feed84b258c837f0c84617e943ce5562650e4b8c Mon Sep 17 00:00:00 2001 From: zhkag Date: Mon, 20 Feb 2023 18:14:34 +0800 Subject: [PATCH 01/23] fix rt_kprint 64bit error --- src/kservice.c | 246 ++++++++++++++++++++++++++++++++++++------------- 1 file changed, 182 insertions(+), 64 deletions(-) diff --git a/src/kservice.c b/src/kservice.c index 54c2e133ab..e706d70247 100644 --- a/src/kservice.c +++ b/src/kservice.c @@ -41,6 +41,7 @@ /* use precision */ #define RT_PRINTF_PRECISION +#define RT_PRINTF_SPECIAL /** * @addtogroup KernelService @@ -688,20 +689,20 @@ RTM_EXPORT(rt_show_version); * @return the duplicated string pointer. */ #ifdef RT_KPRINTF_USING_LONGLONG -rt_inline int divide(long long *n, int base) +rt_inline int divide(unsigned long long *n, int base) #else -rt_inline int divide(long *n, int base) +rt_inline int divide(unsigned long *n, int base) #endif /* RT_KPRINTF_USING_LONGLONG */ { int res; /* optimized for processor which does not support divide instructions. */ #ifdef RT_KPRINTF_USING_LONGLONG - res = (int)(((unsigned long long)*n) % base); - *n = (long long)(((unsigned long long)*n) / base); + res = (int)((*n) % base); + *n = (long long)((*n) / base); #else - res = (int)(((unsigned long)*n) % base); - *n = (long)(((unsigned long)*n) / base); + res = (int)((*n) % base); + *n = (long)((*n) / base); #endif return res; @@ -727,34 +728,38 @@ rt_inline int skip_atoi(const char **s) static char *print_number(char *buf, char *end, #ifdef RT_KPRINTF_USING_LONGLONG - long long num, + unsigned long long num, #else - long num, + unsigned long num, #endif /* RT_KPRINTF_USING_LONGLONG */ int base, + int qualifier, int s, #ifdef RT_PRINTF_PRECISION int precision, #endif /* RT_PRINTF_PRECISION */ int type) { - char c, sign; + char c = 0, sign = 0; #ifdef RT_KPRINTF_USING_LONGLONG - char tmp[64]; + char tmp[64] = {0}; #else - char tmp[32]; + char tmp[32] = {0}; #endif /* RT_KPRINTF_USING_LONGLONG */ int precision_bak = precision; - const char *digits; + const char *digits = RT_NULL; static const char small_digits[] = "0123456789abcdef"; static const char large_digits[] = "0123456789ABCDEF"; - int i, size; + int i = 0; + int size = 0; size = s; digits = (type & LARGE) ? large_digits : small_digits; if (type & LEFT) + { type &= ~ZEROPAD; + } c = (type & ZEROPAD) ? '0' : ' '; @@ -762,30 +767,65 @@ static char *print_number(char *buf, sign = 0; if (type & SIGN) { - if (num < 0) + switch (qualifier) { - sign = '-'; - num = -num; + case 'h': + if ((rt_int16_t)num < 0) + { + sign = '-'; + num = (rt_uint16_t)-num; + } + break; + case 'L': + case 'l': + if ((long)num < 0) + { + sign = '-'; + num = (unsigned long)-num; + } + break; + case 0: + default: + if ((rt_int32_t)num < 0) + { + sign = '-'; + num = (rt_uint32_t)-num; + } + break; + } + + if (sign != '-') + { + if (type & PLUS) + { + sign = '+'; + } + else if (type & SPACE) + { + sign = ' '; + } } - else if (type & PLUS) - sign = '+'; - else if (type & SPACE) - sign = ' '; } #ifdef RT_PRINTF_SPECIAL if (type & SPECIAL) { if (base == 2 || base == 16) + { size -= 2; + } else if (base == 8) + { size--; + } } #endif /* RT_PRINTF_SPECIAL */ i = 0; if (num == 0) + { tmp[i++] = '0'; + } else { while (num != 0) @@ -794,7 +834,9 @@ static char *print_number(char *buf, #ifdef RT_PRINTF_PRECISION if (i > precision) + { precision = i; + } size -= precision; #else size -= i; @@ -803,12 +845,17 @@ static char *print_number(char *buf, if (!(type & (ZEROPAD | LEFT))) { if ((sign) && (size > 0)) + { size--; + } while (size-- > 0) { if (buf < end) + { *buf = ' '; + } + ++ buf; } } @@ -844,7 +891,10 @@ static char *print_number(char *buf, else if (base == 16) { if (buf < end) + { *buf = '0'; + } + ++ buf; if (buf < end) { @@ -861,7 +911,10 @@ static char *print_number(char *buf, while (size-- > 0) { if (buf < end) + { *buf = c; + } + ++ buf; } } @@ -870,7 +923,10 @@ static char *print_number(char *buf, while (i < precision--) { if (buf < end) + { *buf = '0'; + } + ++ buf; } #endif /* RT_PRINTF_PRECISION */ @@ -879,14 +935,20 @@ static char *print_number(char *buf, while (i-- > 0 && (precision_bak != 0)) { if (buf < end) + { *buf = tmp[i]; + } + ++ buf; } while (size-- > 0) { if (buf < end) + { *buf = ' '; + } + ++ buf; } @@ -909,21 +971,21 @@ static char *print_number(char *buf, rt_weak int rt_vsnprintf(char *buf, rt_size_t size, const char *fmt, va_list args) { #ifdef RT_KPRINTF_USING_LONGLONG - unsigned long long num; + unsigned long long num = 0; #else - rt_uint32_t num; + unsigned long num = 0; #endif /* RT_KPRINTF_USING_LONGLONG */ - int i, len; - char *str, *end, c; - const char *s; + int i = 0, len = 0; + char *str = RT_NULL, *end = RT_NULL, c = 0; + const char *s = RT_NULL; - rt_uint8_t base; /* the base of number */ - rt_uint8_t flags; /* flags to print number */ - rt_uint8_t qualifier; /* 'h', 'l', or 'L' for integer fields */ - rt_int32_t field_width; /* width of output field */ + rt_uint8_t base = 0; /* the base of number */ + rt_uint8_t flags = 0; /* flags to print number */ + rt_uint8_t qualifier = 0; /* 'h', 'l', or 'L' for integer fields */ + rt_int32_t field_width = 0; /* width of output field */ #ifdef RT_PRINTF_PRECISION - int precision; /* min. # of digits for integers and max for a string */ + int precision = 0; /* min. # of digits for integers and max for a string */ #endif /* RT_PRINTF_PRECISION */ str = buf; @@ -941,7 +1003,10 @@ rt_weak int rt_vsnprintf(char *buf, rt_size_t size, const char *fmt, va_list arg if (*fmt != '%') { if (str < end) + { *str = *fmt; + } + ++ str; continue; } @@ -963,7 +1028,10 @@ rt_weak int rt_vsnprintf(char *buf, rt_size_t size, const char *fmt, va_list arg /* get field width */ field_width = -1; - if (_ISDIGIT(*fmt)) field_width = skip_atoi(&fmt); + if (_ISDIGIT(*fmt)) + { + field_width = skip_atoi(&fmt); + } else if (*fmt == '*') { ++ fmt; @@ -982,14 +1050,20 @@ rt_weak int rt_vsnprintf(char *buf, rt_size_t size, const char *fmt, va_list arg if (*fmt == '.') { ++ fmt; - if (_ISDIGIT(*fmt)) precision = skip_atoi(&fmt); + if (_ISDIGIT(*fmt)) + { + precision = skip_atoi(&fmt); + } else if (*fmt == '*') { ++ fmt; /* it's the next argument */ precision = va_arg(args, int); } - if (precision < 0) precision = 0; + if (precision < 0) + { + precision = 0; + } } #endif /* RT_PRINTF_PRECISION */ /* get the conversion qualifier */ @@ -1028,7 +1102,10 @@ rt_weak int rt_vsnprintf(char *buf, rt_size_t size, const char *fmt, va_list arg /* get character */ c = (rt_uint8_t)va_arg(args, int); - if (str < end) *str = c; + if (str < end) + { + *str = c; + } ++ str; /* put width */ @@ -1041,11 +1118,17 @@ rt_weak int rt_vsnprintf(char *buf, rt_size_t size, const char *fmt, va_list arg case 's': s = va_arg(args, char *); - if (!s) s = "(NULL)"; + if (!s) + { + s = "(NULL)"; + } for (len = 0; (len != field_width) && (s[len] != '\0'); len++); #ifdef RT_PRINTF_PRECISION - if (precision > 0 && len > precision) len = precision; + if (precision > 0 && len > precision) + { + len = precision; + } #endif /* RT_PRINTF_PRECISION */ if (!(flags & LEFT)) @@ -1075,21 +1158,28 @@ rt_weak int rt_vsnprintf(char *buf, rt_size_t size, const char *fmt, va_list arg if (field_width == -1) { field_width = sizeof(void *) << 1; +#ifdef RT_PRINTF_SPECIAL + field_width += 2; /* `0x` prefix */ + flags |= SPECIAL; +#endif flags |= ZEROPAD; } #ifdef RT_PRINTF_PRECISION str = print_number(str, end, - (long)va_arg(args, void *), - 16, field_width, precision, flags); + (unsigned long)va_arg(args, void *), + 16, qualifier, field_width, precision, flags); #else str = print_number(str, end, - (long)va_arg(args, void *), - 16, field_width, flags); -#endif /* RT_PRINTF_PRECISION */ + (unsigned long)va_arg(args, void *), + 16, qualifier, field_width, flags); +#endif continue; case '%': - if (str < end) *str = '%'; + if (str < end) + { + *str = '%'; + } ++ str; continue; @@ -1114,12 +1204,18 @@ rt_weak int rt_vsnprintf(char *buf, rt_size_t size, const char *fmt, va_list arg break; default: - if (str < end) *str = '%'; + if (str < end) + { + *str = '%'; + } ++ str; if (*fmt) { - if (str < end) *str = *fmt; + if (str < end) + { + *str = *fmt; + } ++ str; } else @@ -1130,35 +1226,42 @@ rt_weak int rt_vsnprintf(char *buf, rt_size_t size, const char *fmt, va_list arg } #ifdef RT_KPRINTF_USING_LONGLONG - if (qualifier == 'L') num = va_arg(args, long long); + if (qualifier == 'L') + { + num = va_arg(args, unsigned long long); + } else if (qualifier == 'l') #else if (qualifier == 'l') #endif /* RT_KPRINTF_USING_LONGLONG */ { - num = va_arg(args, rt_uint32_t); - if (flags & SIGN) num = (rt_int32_t)num; + num = va_arg(args, unsigned long); } else if (qualifier == 'h') { - num = (rt_uint16_t)va_arg(args, int); - if (flags & SIGN) num = (rt_int16_t)num; + num = (rt_uint16_t)va_arg(args, rt_int32_t); + if (flags & SIGN) + { + num = (rt_int16_t)num; + } } else { - num = va_arg(args, rt_uint32_t); - if (flags & SIGN) num = (rt_int32_t)num; + num = (rt_uint32_t)va_arg(args, unsigned long); } #ifdef RT_PRINTF_PRECISION - str = print_number(str, end, num, base, field_width, precision, flags); + str = print_number(str, end, num, base, qualifier, field_width, precision, flags); #else - str = print_number(str, end, num, base, field_width, flags); -#endif /* RT_PRINTF_PRECISION */ + str = print_number(str, end, num, base, qualifier, field_width, flags); +#endif } if (size > 0) { - if (str < end) *str = '\0'; + if (str < end) + { + *str = '\0'; + } else { end[-1] = '\0'; @@ -1185,7 +1288,7 @@ RTM_EXPORT(rt_vsnprintf); */ int rt_snprintf(char *buf, rt_size_t size, const char *fmt, ...) { - rt_int32_t n; + rt_int32_t n = 0; va_list args; va_start(args, fmt); @@ -1224,7 +1327,7 @@ RTM_EXPORT(rt_vsprintf); */ int rt_sprintf(char *buf, const char *format, ...) { - rt_int32_t n; + rt_int32_t n = 0; va_list arg_ptr; va_start(arg_ptr, format); @@ -1325,7 +1428,10 @@ RTM_EXPORT(rt_hw_console_output); */ void rt_kputs(const char *str) { - if (!str) return; + if (!str) + { + return; + } #ifdef RT_USING_DEVICE if (_console_device == RT_NULL) @@ -1351,7 +1457,7 @@ void rt_kputs(const char *str) rt_weak int rt_kprintf(const char *fmt, ...) { va_list args; - rt_size_t length; + rt_size_t length = 0; static char rt_log_buf[RT_CONSOLEBUF_SIZE]; va_start(args, fmt); @@ -1362,7 +1468,10 @@ rt_weak int rt_kprintf(const char *fmt, ...) * length. */ length = rt_vsnprintf(rt_log_buf, sizeof(rt_log_buf) - 1, fmt, args); if (length > RT_CONSOLEBUF_SIZE - 1) + { length = RT_CONSOLEBUF_SIZE - 1; + } + #ifdef RT_USING_DEVICE if (_console_device == RT_NULL) { @@ -1708,10 +1817,10 @@ void rt_page_free(void *addr, rt_size_t npages) */ rt_weak void *rt_malloc_align(rt_size_t size, rt_size_t align) { - void *ptr; - void *align_ptr; - int uintptr_size; - rt_size_t align_size; + void *ptr = RT_NULL; + void *align_ptr = RT_NULL; + int uintptr_size = 0; + rt_size_t align_size = 0; /* sizeof pointer */ uintptr_size = sizeof(void*); @@ -1822,16 +1931,25 @@ const rt_uint8_t __lowest_bit_bitmap[] = */ int __rt_ffs(int value) { - if (value == 0) return 0; + if (value == 0) + { + return 0; + } if (value & 0xff) + { return __lowest_bit_bitmap[value & 0xff] + 1; + } if (value & 0xff00) + { return __lowest_bit_bitmap[(value & 0xff00) >> 8] + 9; + } if (value & 0xff0000) + { return __lowest_bit_bitmap[(value & 0xff0000) >> 16] + 17; + } return __lowest_bit_bitmap[(value & 0xff000000) >> 24] + 25; } From ff5894922aade8195fc3c02559ece3004eb3d751 Mon Sep 17 00:00:00 2001 From: yangfasheng Date: Sat, 18 Feb 2023 14:15:45 +0800 Subject: [PATCH 02/23] update rtdevice.h add lcd --- components/drivers/include/rtdevice.h | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/components/drivers/include/rtdevice.h b/components/drivers/include/rtdevice.h index c7a61c1994..92b2211243 100644 --- a/components/drivers/include/rtdevice.h +++ b/components/drivers/include/rtdevice.h @@ -161,6 +161,10 @@ extern "C" { #include "drivers/rt_dev_bus.h" #endif +#ifdef RT_USING_LCD +#include "drivers/lcd.h" +#endif + #ifdef __cplusplus } #endif From aaba21c938407c9e604980034a2d0b85799c5dca Mon Sep 17 00:00:00 2001 From: jonas Date: Tue, 21 Feb 2023 17:01:00 +0800 Subject: [PATCH 03/23] =?UTF-8?q?[fix]=E9=81=BF=E5=85=8D=E5=AD=97=E7=AC=A6?= =?UTF-8?q?=E8=AE=BE=E5=A4=87=E7=89=B9=E6=AE=8A=E6=8E=A7=E5=88=B6=E6=8C=87?= =?UTF-8?q?=E4=BB=A4=E5=92=8C=E9=80=9A=E7=94=A8=E6=8E=A7=E5=88=B6=E6=8C=87?= =?UTF-8?q?=E4=BB=A4=E5=86=B2=E7=AA=81?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- include/rtdef.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/include/rtdef.h b/include/rtdef.h index 4a65c27842..e72f9226fa 100644 --- a/include/rtdef.h +++ b/include/rtdef.h @@ -1187,7 +1187,7 @@ enum rt_device_class_type /** * device control */ -#define RT_DEVICE_CTRL_BASE(Type) (RT_Device_Class_##Type * 0x100) +#define RT_DEVICE_CTRL_BASE(Type) ((RT_Device_Class_##Type + 1) * 0x100) /** * special device commands From 1533b8839026c40845d891117b14f520adeed61a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E8=A4=9A=E4=BB=95=E6=88=90?= <1468559561@qq.com> Date: Wed, 22 Feb 2023 10:56:39 +0800 Subject: [PATCH 04/23] =?UTF-8?q?[arduino][lpc55s69]=20:=20=E5=AF=B9?= =?UTF-8?q?=E6=8E=A5RTduino?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- bsp/lpc55sxx/Libraries/drivers/drv_pwm.c | 8 ++-- .../lpc55s69_nxp_evk/applications/SConscript | 19 ++++---- .../applications/arduino_main.cpp | 32 +++++++++++++ .../applications/arduino_pinout/README.md | 44 ++++++++++++++++++ .../applications/arduino_pinout/SConscript | 9 ++++ .../arduino_pinout/pins_arduino.c | 46 +++++++++++++++++++ .../arduino_pinout/pins_arduino.h | 45 ++++++++++++++++++ bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig | 36 +++++++++++++++ bsp/lpc55sxx/lpc55s69_nxp_evk/rtconfig.py | 2 +- 9 files changed, 227 insertions(+), 14 deletions(-) create mode 100644 bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_main.cpp create mode 100644 bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md create mode 100644 bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/SConscript create mode 100644 bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c create mode 100644 bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h diff --git a/bsp/lpc55sxx/Libraries/drivers/drv_pwm.c b/bsp/lpc55sxx/Libraries/drivers/drv_pwm.c index aec4fe066d..71403c328a 100644 --- a/bsp/lpc55sxx/Libraries/drivers/drv_pwm.c +++ b/bsp/lpc55sxx/Libraries/drivers/drv_pwm.c @@ -68,7 +68,7 @@ static rt_err_t lpc_drv_pwm_get(struct rt_device_pwm *device, struct rt_pwm_conf #ifdef BSP_USING_CTIMER2 /* get frequence */ - pwmClock = CLOCK_GetFreq(kCLOCK_CTimer2) ; + pwmClock = CLOCK_GetFreq(kCLOCK_Timer2) ; #endif get_frequence = pwmClock / (base->MR[kCTIMER_Match_3] + 1); @@ -110,7 +110,7 @@ static rt_err_t lpc_drv_pwm_set(struct rt_device_pwm *device, struct rt_pwm_conf { /* Get the PWM period match value and pulse width match value of DEFAULT_FREQ PWM signal with DEFAULT_DUTY dutycycle */ /* Calculate PWM period match value */ - pwmPeriod = (( CLOCK_GetFreq(kCLOCK_CTimer2) / (config.prescale + 1) ) / DEFAULT_FREQ) - 1; + pwmPeriod = (( CLOCK_GetFreq(kCLOCK_Timer2) / (config.prescale + 1) ) / DEFAULT_FREQ) - 1; /* Calculate pulse width match value */ if (DEFAULT_DUTY == 0) @@ -175,7 +175,7 @@ int rt_hw_pwm_init(void) #ifdef BSP_USING_CTIMER2_MAT1 /* Get the PWM period match value and pulse width match value of DEFAULT_FREQ PWM signal with DEFAULT_DUTY dutycycle */ /* Calculate PWM period match value */ - pwmPeriod = (( CLOCK_GetFreq(kCLOCK_CTimer2) / (config.prescale + 1) ) / DEFAULT_FREQ) - 1; + pwmPeriod = (( CLOCK_GetFreq(kCLOCK_Timer2) / (config.prescale + 1) ) / DEFAULT_FREQ) - 1; /* Calculate pulse width match value */ if (DEFAULT_DUTY == 0) @@ -186,7 +186,7 @@ int rt_hw_pwm_init(void) { pulsePeriod = (pwmPeriod * (100 - DEFAULT_DUTY)) / 100; } - CTIMER_SetupPwmPeriod(CTIMER2, kCTIMER_Match_1 , pwmPeriod, pulsePeriod, false); + CTIMER_SetupPwmPeriod(CTIMER2, kCTIMER_Match_3 , kCTIMER_Match_1, pwmPeriod, pulsePeriod, false); #endif ret = rt_device_pwm_register(&pwm1_device, "pwm1", &lpc_drv_ops, CTIMER2); diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/SConscript b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/SConscript index 0d32358417..e1c7fa5996 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/SConscript +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/SConscript @@ -1,17 +1,18 @@ -import rtconfig from building import * +import os -cwd = GetCurrentDir() -src = Glob('main.c') +cwd = GetCurrentDir() CPPPATH = [cwd] +src = Glob('*.c') -# add for startup script -if rtconfig.PLATFORM in ['gcc']: - CPPDEFINES = ['__START=entry'] -else: - CPPDEFINES = [] +if GetDepend(['PKG_USING_RTDUINO']) and not GetDepend(['RTDUINO_NO_SETUP_LOOP']): + src += ['arduino_main.cpp'] +group = DefineGroup('Applications', src, depend = [''], CPPPATH = CPPPATH) -group = DefineGroup('Applications', src, depend = [''], CPPPATH = CPPPATH, CPPDEFINES=CPPDEFINES) +list = os.listdir(cwd) +for item in list: + if os.path.isfile(os.path.join(cwd, item, 'SConscript')): + group = group + SConscript(os.path.join(item, 'SConscript')) Return('group') diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_main.cpp b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_main.cpp new file mode 100644 index 0000000000..07b2e47ee3 --- /dev/null +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_main.cpp @@ -0,0 +1,32 @@ +/* + * Copyright (c) 2006-2023, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-22 ChuShicheng first version + */ + +#include + +int led = 37; /* LED pin */ +int brightness = 0; +int fadeAmount = 5; + +void setup() +{ + /* Do not invoke pinMode for the PWM IO! */ +} + +void loop() +{ + analogWrite(led, brightness); + brightness = brightness + fadeAmount; + if (brightness <= 0 || brightness >= 255) + { + fadeAmount = -fadeAmount; + } + + delay(100); +} diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md new file mode 100644 index 0000000000..70d59f9bd6 --- /dev/null +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md @@ -0,0 +1,44 @@ +# lpc55s69_nxp_evk开发板的Arduino生态兼容说明 + +## 1 RTduino - RT-Thread的Arduino生态兼容层 + +lpc55s69_nxp_evk开发板已经完整适配了[RTduino软件包](https://github.com/RTduino/RTduino),即RT-Thread的Arduino生态兼容层。用户可以按照Arduino的编程习惯来操作该BSP,并且可以使用大量Arduino社区丰富的库,是对RT-Thread生态的极大增强。更多信息,请参见[RTduino软件包说明文档](https://github.com/RTduino/RTduino)。 + +### 1.1 如何开启针对本BSP的Arduino生态兼容层 + +Env 工具下敲入 menuconfig 命令,或者 RT-Thread Studio IDE 下选择 RT-Thread Settings: + +```Kconfig +Hardware Drivers Config ---> + Onboard Peripheral Drivers ---> + [*] Compatible with Arduino Ecosystem (RTduino) +``` + +## 2 Arduino引脚排布 + +更多引脚布局相关信息参见 [pins_arduino.c](pins_arduino.c) 和 [pins_arduino.h](pins_arduino.h)。 + +| Arduino引脚编号 | STM32引脚编号 | 5V容忍 | 备注 | +| ------------------- | --------- | ---- | ------------------------------------------------------------------------- | +| 0 (D0) | P | 是/否 | | +| 1 (D1) | P | 是/否 | PWM1-CH3,默认被RT-Thread的PWM设备框架pwm1接管 | +| 2 (D2) | P | 是/否 | Serial-RX,默认被RT-Thread的UART设备框架uart1接管 | +| 3 (D3) | P | 是/否 | Serial-TX,默认被RT-Thread的UART设备框架uart1接管 | +| 4 (D4) | P | 是/否 | PWM2-CH1,默认被RT-Thread的PWM设备框架pwm2接管 | +| 5 (D5) | P | 是/否 | PWM2-CH2,默认被RT-Thread的PWM设备框架pwm2接管 | +| 6 (D6) | P | 是/否 | 板载用户LED | +| 7 (D7) | P | 是/否 | PWM1-CH0,默认被RT-Thread的PWM设备框架pwm1接管 | +| 8 (D8) | P | 是/否 | | +| 9 (D9) | P | 是/否 | PWM2-CH0,默认被RT-Thread的PWM设备框架pwm2接管 | +| 10 (D10) | P | 是/否 | | +| 11 (D11) | P | 是/否 | | +| 12 (D12) | P | 是/否 | | +| 13 (D13) | P | 是/否 | | +| 14 (D14) | P | 是/否 | PWM3-CH2,默认被RT-Thread的PWM设备框架pwm3接管 | +| 15 (D15) | P | 是/否 | | +| 16 (D16) | P | 是/否 | I2C1-SCL,默认被RT-Thread的I2C设备框架i2c1接管 | +| 17 (D17) | P | 是/否 | I2C1-SDA,默认被RT-Thread的I2C设备框架i2c1接管 | +| 18 (A0) | P | 是/否 | ADC0-CH8,默认被RT-Thread的ADC设备框架adc0接管 | +| 19 (A1) | P | 是/否 | ADC0-CH0,默认被RT-Thread的ADC设备框架adc0接管 | +| 20 (A2) | -- | | 芯片内部温度 ADC,默认被RT-Thread的ADC设备框架adc1接管 | +| 21 (A3) | -- | | 芯片内部参考电压 ADC,默认被RT-Thread的ADC设备框架adc1接管 | diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/SConscript b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/SConscript new file mode 100644 index 0000000000..2539929027 --- /dev/null +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/SConscript @@ -0,0 +1,9 @@ +from building import * + +cwd = GetCurrentDir() +src = Glob('*.c') + Glob('*.cpp') +inc = [cwd] + +group = DefineGroup('RTduino', src, depend = ['PKG_USING_RTDUINO'], CPPPATH = inc) + +Return('group') diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c new file mode 100644 index 0000000000..de36abb335 --- /dev/null +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c @@ -0,0 +1,46 @@ +/* + * Copyright (c) 2006-2023, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-22 ChuShicheng first version + */ + +#include +#include +#include "pins_arduino.h" + +/* + * {Arduino Pin, RT-Thread Pin [, Device Name, Channel]} + * [] means optional + * Digital pins must NOT give the device name and channel. + * Analog pins MUST give the device name and channel(ADC, PWM or DAC). + * Arduino Pin must keep in sequence. + */ +const pin_map_t pin_map_table[]= +{ + {D0, 50}, + {D1, 49, "pwm1", 3}, /* PWM */ + {D2, 57, "uart1"}, /* Serial-RX */ + {D3, 28, "uart1"}, /* Serial-TX */ + {D4, 39, "pwm2", 1}, /* PWM */ + {D5, 40, "pwm2", 2}, /* PWM */ + {D6, 37}, /* LED_BUILTIN */ + {D7, 43, "pwm1", 0}, /* PWM */ + {D8, 41}, + {D9, 38, "pwm2", 0}, /* PWM */ + {D10, 34}, + {D11, 27}, + {D12, 36}, + {D13, 35}, + {D14, 54, "pwm3", 2}, /* PWM */ + {D15, 53}, + {D16, 15, "i2c1"}, /* I2C-SCL (Wire) */ + {D17, 14, "i2c1"}, /* I2C-SDA (Wire) */ + {A0, 17, "adc0", 8}, /* ADC */ + {A1, 24, "adc0", 0}, /* ADC */ + {A2, RT_NULL, "adc0", 26}, /* ADC, On-Chip: internal temperature sensor, ADC_CHANNEL_TEMPSENSOR */ + {A3, RT_NULL, "adc0", 13}, /* ADC, On-Chip: internal reference voltage, ADC_CHANNEL_VREFINT */ +}; diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h new file mode 100644 index 0000000000..b7e61f7461 --- /dev/null +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h @@ -0,0 +1,45 @@ +/* + * Copyright (c) 2006-2023, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-22 ChuShicheng first version + */ + +#ifndef Pins_Arduino_h +#define Pins_Arduino_h + +/* pins alias. Must keep in sequence */ +#define D0 (0) +#define D1 (1) +#define D2 (2) +#define D3 (3) +#define D4 (4) +#define D5 (5) +#define D6 (6) +#define D7 (7) +#define D8 (8) +#define D9 (9) +#define D10 (10) +#define D11 (11) +#define D12 (12) +#define D13 (13) +#define D14 (14) +#define D15 (15) +#define D16 (16) +#define D17 (17) +#define A0 (18) +#define A1 (19) +#define A2 (20) +#define A3 (21) + +#define F_CPU 150000000L /* CPU:150MHz */ + +#define LED_BUILTIN D6 /* Default Built-in LED */ + +/* i2c1 : P-SDA P-SCL */ +#define RTDUINO_DEFAULT_IIC_BUS_NAME "i2c1" + +#endif /* Pins_Arduino_h */ diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig b/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig index 4e4ed80b37..041b90fee6 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig @@ -180,6 +180,18 @@ menu "On-chip Peripheral Drivers" default y if BSP_USING_PWM + config BSP_USING_CTIMER0_MAT3 + bool "Enable CIMER0 Match3 as PWM output" + default y + + config BSP_USING_CTIMER1_MAT0 + bool "Enable CIMER1 Match0 as PWM output" + default y + + config BSP_USING_CTIMER1_MAT3 + bool "Enable CIMER1 Match3 as PWM output" + default y + config BSP_USING_CTIMER2_MAT0 bool "Enable CIMER2 Match0 as PWM output" default y @@ -191,6 +203,10 @@ menu "On-chip Peripheral Drivers" config BSP_USING_CTIMER2_MAT2 bool "Enable CIMER2 Match2 as PWM output" default n + + config BSP_USING_CTIMER3_MAT2 + bool "Enable CIMER3 Match2 as PWM output" + default n endif endmenu @@ -215,6 +231,26 @@ menu "Onboard Peripheral Drivers" default "i2c4" endif + config BSP_USING_ARDUINO + bool "Compatible with Arduino Ecosystem (RTduino)" + select PKG_USING_RTDUINO + select BSP_USING_STLINK_TO_USART + select BSP_USING_GPIO + select BSP_USING_ADC + select BSP_USING_ADC0 + select BSP_USING_PWM + select BSP_USING_CTIMER1_MAT0 + select BSP_USING_CTIMER1_MAT3 + select BSP_USING_CTIMER2_MAT0 + select BSP_USING_CTIMER2_MAT1 + select BSP_USING_CTIMER2_MAT2 + select BSP_USING_CTIMER3_MAT2 + select BSP_USING_I2C + select BSP_USING_I2C1 + imply RTDUINO_USING_SERVO + imply RTDUINO_USING_WIRE + default n + endmenu menu "Board extended module Drivers" diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/rtconfig.py b/bsp/lpc55sxx/lpc55s69_nxp_evk/rtconfig.py index 33c94fb73e..647b22a2a6 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/rtconfig.py +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/rtconfig.py @@ -19,7 +19,7 @@ if CROSS_TOOL == 'gcc': PLATFORM = 'gcc' EXEC_PATH = r'C:\Users\XXYYZZ' elif CROSS_TOOL == 'keil': - PLATFORM = 'armcc' + PLATFORM = 'armclang' EXEC_PATH = r'C:/Keil_v5' elif CROSS_TOOL == 'iar': PLATFORM = 'iccarm' From b3b8c2362d7134ae907ae4b8e1eaf154736867d0 Mon Sep 17 00:00:00 2001 From: liYony <941843540@qq.com> Date: Thu, 23 Feb 2023 08:28:38 +0800 Subject: [PATCH 05/23] =?UTF-8?q?[spi]=20rt=5Fspi=5Fconfigure=20=E5=A2=9E?= =?UTF-8?q?=E5=8A=A0=E5=AF=B9cs=5Fpin=E5=A4=84=E7=90=86?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- components/drivers/spi/spi_core.c | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/components/drivers/spi/spi_core.c b/components/drivers/spi/spi_core.c index 63b50f265a..fca542d9bb 100644 --- a/components/drivers/spi/spi_core.c +++ b/components/drivers/spi/spi_core.c @@ -95,6 +95,14 @@ rt_err_t rt_spi_configure(struct rt_spi_device *device, device->config.mode = cfg->mode & RT_SPI_MODE_MASK ; device->config.max_hz = cfg->max_hz ; + if (device->cs_pin != PIN_NONE) + { + if (device->config.mode & RT_SPI_CS_HIGH) + rt_pin_write(device->cs_pin, PIN_LOW); + else + rt_pin_write(device->cs_pin, PIN_HIGH); + } + if (device->bus != RT_NULL) { result = rt_mutex_take(&(device->bus->lock), RT_WAITING_FOREVER); From 52cb2f0b7efb29176bf4011adfe4d44c4b085f21 Mon Sep 17 00:00:00 2001 From: guo Date: Thu, 23 Feb 2023 12:08:57 +0800 Subject: [PATCH 06/23] [lwIP] apply AF_UNIX feature (#6954) --- components/net/lwip/port/ethernetif.c | 163 ++++++++++++++++++++++++++ components/net/sal/Kconfig | 5 + 2 files changed, 168 insertions(+) diff --git a/components/net/lwip/port/ethernetif.c b/components/net/lwip/port/ethernetif.c index 9b6dd7c896..4ce432ca6f 100644 --- a/components/net/lwip/port/ethernetif.c +++ b/components/net/lwip/port/ethernetif.c @@ -647,6 +647,169 @@ void eth_device_deinit(struct eth_device *dev) rt_free(netif); } +#ifdef SAL_USING_AF_UNIX /* create loopback netdev */ +static err_t af_unix_eth_netif_device_init(struct netif *netif) +{ + struct eth_device *ethif; + + ethif = (struct eth_device*)netif->state; + if (ethif != RT_NULL) + { + rt_device_t device; + +#ifdef RT_USING_NETDEV + /* network interface device register */ + netdev_add(netif); +#endif /* RT_USING_NETDEV */ + + /* get device object */ + device = (rt_device_t) ethif; + if (rt_device_init(device) != RT_EOK) + { + return ERR_IF; + } + if (rt_device_open(device, RT_DEVICE_FLAG_RDWR) != RT_EOK) + { + return ERR_IF; + } + + /* copy device flags to netif flags */ + netif->flags = (ethif->flags & 0xff); + netif->mtu = ETHERNET_MTU; + + /* set output */ + netif->output = etharp_output; + +#if LWIP_IPV6 + netif->output_ip6 = ethip6_output; + netif->ip6_autoconfig_enabled = 1; + netif_create_ip6_linklocal_address(netif, 1); + +#if LWIP_IPV6_MLD + netif->flags |= NETIF_FLAG_MLD6; + + /* + * For hardware/netifs that implement MAC filtering. + * All-nodes link-local is handled by default, so we must let the hardware know + * to allow multicast packets in. + * Should set mld_mac_filter previously. */ + if (netif->mld_mac_filter != NULL) + { + ip6_addr_t ip6_allnodes_ll; + ip6_addr_set_allnodes_linklocal(&ip6_allnodes_ll); + netif->mld_mac_filter(netif, &ip6_allnodes_ll, NETIF_ADD_MAC_FILTER); + } +#endif /* LWIP_IPV6_MLD */ + +#endif /* LWIP_IPV6 */ + + /* set default netif */ + if (netif_default == RT_NULL) + netif_set_default(ethif->netif); + + /* set interface up */ + netif_set_up(ethif->netif); + + + if (ethif->flags & ETHIF_LINK_PHYUP) + { + /* set link_up for this netif */ + netif_set_link_up(ethif->netif); + } + +#ifdef RT_USING_NETDEV + /* network interface device flags synchronize */ + netdev_flags_sync(netif); +#endif /* RT_USING_NETDEV */ + + return ERR_OK; + } + + return ERR_IF; +} + +/* Keep old drivers compatible in RT-Thread */ +rt_err_t af_unix_eth_device_init_with_flag(struct eth_device *dev, const char *name, rt_uint16_t flags) +{ + struct netif* netif; +#if LWIP_NETIF_HOSTNAME +#define LWIP_HOSTNAME_LEN 16 + char *hostname = RT_NULL; + netif = (struct netif*) rt_calloc (1, sizeof(struct netif) + LWIP_HOSTNAME_LEN); +#else + netif = (struct netif*) rt_calloc (1, sizeof(struct netif)); +#endif + if (netif == RT_NULL) + { + rt_kprintf("malloc netif failed\n"); + return -RT_ERROR; + } + + /* set netif */ + dev->netif = netif; + dev->flags = flags; + /* link changed status of device */ + dev->link_changed = 0x00; + /* avoid send the same mail to mailbox */ + dev->rx_notice = 0x00; + dev->parent.type = RT_Device_Class_NetIf; + /* register to RT-Thread device manager */ + rt_device_register(&(dev->parent), name, RT_DEVICE_FLAG_RDWR); + + /* set name */ + netif->name[0] = name[0]; + netif->name[1] = name[1]; + + /* set hw address to 6 */ + netif->hwaddr_len = 6; + /* maximum transfer unit */ + netif->mtu = ETHERNET_MTU; + + /* set linkoutput */ + netif->linkoutput = ethernetif_linkoutput; + + /* get hardware MAC address */ + rt_device_control(&(dev->parent), NIOCTL_GADDR, netif->hwaddr); + +#if LWIP_NETIF_HOSTNAME + /* Initialize interface hostname */ + hostname = (char *)netif + sizeof(struct netif); + rt_sprintf(hostname, "rtthread_%02x%02x", name[0], name[1]); + netif->hostname = hostname; +#endif /* LWIP_NETIF_HOSTNAME */ + + /* if tcp thread has been started up, we add this netif to the system */ + if (rt_thread_find("tcpip") != RT_NULL) + { +#if LWIP_VERSION_MAJOR == 1U /* v1.x */ + struct ip_addr ipaddr, netmask, gw; +#else /* >= v2.x */ + ip4_addr_t ipaddr, netmask, gw; +#endif /* LWIP_VERSION_MAJOR == 1U */ + + ipaddr.addr = inet_addr("127.0.0.1"); + gw.addr = inet_addr("255.0.0.0"); + netmask.addr = inet_addr("127.0.0.1"); + + netifapi_netif_add(netif, &ipaddr, &netmask, &gw, dev, af_unix_eth_netif_device_init, tcpip_input); + } + + return RT_EOK; +} + +rt_err_t af_unix_eth_device_init(struct eth_device * dev, const char *name) +{ + rt_uint16_t flags = NETIF_FLAG_BROADCAST | NETIF_FLAG_ETHARP; + +#if LWIP_IGMP + /* IGMP support */ + flags |= NETIF_FLAG_IGMP; +#endif + + return af_unix_eth_device_init_with_flag(dev, name, flags); +} +#endif /* SAL_USING_AF_UNIX */ + #ifndef LWIP_NO_RX_THREAD rt_err_t eth_device_ready(struct eth_device* dev) { diff --git a/components/net/sal/Kconfig b/components/net/sal/Kconfig index e5395a6631..0197ae544e 100644 --- a/components/net/sal/Kconfig +++ b/components/net/sal/Kconfig @@ -34,6 +34,11 @@ if RT_USING_SAL Enable BSD socket operated by file system API Let BSD socket operated by file system API, such as read/write and involveed in select/poll POSIX APIs. + config SAL_USING_AF_UNIX + bool "Enable support AF_UNIX socket" + default n + default y if RT_USING_SMART + config SAL_SOCKETS_NUM int "the maximum number of sockets" depends on !SAL_USING_POSIX From 6d4764ad69483e4f7ec38471d4b0023df4f4bd0b Mon Sep 17 00:00:00 2001 From: jonas Date: Thu, 23 Feb 2023 15:56:15 +0800 Subject: [PATCH 07/23] serial_v2 rt_device_write/read return data type as rt_ssize_t --- components/drivers/serial/serial_v2.c | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/components/drivers/serial/serial_v2.c b/components/drivers/serial/serial_v2.c index 9e809048bd..c88df7aabe 100644 --- a/components/drivers/serial/serial_v2.c +++ b/components/drivers/serial/serial_v2.c @@ -296,7 +296,7 @@ static rt_ssize_t rt_serial_update_write_index(struct rt_ringbuffer *rb, * @param size Receive data buffer length. * @return Return the final length of data received. */ -rt_size_t _serial_poll_rx(struct rt_device *dev, +rt_ssize_t _serial_poll_rx(struct rt_device *dev, rt_off_t pos, void *buffer, rt_size_t size) @@ -343,7 +343,7 @@ rt_size_t _serial_poll_rx(struct rt_device *dev, * @param size Transmit data buffer length. * @return Return the final length of data received. */ -rt_size_t _serial_poll_tx(struct rt_device *dev, +rt_ssize_t _serial_poll_tx(struct rt_device *dev, rt_off_t pos, const void *buffer, rt_size_t size) From 96a636f0b533ecdb6e936ce3ed2641cc77ffe6ba Mon Sep 17 00:00:00 2001 From: Rbb666 Date: Wed, 22 Feb 2023 18:22:33 +0800 Subject: [PATCH 08/23] [Renesas]Add tft-lcd lvgl support --- bsp/renesas/libraries/HAL_Drivers/SConscript | 8 +- bsp/renesas/ra6m3-ek/board/Kconfig | 34 +- bsp/renesas/ra6m3-ek/board/board.h | 2 +- .../ra6m3-ek/board/lvgl/demo/lv_demo.c | 2 +- bsp/renesas/ra6m3-ek/board/lvgl/lv_conf.h | 21 +- .../ra6m3-ek/board/lvgl/lv_port_disp.c | 75 ++-- .../ra6m3-ek/board/lvgl/lv_port_indev.c | 3 +- bsp/renesas/ra6m3-ek/board/ports/SConscript | 26 +- bsp/renesas/ra6m3-ek/board/ports/gpio_cfg.h | 2 +- .../ra6m3-ek/board/ports/ili9341/SConscript | 22 ++ .../board/ports/ili9341/lcd_ili9341.c | 343 ++++++++++++++++++ .../board/ports/ili9341/lcd_ili9341.h | 85 +++++ bsp/renesas/ra6m3-ek/docs/lvgl使用文档.md | 54 ++- bsp/renesas/ra6m3-ek/docs/picture/lvgl/01.png | Bin 22184 -> 17442 bytes bsp/renesas/ra6m3-ek/docs/picture/lvgl/12.png | Bin 0 -> 18017 bytes bsp/renesas/ra6m3-ek/docs/picture/lvgl/13.png | Bin 0 -> 11663 bytes bsp/renesas/ra6m3-ek/docs/picture/lvgl/14.png | Bin 0 -> 12036 bytes bsp/renesas/ra6m3-ek/docs/picture/lvgl/15.png | Bin 0 -> 18576 bytes bsp/renesas/ra6m3-ek/docs/picture/lvgl/16.png | Bin 0 -> 4849 bytes 19 files changed, 590 insertions(+), 87 deletions(-) create mode 100644 bsp/renesas/ra6m3-ek/board/ports/ili9341/SConscript create mode 100644 bsp/renesas/ra6m3-ek/board/ports/ili9341/lcd_ili9341.c create mode 100644 bsp/renesas/ra6m3-ek/board/ports/ili9341/lcd_ili9341.h create mode 100644 bsp/renesas/ra6m3-ek/docs/picture/lvgl/12.png create mode 100644 bsp/renesas/ra6m3-ek/docs/picture/lvgl/13.png create mode 100644 bsp/renesas/ra6m3-ek/docs/picture/lvgl/14.png create mode 100644 bsp/renesas/ra6m3-ek/docs/picture/lvgl/15.png create mode 100644 bsp/renesas/ra6m3-ek/docs/picture/lvgl/16.png diff --git a/bsp/renesas/libraries/HAL_Drivers/SConscript b/bsp/renesas/libraries/HAL_Drivers/SConscript index 2c137fe107..37e7359d95 100644 --- a/bsp/renesas/libraries/HAL_Drivers/SConscript +++ b/bsp/renesas/libraries/HAL_Drivers/SConscript @@ -33,8 +33,12 @@ if GetDepend(['BSP_USING_I2C', 'BSP_USING_HW_I2C']): src += ['drv_i2c.c'] if GetDepend(['BSP_USING_SPI']): - src += ['drv_spi.c'] - src += ['drv_sci_spi.c'] + if GetDepend('BSP_USING_SCI_SPI0') or GetDepend('BSP_USING_SCI_SPI1') \ + or GetDepend('BSP_USING_SCI_SPI2') or GetDepend('BSP_USING_SCI_SPI3') \ + or GetDepend('BSP_USING_SCI_SPI4') or GetDepend('BSP_USING_SCI_SPI9'): \ + src += ['drv_sci_spi.c'] + else: + src += ['drv_spi.c'] if GetDepend(['BSP_USING_ADC']): src += ['drv_adc.c'] diff --git a/bsp/renesas/ra6m3-ek/board/Kconfig b/bsp/renesas/ra6m3-ek/board/Kconfig index e03a9fc004..5bb91bc7f3 100644 --- a/bsp/renesas/ra6m3-ek/board/Kconfig +++ b/bsp/renesas/ra6m3-ek/board/Kconfig @@ -50,6 +50,20 @@ menu "Hardware Drivers Config" endif endif + menuconfig BSP_USING_SPI + bool "Enable SPI BUS" + default n + select RT_USING_SPI + if BSP_USING_SPI + config BSP_USING_SPI0 + bool "Enable SPI0 BUS" + default n + + config BSP_USING_SPI1 + bool "Enable SPI1 BUS" + default n + endif + menuconfig BSP_USING_FS bool "Enable File System" select RT_USING_DFS @@ -80,11 +94,27 @@ menu "Hardware Drivers Config" select BSP_USING_GPIO default n - config BSP_USING_LVGL + config BSP_USING_SPI_LCD + bool "Enable SPI LCD" + select BSP_USING_GPIO + select BSP_USING_SPI + select BSP_USING_SPI0 + default n + + menuconfig BSP_USING_LVGL bool "Enable LVGL for LCD" - select BSP_USING_LCD select PKG_USING_LVGL default n + if BSP_USING_LVGL + config BSP_USING_LCD_ILI9431 + bool "Enable LVGL for LCD_ILI9431" + select BSP_USING_SPI_LCD + default n + config BSP_USING_LCD_RGB + bool "Enable LVGL for LCD_RGB565" + select BSP_USING_LCD + default n + endif if BSP_USING_LVGL config BSP_USING_LVGL_DEMO diff --git a/bsp/renesas/ra6m3-ek/board/board.h b/bsp/renesas/ra6m3-ek/board/board.h index 2a72bb1037..afc599a05c 100644 --- a/bsp/renesas/ra6m3-ek/board/board.h +++ b/bsp/renesas/ra6m3-ek/board/board.h @@ -1,5 +1,5 @@ /* - * Copyright (c) 2006-2021, RT-Thread Development Team + * Copyright (c) 2006-2023, RT-Thread Development Team * * SPDX-License-Identifier: Apache-2.0 * diff --git a/bsp/renesas/ra6m3-ek/board/lvgl/demo/lv_demo.c b/bsp/renesas/ra6m3-ek/board/lvgl/demo/lv_demo.c index 77bdc0ac47..fd30e46f96 100644 --- a/bsp/renesas/ra6m3-ek/board/lvgl/demo/lv_demo.c +++ b/bsp/renesas/ra6m3-ek/board/lvgl/demo/lv_demo.c @@ -1,5 +1,5 @@ /* - * Copyright (c) 2006-2021, RT-Thread Development Team + * Copyright (c) 2006-2023, RT-Thread Development Team * * SPDX-License-Identifier: Apache-2.0 * diff --git a/bsp/renesas/ra6m3-ek/board/lvgl/lv_conf.h b/bsp/renesas/ra6m3-ek/board/lvgl/lv_conf.h index 382e2b3265..d09caf9417 100644 --- a/bsp/renesas/ra6m3-ek/board/lvgl/lv_conf.h +++ b/bsp/renesas/ra6m3-ek/board/lvgl/lv_conf.h @@ -1,16 +1,18 @@ /* - * Copyright (c) 2006-2021, RT-Thread Development Team + * Copyright (c) 2006-2023, RT-Thread Development Team * * SPDX-License-Identifier: Apache-2.0 * * Change Logs: * Date Author Notes - * 2021-10-18 Meco Man First version + * 2023-02-22 Rbb666 First version */ #ifndef LV_CONF_H #define LV_CONF_H +#include + /* Enable additional color format support */ #define DLG_LVGL_CF 1 @@ -20,10 +22,19 @@ #define LV_USE_PERF_MONITOR 1 #define LV_COLOR_DEPTH 16 -#define LV_HOR_RES_MAX 480 -#define LV_VER_RES_MAX 272 -#define DLG_LVGL_USE_GPU_RA6M3 0 +#ifdef BSP_USING_SPI_LCD + #define LV_HOR_RES_MAX 240 + #define LV_VER_RES_MAX 320 + #define LV_COLOR_16_SWAP 1 + #define LV_DPI_DEF 99 +#else + #define LV_HOR_RES_MAX 480 + #define LV_VER_RES_MAX 272 + #define LV_DPI_DEF 89 +#endif + +#define DLG_LVGL_USE_GPU_RA6M3 0 #ifdef PKG_USING_LV_MUSIC_DEMO /* music player demo */ diff --git a/bsp/renesas/ra6m3-ek/board/lvgl/lv_port_disp.c b/bsp/renesas/ra6m3-ek/board/lvgl/lv_port_disp.c index 82adc6ed5b..8863a50c90 100644 --- a/bsp/renesas/ra6m3-ek/board/lvgl/lv_port_disp.c +++ b/bsp/renesas/ra6m3-ek/board/lvgl/lv_port_disp.c @@ -1,5 +1,5 @@ /* - * Copyright (c) 2006-2022, RT-Thread Development Team + * Copyright (c) 2006-2023, RT-Thread Development Team * * SPDX-License-Identifier: Apache-2.0 * @@ -8,13 +8,18 @@ * 2021-11-24 Rbb666 The first version */ #include -#include "lcd_port.h" #include "hal_data.h" #if DLG_LVGL_USE_GPU_RA6M3 #include "lv_port_gpu.h" #endif +#ifdef BSP_USING_SPI_LCD + #include "lcd_ili9341.h" +#else + #include "lcd_port.h" +#endif + #define COLOR_BUFFER (LV_HOR_RES_MAX * LV_VER_RES_MAX / 4) /*A static or global variable to store the buffers*/ @@ -22,42 +27,13 @@ static lv_disp_draw_buf_t disp_buf; /*Descriptor of a display driver*/ static lv_disp_drv_t disp_drv; +static struct rt_device_graphic_info info; /*Static or global buffer(s). The second buffer is optional*/ // 0x1FFE0000 0x20040000 __attribute__((section(".ARM.__at_0x1FFE0000"))) lv_color_t buf_1[COLOR_BUFFER]; -static uint8_t lvgl_ready_done = RT_EBUSY; - -static rt_device_t device; -static struct rt_device_graphic_info info; -static rt_sem_t trans_done_semphr = RT_NULL; - -void _ra_port_display_callback(display_callback_args_t *p_args) -{ - if (lvgl_ready_done != RT_EOK) - return; - - if (DISPLAY_EVENT_LINE_DETECTION == p_args->event) - { - /* enter interrupt */ - rt_interrupt_enter(); - - lv_disp_flush_ready((lv_disp_drv_t *)&disp_drv); - - rt_sem_release(trans_done_semphr); - - /* exit interrupt */ - rt_interrupt_leave(); - } -} - -// Wait until Vsync is triggered through callback function -void vsync_wait(void) -{ - rt_sem_take(trans_done_semphr, RT_WAITING_FOREVER); -} - +#if !DLG_LVGL_USE_GPU_RA6M3 static void color_to16_maybe(lv_color16_t *dst, lv_color_t *src) { #if (LV_COLOR_DEPTH == 16) @@ -68,9 +44,15 @@ static void color_to16_maybe(lv_color16_t *dst, lv_color_t *src) dst->ch.red = src->ch.red; #endif } +#endif static void disp_flush(lv_disp_drv_t *disp_drv, const lv_area_t *area, lv_color_t *color_p) { +#ifdef BSP_USING_SPI_LCD + lcd_fill_array_spi(area->x1, area->y1, area->x2, area->y2, color_p); +#elif DLG_LVGL_USE_GPU_RA6M3 + lv_port_gpu_flush(); +#else int x1, x2, y1, y2; x1 = area->x1; @@ -98,10 +80,6 @@ static void disp_flush(lv_disp_drv_t *disp_drv, const lv_area_t *area, lv_color_ uint32_t y; long int location = 0; -#if DLG_LVGL_USE_GPU_RA6M3 - lv_port_gpu_flush(); -#endif - /* color_p is a buffer pointer; the buffer is provided by LVGL */ lv_color16_t *fbp16 = (lv_color16_t *)info.framebuffer; @@ -116,12 +94,16 @@ static void disp_flush(lv_disp_drv_t *disp_drv, const lv_area_t *area, lv_color_ color_p += x2 - act_x2; } - - vsync_wait(); +#endif + lv_disp_flush_ready(disp_drv); } void lv_port_disp_init(void) { +#ifdef BSP_USING_SPI_LCD + spi_lcd_init(); +#else + static rt_device_t device; /* LCD Device Init */ device = rt_device_find("lcd"); RT_ASSERT(device != RT_NULL); @@ -133,22 +115,15 @@ void lv_port_disp_init(void) RT_ASSERT(info.bits_per_pixel == 8 || info.bits_per_pixel == 16 || info.bits_per_pixel == 24 || info.bits_per_pixel == 32); - - trans_done_semphr = rt_sem_create("lvgl_sem", 1, RT_IPC_FLAG_PRIO); - if (trans_done_semphr == RT_NULL) - { - rt_kprintf("create transform done semphr failed.\n"); - return; - } - +#endif /*Initialize `disp_buf` with the buffer(s). With only one buffer use NULL instead buf_2 */ lv_disp_draw_buf_init(&disp_buf, buf_1, NULL, COLOR_BUFFER); lv_disp_drv_init(&disp_drv); /*Basic initialization*/ /*Set the resolution of the display*/ - disp_drv.hor_res = LCD_WIDTH; - disp_drv.ver_res = LCD_HEIGHT; + disp_drv.hor_res = LV_HOR_RES_MAX; + disp_drv.ver_res = LV_VER_RES_MAX; /*Set a display buffer*/ disp_drv.draw_buf = &disp_buf; @@ -163,6 +138,4 @@ void lv_port_disp_init(void) /*Finally register the driver*/ lv_disp_drv_register(&disp_drv); - - lvgl_ready_done = RT_EOK; } diff --git a/bsp/renesas/ra6m3-ek/board/lvgl/lv_port_indev.c b/bsp/renesas/ra6m3-ek/board/lvgl/lv_port_indev.c index 817d547aa4..fcfc8e6234 100644 --- a/bsp/renesas/ra6m3-ek/board/lvgl/lv_port_indev.c +++ b/bsp/renesas/ra6m3-ek/board/lvgl/lv_port_indev.c @@ -1,5 +1,5 @@ /* - * Copyright (c) 2006-2022, RT-Thread Development Team + * Copyright (c) 2006-2023, RT-Thread Development Team * * SPDX-License-Identifier: Apache-2.0 * @@ -12,5 +12,4 @@ void lv_port_indev_init(void) { - static lv_indev_drv_t indev_drv; } diff --git a/bsp/renesas/ra6m3-ek/board/ports/SConscript b/bsp/renesas/ra6m3-ek/board/ports/SConscript index 4871d7248b..3c57bc9c6d 100644 --- a/bsp/renesas/ra6m3-ek/board/ports/SConscript +++ b/bsp/renesas/ra6m3-ek/board/ports/SConscript @@ -1,22 +1,12 @@ - +import os from building import * -import rtconfig -cwd = GetCurrentDir() +objs = [] +cwd = GetCurrentDir() -src = [] +list = os.listdir(cwd) +for item in list: + if os.path.isfile(os.path.join(cwd, item, 'SConscript')): + objs = objs + SConscript(os.path.join(item, 'SConscript')) -if GetDepend(['BSP_USING_RW007']): - src += Glob('drv_rw007.c') - -CPPPATH = [cwd] -LOCAL_CFLAGS = '' - -if rtconfig.PLATFORM in ['gcc', 'armclang']: - LOCAL_CFLAGS += ' -std=c99' -elif rtconfig.PLATFORM in ['armcc']: - LOCAL_CFLAGS += ' --c99' - -group = DefineGroup('Drivers', src, depend = [], CPPPATH = CPPPATH, LOCAL_CFLAGS = LOCAL_CFLAGS) - -Return('group') +Return('objs') diff --git a/bsp/renesas/ra6m3-ek/board/ports/gpio_cfg.h b/bsp/renesas/ra6m3-ek/board/ports/gpio_cfg.h index 294477ee31..dd5c5d043c 100644 --- a/bsp/renesas/ra6m3-ek/board/ports/gpio_cfg.h +++ b/bsp/renesas/ra6m3-ek/board/ports/gpio_cfg.h @@ -1,5 +1,5 @@ /* - * Copyright (c) 2006-2021, RT-Thread Development Team + * Copyright (c) 2006-2023, RT-Thread Development Team * * SPDX-License-Identifier: Apache-2.0 * diff --git a/bsp/renesas/ra6m3-ek/board/ports/ili9341/SConscript b/bsp/renesas/ra6m3-ek/board/ports/ili9341/SConscript new file mode 100644 index 0000000000..93f268ba02 --- /dev/null +++ b/bsp/renesas/ra6m3-ek/board/ports/ili9341/SConscript @@ -0,0 +1,22 @@ + +from building import * +import rtconfig + +cwd = GetCurrentDir() + +src = [] + +if GetDepend(['BSP_USING_SPI_LCD']): + src += Glob('lcd_ili9341.c') + +CPPPATH = [cwd] +LOCAL_CFLAGS = '' + +if rtconfig.PLATFORM in ['gcc', 'armclang']: + LOCAL_CFLAGS += ' -std=c99' +elif rtconfig.PLATFORM in ['armcc']: + LOCAL_CFLAGS += ' --c99' + +group = DefineGroup('ili9341', src, depend = [], CPPPATH = CPPPATH, LOCAL_CFLAGS = LOCAL_CFLAGS) + +Return('group') diff --git a/bsp/renesas/ra6m3-ek/board/ports/ili9341/lcd_ili9341.c b/bsp/renesas/ra6m3-ek/board/ports/ili9341/lcd_ili9341.c new file mode 100644 index 0000000000..96b7630f75 --- /dev/null +++ b/bsp/renesas/ra6m3-ek/board/ports/ili9341/lcd_ili9341.c @@ -0,0 +1,343 @@ +/* + * Copyright (c) 2006-2023, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-23 Rbb666 First version + */ +#include + +#ifdef BSP_USING_SPI_LCD +#include "lcd_ili9341.h" +#include "drivers/spi.h" + +/* 2.8 inch LCD module */ +/* res pin -> P4_14 */ +/* d/c pin -> P4_13 */ +/* cs pin -> P4_05 */ +/* sda pin -> p4_11 */ +/* scl pin -> p4_12 */ + +_lcd_dev lcddev; +static struct rt_spi_device *lcd_dev; + +static void rt_hw_spi_device_attach(const char *bus_name, const char *device_name, void *cs_Pin) +{ + struct rt_spi_device *spi_device; + RT_ASSERT(device_name != NULL && bus_name != NULL); + spi_device = (struct rt_spi_device *)rt_malloc(sizeof(struct rt_spi_device)); + RT_ASSERT(spi_device != RT_NULL); + rt_err_t err = rt_spi_bus_attach_device(spi_device, device_name, bus_name, cs_Pin); + if (RT_EOK != err) + { + rt_kprintf("%s attach failed.", bus_name); + } +} + +rt_err_t spi_lcd_init(void) +{ + rt_err_t res = RT_EOK; + + rt_hw_spi_device_attach("spi0", "spi30", (void *)LCD_CS_PIN); + lcd_dev = (struct rt_spi_device *)rt_device_find("spi30"); + if (lcd_dev != RT_NULL) + { + struct rt_spi_configuration spi_config; + spi_config.data_width = 8; + spi_config.max_hz = 20 * 1000 * 1000; + spi_config.mode = RT_SPI_MASTER | RT_SPI_MODE_0 | RT_SPI_MSB; + rt_spi_configure(lcd_dev, &spi_config); + } + else + { + res = RT_ERROR; + } + + LCD_Init(); + + return res; +} +MSH_CMD_EXPORT(spi_lcd_init, lcd_spi_init); + +void LCD_RESET(void) +{ + LCD_RES_CLR; + DELAY(100); + LCD_RES_SET; + DELAY(100); +} + +void LCD_WR_REG(uint8_t reg) +{ + LCD_DC_CLR; + rt_spi_send(lcd_dev, ®, 1); + LCD_DC_SET; +} + +void LCD_WR_DATA(uint8_t data) +{ + LCD_DC_SET; + rt_spi_send(lcd_dev, &data, 1); +} + +void LCD_ReadData(uint8_t *data, uint16_t length) +{ + LCD_DC_SET; + rt_spi_transfer(lcd_dev, RT_NULL, &data, length); +} + +void LCD_WriteReg(uint8_t reg, uint16_t regdata) +{ + LCD_WR_REG(reg); + LCD_WR_DATA(regdata); +} + +void LCD_WriteRAM_Prepare(void) +{ + LCD_WR_REG(lcddev.wramcmd); +} + +void LCD_WriteData_16Bit(uint16_t Data) +{ + uint8_t buf[2]; + LCD_DC_SET; + buf[0] = Data >> 8; + buf[1] = Data & 0xff; + rt_spi_send(lcd_dev, buf, 2); +} + +void LCD_direction(uint8_t direction) +{ + lcddev.setxcmd = 0x2A; + lcddev.setycmd = 0x2B; + lcddev.wramcmd = 0x2C; + switch (direction) + { + case 0: + lcddev.width = LCD_W; + lcddev.height = LCD_H; + LCD_WriteReg(0x36, (1 << 3) | (0 << 6) | (0 << 7)); /* BGR==1,MY==0,MX==0,MV==0 */ + break; + case 1: + lcddev.width = LCD_H; + lcddev.height = LCD_W; + LCD_WriteReg(0x36, (1 << 3) | (0 << 7) | (1 << 6) | (1 << 5)); /* BGR==1,MY==1,MX==0,MV==1 */ + break; + case 2: + lcddev.width = LCD_W; + lcddev.height = LCD_H; + LCD_WriteReg(0x36, (1 << 3) | (1 << 6) | (1 << 7)); /* BGR==1,MY==0,MX==0,MV==0 */ + break; + case 3: + lcddev.width = LCD_H; + lcddev.height = LCD_W; + LCD_WriteReg(0x36, (1 << 3) | (1 << 7) | (1 << 5)); /* BGR==1,MY==1,MX==0,MV==1 */ + break; + default: + break; + } +} + +void LCD_SetWindows(uint16_t xStar, uint16_t yStar, uint16_t xEnd, uint16_t yEnd) +{ + LCD_WR_REG(lcddev.setxcmd); + LCD_WR_DATA(xStar >> 8); + LCD_WR_DATA(0x00FF & xStar); + LCD_WR_DATA(xEnd >> 8); + LCD_WR_DATA(0x00FF & xEnd); + + LCD_WR_REG(lcddev.setycmd); + LCD_WR_DATA(yStar >> 8); + LCD_WR_DATA(0x00FF & yStar); + LCD_WR_DATA(yEnd >> 8); + LCD_WR_DATA(0x00FF & yEnd); + + LCD_WriteRAM_Prepare(); +} + +void LCD_SetCursor(uint16_t Xpos, uint16_t Ypos) +{ + LCD_SetWindows(Xpos, Ypos, Xpos, Ypos); +} + +void LCD_Clear(uint16_t Color) +{ + unsigned int i, m; + uint8_t buf[80]; + + for (i = 0; i < 40; i++) + { + buf[2 * i] = Color >> 8; + buf[2 * i + 1] = Color & 0xff; + } + + LCD_SetWindows(0, 0, lcddev.width - 1, lcddev.height - 1); + + LCD_DC_SET; + for (i = 0; i < lcddev.height; i++) + { + for (m = 0; m < lcddev.width;) + { + m += 40; + rt_spi_send(lcd_dev, buf, 80); + } + } +} + +void LCD_Fill(uint16_t xsta, uint16_t ysta, uint16_t xend, uint16_t yend, uint16_t color) +{ + uint16_t i, j; + LCD_SetWindows(xsta, ysta, xend - 1, yend - 1); + for (i = ysta; i < yend; i++) + { + for (j = xsta; j < xend; j++) + { + LCD_WriteData_16Bit(color); + } + } +} + +void lcd_fill_array_spi(uint16_t Xstart, uint16_t Ystart, uint16_t Xend, uint16_t Yend, void *Image) +{ + rt_uint32_t size = 0; + + size = (Xend - Xstart + 1) * (Yend - Ystart + 1) * 2;/*16bit*/ + LCD_SetWindows(Xstart, Ystart, Xend, Yend); + LCD_DC_SET; + + rt_spi_send(lcd_dev, Image, size); +} + +static void _ili9341_init(void) +{ + LCD_WR_REG(0xCF); + LCD_WR_DATA(0x00); + LCD_WR_DATA(0X83); + LCD_WR_DATA(0X30); + + LCD_WR_REG(0xED); + LCD_WR_DATA(0x64); + LCD_WR_DATA(0x03); + LCD_WR_DATA(0X12); + LCD_WR_DATA(0X81); + + LCD_WR_REG(0xE8); + LCD_WR_DATA(0x85); + LCD_WR_DATA(0x00); + LCD_WR_DATA(0x79); + + LCD_WR_REG(0xCB); + LCD_WR_DATA(0x39); + LCD_WR_DATA(0x2C); + LCD_WR_DATA(0x00); + LCD_WR_DATA(0x34); + LCD_WR_DATA(0x02); + + LCD_WR_REG(0xF7); + LCD_WR_DATA(0x20); + + LCD_WR_REG(0xEA); + LCD_WR_DATA(0x00); + LCD_WR_DATA(0x00); + + LCD_WR_REG(0xC0); /* Power control */ + LCD_WR_DATA(0x26); /* VRH[5:0] */ + + LCD_WR_REG(0xC1); /* Power control */ + LCD_WR_DATA(0x11); /* SAP[2:0];BT[3:0] */ + + LCD_WR_REG(0xC5); /* VCM control */ + LCD_WR_DATA(0x35); + LCD_WR_DATA(0x3E); + + LCD_WR_REG(0xC7); /* VCM control2 */ + LCD_WR_DATA(0XBE); + + LCD_WR_REG(0x36); /* Memory Access Control */ + LCD_WR_DATA(0x28); + + LCD_WR_REG(0x3A); + LCD_WR_DATA(0x55); + + LCD_WR_REG(0xB1); + LCD_WR_DATA(0x00); + LCD_WR_DATA(0x1B); + + LCD_WR_REG(0xB6); /* Display Function Control */ + LCD_WR_DATA(0x0A); + LCD_WR_DATA(0xA2); + + LCD_WR_REG(0xF2); /* 3Gamma Function Disable */ + LCD_WR_DATA(0x08); + + LCD_WR_REG(0x26); /* Gamma curve selected */ + LCD_WR_DATA(0x01); + + LCD_WR_REG(0xE0); /* set Gamma */ + LCD_WR_DATA(0X1F); + LCD_WR_DATA(0X1A); + LCD_WR_DATA(0X18); + LCD_WR_DATA(0X0A); + LCD_WR_DATA(0X0F); + LCD_WR_DATA(0X06); + LCD_WR_DATA(0X45); + LCD_WR_DATA(0X87); + LCD_WR_DATA(0X32); + LCD_WR_DATA(0X0A); + LCD_WR_DATA(0X07); + LCD_WR_DATA(0X02); + LCD_WR_DATA(0X07); + LCD_WR_DATA(0X05); + LCD_WR_DATA(0X00); + + LCD_WR_REG(0xE1); /* set Gamma */ + LCD_WR_DATA(0X00); + LCD_WR_DATA(0X25); + LCD_WR_DATA(0X27); + LCD_WR_DATA(0X05); + LCD_WR_DATA(0X10); + LCD_WR_DATA(0X09); + LCD_WR_DATA(0X3A); + LCD_WR_DATA(0X78); + LCD_WR_DATA(0X4D); + LCD_WR_DATA(0X05); + LCD_WR_DATA(0X18); + LCD_WR_DATA(0X0D); + LCD_WR_DATA(0X38); + LCD_WR_DATA(0X3A); + LCD_WR_DATA(0X2F); + + LCD_WR_REG(0x29); +} + +void LCD_Init(void) +{ + LCD_RESET(); /* LCD Hardware Reset */ + LCD_WR_REG(0x11); /* Sleep out */ + DELAY(120); /* Delay 120ms */ + _ili9341_init(); + + LCD_direction(USE_HORIZONTAL); +} + +static uint16_t color_array[] = +{ + WHITE, BLACK, BLUE, BRED, + GRED, GBLUE, RED, YELLOW +}; + +static rt_err_t lcd_spi_test() +{ + uint8_t index = 0; + for (index = 0; index < sizeof(color_array) / sizeof(color_array[0]); index++) + { + LCD_Clear(color_array[index]); + DELAY(200); + } + + return RT_EOK; +} +MSH_CMD_EXPORT(lcd_spi_test, lcd_spi_test); +#endif diff --git a/bsp/renesas/ra6m3-ek/board/ports/ili9341/lcd_ili9341.h b/bsp/renesas/ra6m3-ek/board/ports/ili9341/lcd_ili9341.h new file mode 100644 index 0000000000..009dd83424 --- /dev/null +++ b/bsp/renesas/ra6m3-ek/board/ports/ili9341/lcd_ili9341.h @@ -0,0 +1,85 @@ +/* + * Copyright (c) 2006-2023, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-23 Rbb666 First version + */ +#ifndef __LCD_ILI9341_H__ +#define __LCD_ILI9341_H__ + +#ifdef __cplusplus +extern "C" +{ +#endif +#include "hal_data.h" +#include +#include + +typedef struct +{ + uint16_t width; /* LCD width */ + uint16_t height; /* LCD high */ + uint32_t id; /* LCD ID */ + uint8_t dir; /* 0:Vertical | 1:Vertical */ + uint16_t wramcmd; /* gram cmd */ + uint16_t setxcmd; /* set x cmd */ + uint16_t setycmd; /* set y cmd */ +} _lcd_dev; + +/* LCD param */ +extern _lcd_dev lcddev; + +#define USE_HORIZONTAL 0 /* 0-0째|1-90째|2-180째|-270째 */ + +/* lcd size */ +#define LCD_W 240 +#define LCD_H 320 + +#define WHITE 0xFFFF +#define BLACK 0x0000 +#define BLUE 0x001F +#define BRED 0XF81F +#define GRED 0XFFE0 +#define GBLUE 0X07FF +#define RED 0xF800 +#define MAGENTA 0xF81F +#define GREEN 0x07E0 +#define CYAN 0x7FFF +#define YELLOW 0xFFE0 +#define BROWN 0XBC40 +#define BRRED 0XFC07 +#define GRAY 0X8430 + +#define LCD_DC_PIN BSP_IO_PORT_04_PIN_13 +#define LCD_RES_PIN BSP_IO_PORT_04_PIN_14 +#define LCD_CS_PIN BSP_IO_PORT_04_PIN_05 + +#define LCD_DC_CLR rt_pin_write(LCD_DC_PIN, PIN_LOW) +#define LCD_DC_SET rt_pin_write(LCD_DC_PIN, PIN_HIGH) +#define LCD_RES_CLR rt_pin_write(LCD_RES_PIN, PIN_LOW) +#define LCD_RES_SET rt_pin_write(LCD_RES_PIN, PIN_HIGH) +#define DELAY rt_thread_mdelay + +void LCD_RESET(void); +void LCD_WR_REG(uint8_t reg); +void LCD_WR_DATA(uint8_t data); +void LCD_WriteReg(uint8_t reg, uint16_t regdata); +void LCD_WriteRAM_Prepare(void); +void LCD_WriteData_16Bit(uint16_t Data); +void LCD_direction(uint8_t direction); +void LCD_SetWindows(uint16_t xStar, uint16_t yStar, uint16_t xEnd, uint16_t yEnd); +void LCD_SetCursor(uint16_t Xpos, uint16_t Ypos); +void LCD_Clear(uint16_t Color); +void LCD_Fill(uint16_t xsta, uint16_t ysta, uint16_t xend, uint16_t yend, uint16_t color); +void lcd_fill_array_spi(uint16_t x_start, uint16_t y_start, uint16_t x_end, uint16_t y_end, void *pcolor); + +void LCD_Init(void); +rt_err_t spi_lcd_init(void); + +#ifdef __cplusplus +} +#endif +#endif diff --git a/bsp/renesas/ra6m3-ek/docs/lvgl使用文档.md b/bsp/renesas/ra6m3-ek/docs/lvgl使用文档.md index c4f51cceeb..e7b12a390c 100644 --- a/bsp/renesas/ra6m3-ek/docs/lvgl使用文档.md +++ b/bsp/renesas/ra6m3-ek/docs/lvgl使用文档.md @@ -6,9 +6,11 @@ ![](picture/lvgl/00.png) -在 `Hardware Drivers Config → On-chip Peripheral Drivers` 中使能 `Enable LVGL for LCD` 选项 +## RGB 屏使用配置 -![](picture/lvgl/01.png) +在 `Hardware Drivers Config → On-chip Peripheral Drivers → Enable LVGL for LCD` 中使能 `Enable LVGL for LCD_RGB565` 选项 + +![](picture/lvgl/12.png) 接下来退出菜单界面,输入 `pkgs --update` 命令手动联网获取 lvgl 的软件包到 `packages` 文件夹下 @@ -18,7 +20,7 @@ ![](picture/lvgl/03.png) -## fsp 中配置 lcd 外设 +### fsp 中配置 GLCDC 外设 点击 mdk 中的 `Tools->RA Smart Configurator` 进入 rasc 配置软件 @@ -48,6 +50,50 @@ ![](picture/lvgl/10.png) -退出 rasc 后,在 mdk 中进行编译,下载即可 +### 编译烧录 + +退出 rasc 后,在 mdk 中进行编译,仿真下载即可 + +![](picture/lvgl/11.png) + +## SPI(ILI9431) 屏使用配置 + +在 `Hardware Drivers Config → On-chip Peripheral Drivers → Enable LVGL for LCD` 中使能 `Enable LVGL for LCD_ILI9431` 选项 + +![](picture/lvgl/01.png) + +接下来退出菜单界面,输入 `pkgs --update` 命令手动联网获取 lvgl 的软件包到 `packages` 文件夹下 + +![](picture/lvgl/02.png) + +接着在env 终端中输入 `scons --target=mdk5` 生成 mdk 工程 + +![](picture/lvgl/03.png) + +### fsp 中配置 SPI 外设 + +点击 mdk 中的 `Tools->RA Smart Configurator` 进入 rasc 配置软件 + +![](picture/lvgl/04.png) + +点击 New Stack,选择 `Connectivity->SPI(r_spi)`,使能 SPI 外设 + +![](picture/lvgl/13.png) + +在 `Callback` 中,设置中断回调函数,(默认使用SPI0)输入 :`spi0_callback` + +![](picture/lvgl/14.png) + +接着我们配置 SPI 的引脚属性(默认使用SPI0),进入 Pins 界面按照下图进行配置: + +![](picture/lvgl/15.png) + +完成以上配置后,点击 `Generate Project Content` 生成配置相关代码 + +![](picture/lvgl/16.png) + +### 编译烧录 + +退出 rasc 后,在 mdk 中进行编译,仿真下载即可 ![](picture/lvgl/11.png) \ No newline at end of file diff --git a/bsp/renesas/ra6m3-ek/docs/picture/lvgl/01.png b/bsp/renesas/ra6m3-ek/docs/picture/lvgl/01.png index 35c7064e3df714b659eb55c8c6ae33c99b28b6a3..5d3c673ff00e6581aec36ae04f031076d4133c46 100644 GIT binary patch literal 17442 zcmd74cT`hdv@aS(KtVyebfhaF(mO$=OA%0MLX=*F&;+Tm(VGYeNC`D`PTd=lqpLOdRb8T24&sVr*wQbbY9-3 z&kma2g2_-mw$3hZ+<6KfC{FnMvrLBu8p#p@m-U4s0|-$V@cpnFvrlDr8Srpp_vLe7ZH7+Wbqhcof> z-gVl;YE5kMKGzt;yXky6(bgx-eS^wT{syY7kKt$ux2vYm-p4}> zluqXm)g1Bl-AqN^z@HGJxniwH29Yy|j9WE3o;cJTc^0j88VSjYBhG0Z^Sr6gg!Lk7 z2=%eht&dZ!wtR%T zS&<>0N4PT>M9UzWN|4p&GC#A`%S;SXdvy$G-hcll0jn~GS04NkQhxw3#)TbH>gKc2 z(Td)$<9|v??is4(PhHh8ruQmOgcm2(da-vn(M#I45rEj(!UpF|z;H3y62onN|dN(WUP-#pnuabk<9CYQ*N*AznX z@e#e|JuQPag|Erv|5R`O2QfGH%_XaSaG>gSJv7RE&QN#eq|>iJoRM8&EvQmiuN z7t?TBX!vcq95$-rppe5+H|Yi;T+Y1+Ezl~}7Htr!u*t?abUYY!WXLtP5?=695bZL6 zZ&NaE+IPZc9di@<_Eh@`f(RPPU0m@*@r!=dw+SNO8D89e8(Y!U8_tbk`V>o?Xd|9= zAfKFXJiHs^oJ238w{Vq<4Hm1;ou7yR!ZZ~Zv0ZN8ndO7dgWj^GG)Ve|mW+M2*Vp1s zK0C@X+DLTb4>U$k`+o7uqkMxO+ zeBR=OHtE|&(!{Sz#G11^)@@bWg~l_6Cx)Ny&eoS5Iw!V0Ap8P_=z^hos{Yz5hH*x? zt_OQBnlbn@I%YI#t0rNh)O-|fI`&e_7w;(5?z`GKhhR8rq!zV@>jT@aw6pp_&|lfhhG+AhBD=wma@(B zm1vz~mR@?s0`aD+xL?fTu*8n!j!9v;Nb0I?wAhp6FQ(3%st?^HH8n-?(9_^zZHV{V ze!n~)+^8YpR#fy%F8Ps;;V12LPfCyT9ILaauh6r!d=DY%#K?2}eY;;Co8Jk;dI}kp z2}v{!rjDNuO|N16?!Lx@!ib_OtjZpJnsbO) zqv-R2-KwHtk3+R5d59YWu6&ttfcJ8{|C=#?`bCONGE6fbYGN`=&m#Hz7BhD@UYySm z+$sh^mamtwtQS!r&77KoKNP*xt%ZoP@9jjIeGLmIUNg`8Bd9SD&lU=#W37Fje9ng} zeqMPHWAF78BDlJfS}<2M{F6d4g&2KSxr~(v!#^cHMYP#VXkc~ma@FUK?_U`o%`CMi zX^u)Q(WPU|rAAIWVZqT)0x)Daiqg)kl9IpY3GJP|$e33vUn9Q-j0@6!c=~Q^w`~&j z;?A#4xVZh85ppMEG}@J`#mb?ev{0Fr^N&fU-(XMqYhrWm zC>wKXRo=TQB{amx6g2>~G1Kmb<3q&ciHY^8C7$6`-DTBw)!+pzxCbN7nb{Wa zy_ld;mSg^l7HA_8@Y#Uy`+$|E<sWW;FH~*&0oKzG7JxA`SA-BN8R@Y?|MV=E3|^D-{0gr}%Jt^0OTSUn`=^(|ai&iE z+sTaHhp|O+w*{5FhWvX1Ao^ba|Jdcc4=pEMem7!!j7D)4LKJ<&Loz(9$6Mp$CeVAT zF!4C$Y_!3KLiAhOgMH!5#@$T(IXQm6vHAET)rbHY;M4mEN&sL~c(F0~i*;m%>&fv! z^KrE;XmAy0$ld%H#p&H0)bDupjyebAm>j>;7>`7b-dOl~wwBQUT{ok}^ZuF2Ij`UNR34B191+_hsC#N@(W51 zRMU@+2f{(4_0Wlf93=(lM10ELIQ13_GqE0SfHq*E+oj{e3pHs$CukkT{)&xq-m+N= z>D_Hm?-chuQtKVctDHbL*dD9Q#$&EYb4FbBg@IRD%T;x|KI}rzh<=mcFsCD^f&ut# z-X=do7VRfzrU+^%oM_VRkqo6)LR#ps~Mjm5&sF_E6& zrOdfS9PhnaWP})M-r*8t|G{4ZA9E=kx^Vrk9;UWgd zljEtGW?%!t=(L5z3Z1Bklf<6dY$YRf9O6bd3e9`qhpI^B4KB;V%p8bsavCJA8a$xM zf7F>-0p38~4{czLlcH`X4xUhv+^kU>yUQDN~h`ZC!c2d%ec#VZN2=f^4ov-u?ekQas?e|ebyw04Qp-lydkQ@_0b0AS5;Z+I@=Ytj z=;SF5^Ti0+<$3gZll*ZaoBap%bFRAHD>ukwFEHjQr|u3_e49b#ygs`iEQ#Vj^heTntAmd~>0@xJka9nuTT8p<8} z$X&|mz1Zj`T6W@F7#T>&v3`N;a29nTA0p$s_(N=3lY)zjE$iOcCDP3etT()y?vBF7 z_GzCh5%5KG37L+Y!kGyw+jvf=wrp=641wz!WV|9%?s4tRZf?#x?O<<(LXV zr6uB78zel-fvANTSs@&6nn#%W#vUg$+zG_>FcM2o=zPZSJCEbK(k;EhPzyhAKg&+w zkRJ^^8fX!}0a9gZ`Wnjho#6uSk>Xvp{eR%GuuF8L#77!xo$oI43u$D(`X>vJjz;hE zT-*cFaJqH#Vm_oHeLdn#_m&A{m%|DfzpmIkhOZy$< ziA_PaB#B|_Wm4YM3{0vNodOeY>a_8~`)|k4I zUEycDs@j^%i!8-^@D}GEDHD~ytqR$vz~i;<%~jx?wKFn-+iu5yQoou8PJXKg=~7@I zz-sM*1qrv|M}fM9^0V(Fa6<)uco$i3L^QEDrv-BG6`fI3X|tF)%{Mfm@4kH^D4FY# zhE0&%yY>tPO8y`tXI#A3Oy}|4QCNT&R}8N$pWVE7_wD!I&!-y?UDIV<=MpBcTOvoN znv%9`u{|6K(UV9I^+SYJ9$kv{p+PiXn(Xui^*;c>K+y}7l z_a+)#n_fku#UhWRCne_R4n95J;9W4Y@=+0I5@j9f!87{`qrSpLp`HrtTz|M;d%i$RLCr5Ts+Ct-omdMgC)rS$>$2 zb1I&um&vmrp!4QrI=)+-SbVnJaB^O#Y1C}Jly#1EiWZ@)T=ock;reEQdrSw{w&5G( zIKUes_1zN$;Zq<)1%Z^l>)Sd{YHm1ryO!N;U!pPV8?aT@iA>7Se|IN4v9Whpk5`0jauY~{#kos zF?GoV^!rbSrk*p$Gc#=#Hldz;&491&Ft-CKG3GCEL&2;MKGS@F-fn!zkgiIA9V=>d z1zz#>v}N z8={|y>}u&p@nKcR00R0_L($O zP-STq<3}~&_UHD$#b5Q*baphjIv;+q2#JP)*Kv|O2|VqhcPxlW){tWCd{s{71I!(c zwn(hFP27`X!WGP6WlA``jw`5D%PU#@W6Agk(}C=e*>hY5M)eR9#w{{TRQRRU_?5Lz zwC9^^w~--Tr8*~3Na<-Ryk1b>1xD1&Z~xZnA+U_G6qW9Z(|bLjDJsbs9d&1Qp~Cg3 zzV1PJ@G+GTnWAe0{RywWQuV!9knrM4cD?PjiFk z8!~U#F3-NHXm*dGvIqhu`p^A+hR6GdS6&Uc3oosMDHRq5J92&YS~k?T9Vq&9ax(8; zkb=l1JPri;XY6I2c2#iZkd?6yWCG~Ha0?qDC z8E9BGKFb6q0lf0F?#|xQ`~@~5+P75>R9K{ZS&w-`5Vs(9!J%ou;CbvE$Be%1^R?c> zZ`elR?n7`?+IxGPqD;Y5MKYJ0q+mEAW%=GKjD%Z4wc0RBsAJjE&u*(*w z(JW9WFTQeGYkbu?wXWAD^(7e{ur-(vnzDd|rFYf6HfN42yFR~QYRB)D>9kt~q!-iE zQ_!(*5Rg3?>tMCQaGpSnYK9O@5J7biO5LlZOUu>%qwnfmF~M7FzBRP$mo;j>%g6d= z$Q%lkzn0LoT}Su{dIe^Mv+_4XH53q)!@+KOiZ8s6fQ7x}Az*JVnS`yf`roq&A&x3` zdF8K{aXonj1)yul&KpyL`pq69rRNS9@w_1OPlkB?lxKX5ejt!gH)sso%X`j@@wOYB zTpwV6mI5u=-k=vwg2>o}w>C1>duu(%StNOFO_iM|niGZUM$CDLaul{7ld(7B5M-1A z;E=Sb$fbe8hf~eX%{4VOPovQ9BK01*nc%jti?iXTGkLMj{vDcbYSe*ZWdOi;p)nhq z>0+l{U3?Fy z!1&4#WiatcMYZ%*HqFSjllNt`cV|%zly_=!4+>T$@9eh2ToOJix%ScoH&De# z^D)HfErYm2D?cAJAMI1#82yZl{!@n=CpgR;Beb z3ARoeAfL&yYiqHlUvxNB@GcuJi?A9Q8le8&@yzycbLY9=cr5ZrOQ)*|ZSlz!aLyBxBLGe7b3#nYb#9^=zi<5M3I=@&+@Ar+@UrQi}Ux1$bz7UD|3 zjTRMcgFYwD{t=hi2bF7_M~M7cE6w;};rA`BVeT%zeEEj{`jx1@&_pk=}h^R_D7-f}} zIZxtjE5pr9^ozFt`7(}B5*sNuoBuFrF?8qe!b5tw%sINT?}B*;yu8Q4=e46!FC?lE z7BRY-W?;dHH*_n83LT@ux!%2z( zW|nvz@_bVD&wKwm;&Z!66!O);S%?GP)d)V>1*w%SM4sj9-9467SUld+)_lV3JR_cw zbxr-(vA?K}?=Ttj=8IyCis3@yo9SRH?k%v$(jE}8j^MraWe)f($BkBF^3&lrdiL27 zvAxuq^Mr)5{bsw+%9m#h6CjMC;*$!Z-DDh;de1SB`D=Sx87(^8OE&k>Z zRIasb;#^BThtUBq(lNvsnf1_d4V111h1wc#ekyD41{=#=d@ipdv5&=r8Xi`Q^>shTJI;N#53Ow4_a-Y{Ker2%#7E~B;EP~NnWCu5 z%!!GuAD4b-k_#2wC$Agcma6faBz!}Kz3)EiJ)VYfH$L?Eh&4F(D>Q`f><=Uzf8GvV z8`E^U%Z5zJ3~9PFF+#rCGxT&SIT=nrn}S?A^WA+7K3k_|+Og?8fa~axF&+(ane)O#1Qb9pNuAf|H)ypwj(x{!gULW6*-!$rXXyf5N-OXWT)L zJ|~|~po=jVHyfYmb+J;rWP16iCf4KZw{X6!x$%f67p*?|*JNPSI;8YC(Q9`7yWS#H z5&yaaIPSY|VorHAkjCup1s_+j{W1qYp4Xj80+ z8(oJu^Ml0S1j9_#H+O)~j8abFFY(*9A_D)z3-I|oxEU)~9a0=gWK*QC42&EPyjHFp zZ@|*;a~csSFEaO2N+S8|G}k~4Iyw1$b93Zz&7a6W_i%izv#r{O#&>aIKZ-#ydaXTW zg!ltca6c8~`Pt#3pVp)sy6V|!*@hqt2m4m_bRxp7R`#LT!3paj z`(p(e6q7DIW}lrpOR<9cx4YK4a$~d5ZM?Fa;Dljhsc0`%0f^arH(KKy1~Yt)bqPEd zX;b*r7PlvusP`2Y1bIx|`-IbFy;Ab9chW8xaWCY{9I@>9&K9tSSP%*<2r-r22woZE zIDlFczXaor$%vf)&^|sO1IZxF)6D=szm%_EzaA=9Q+{^-?B=qu@aHDuqnSr>&NDl= zOA!<`?`oVF2~Jv8=qie09z2O13X%5VZ{vD9$FV-g&B!R&qx#G+y{YanoA5U9ufY!d z2HT}^5@)ndqq$tz4m4)DF+HEE{O2u-qo3x2Ao@&BI?rSF?D{(S_{DnwF3n-j;9ugY zU6ta0ivIseJQcwsObflTyopw_Gu^r#N6Thxas;wyY z0kK`?sK4P&{pnv7$CdH&-q$7!TWe!BAw8WPC-#-U3#A))T)0W#2*vNSle zP39%Mml#3|$tT1QAi!V zjsCgFBmkL<<9GZ+i$oXIpXCGLUe9w>? z_-JxySycqq*Y(p8`@A!`#G-ZDXBi!Xg)jYj!vqNopNq7oK|h(N`F()gH3>MM&NpN> zN1D*<96-sJ5%)48*Oc@@dSj|RS^g&Kph02c`+(Ul-0AbXWbAc?Az`wbzbX-{knI}1 z7isFRtIt35j%crQpNQ>R7hH0Rb#@e+p#Z(k^s`|3h*Dy|ovs)T*QiQqT!Ifp3|W;B zpI+jsE;^ZSP#9B-ud_Sud;^!s&Saa(aOjTrn=NlbJ?F~&{gPj3JGh@r$+drp77h%Q z5*`U!x9~G6Sn4PWYDV(l1%hUe2fu!`^o26JRN^9uBmvVCJ zgfcpV9KI0W<$mQ|WTDVy+`NPStbI#|HlsTMTHN^XueGDA^i@*|ciSSuj%W$;nbMJ% z)z23zS(xE(dupGg{=Q?UJWUa|IP+(ArGX$&)4t+tCeh>BHT|BvY4T%`7uQZG>Er~j zse?b@y!k{zC`vqcedci`6Y60^k_$=uIy`3d)1qO=kR?;h&9~Kev!EqrhOc}Bp1#mL zf^-&x3HPEj2T|m@MAJFbe(v?-23{eHik2YWHzl1m zPQrNy*YQx8rVg+{;a9 z@nXF@sAL4flfAeu?OZdPX5yiH3&$dwTDfulsrl_ALsqYXwFZ-iCHFU3KkQSt{ssGe zlSp#rOSY;a_DK7dNd8Q{ly)!ylcfyOf;B!{qn7|xMIyp@n3nXOtmSC(`tcG`GTy0| z&DO!rPAOCKbmdRFh8jas12G&EPOCG`h1q&8U82jm0fm{fFJl@zrCoE)IU~c_ZMl-aN`Vnw)?ck~ZpK zovvj#X6{+H>@L@EkL90X%E4ciD1iyM4MUnXNFE+<<38fsH4 z8Ch2=ff)#k| zEZBYF96Y@9`Bj#5Ne`FNp&U%Ruw4++?7Kg(pkYs?mKEHRn}NM80kKPliV`hT;2-+F zeJfS*g3W)lzNW3Em@W_btTfO2;~;&BJNkE?ne zl1R@%4&Omjijc2QPNu{!i)kivroCqH>{}}9q8oGX-kemFJUlX$ zcCWdyNvi$aE`r5FH(+Q7A4dv5&90FZb95{Cm+-+F53LzW?d1kxQGgH9d=fuR?K*aQ zE%ZnnXt=khk34xq`q;Jjvcp6x;!xRnF)OrgRwEBF=9$-J`gQiR0e$4va$dN7ts(P) zWzjivIneti1MxWz!9+(K;fv*M3>La;6 ztk$p%J%w;ieRoF+2QpI0{*G45tbsbg6mB@lN}NW{igwl}|10?vOHXD{NPipFXuAK( zU}7-zzDuc$-!Bq){Wsmt|0nBk zknA5wV#5#@LY!o5vmElF5aC)OB(37O7n|#y;9hobcsTHlP0fRidWt=b^ski_CiOC= z+$7$r=o(4Mdv{IKlB9Dm63R*Xn(M-;UYj_gY3xmRi^)$)bq@5L&#p^+$2T*-`(c?E z=7F7>4~R#cu|@3$K0sQsS-VRJbN#)Yr(vCe%y$f|u;ujcnMA{3`z zze0Zl-ZiAbDK{)@wG=nTbYU0(07@>bqMsR9%NKcUe#)*BPu{iOo;`QGHxVZnwYb$C zvqj_NEr1MW2j1FCaq^nj5;ZObW+zNYY5u`9b$_s^Fi+Zxv0I%v2B$ZXd;+=ZLG%vu zW9sJt)f6mFolr4husTFdX$RK8l6so|33Ohk2s;uz)LKw>CMoSaH$-2P1N45T`u?da z4n7fFXlNT=eon1KTL>TG1?@GWozrDwaUD(rfuODeSLX71}*Qgzq_ z=g7d8ggwAryw;I{*V1FgkEAlAUQgI3;m&_4Oz~@UVHH*^Ml*%A^x|U9TInN!Zc&pa zBYjuTA|~<~2l$br9)+&Aq4}S|>VA4q0v0U)alKp7JMSjothl{-hOW!pZzTb0ka^n58omX3@naS%5rtYLtOxmVbFY zc+_z)dHWw_e_`=8bF3Gok?$a@8!NSI>w@!g7B}*QD)Sjh*9W|l{Hd)B;9<6B0H;Pw zr%yQr?uuA$Y_@o|G8nz(BZf@w_6Gv@Gh0<+x*OU`fBYuPY$<9pu4ayFs z7N#YZ?gJ&m40sj?;IsuPL zNsP=l`lMT{u%S;)j{lVZ#n%r%-I+-{ywZvd2uRJsxXVQ}KQonM&(^f}9)yW}e}P3m`Cb3L(Q9w^VrSy@C}WHiY4h$7dkfDM^2Kdwc;U zt8l^zSrZo83CB+m6(5S@D~a-nD9HYiD!zZDHWdP2mHN!c8#fZ7TX+xuRrTt^=s~dW z{WSOSJArRF&MVG+Pp_f(3Xf+CR9jDZ2Jp%rT5(GE>#a9}_7^AP^ul9_Ypn2(_Md>q z&4R@oU3n8cv#+i-CTszt(a(f1{)*{q@H|8|8U*>8<-gxNTF)<@nmKwV?FFavX8{0| z@6*$&kttxX(23#&2y8L4aC2aam}ZS%a(>i4MMk$vT$?o_BFe6u2Vs)jZ>SbHGDG8h zGO}_PS=-QA%mKX1i;i@6ILm=X#E@=a&q$r#&={~FaXxMCpS(Df^djIxXrS>Kiqg{? z=@%5Qq>KpW?bb*%wDNmXsCK2U!4`3?F94)YIPw|CTb+l5Hvj>zFav``yQ zF5WO^KeL4qDP6>xREU|Y3!wn)Xpu6=G+9RQXnxNkJ5pLdO5(2g0b&9eP#K7Wvxp$8P?n7V>abBe@pQ)LNw~nDbKJcU$iXe4D)@cI@X_$ojuFE z&O8J&H})4aHykT6aJ379LkFy@wQdc=MZDPQ_pU`x;HvA1kib6q>=`H*H+jXfqA=JeC#{o|X;YXSx7*&DroA92n5^$`Bqo(h~Tb>2ovRR0SZfaM>A<%pW=$M$0 zdPu2Ojz<1h8517PZ!J<+Rtztaun|jo$ewh12aXJ>UQd*c;NC03X9RLo;xjdR2Y3G7 z*_(KLA9@L32B0Ga2AwyX1hny_haqQM@qh(_`Ak43Qxf1su|fCgx4&iAkd5JfjzE~$ z3S$2;Yr*{xOyRN-&eYTeQz+aX+;=-9=GtJ5y1q*XO!{`0gQD$$m-S=-fNtY%P?&iX z%VK1L9SsqIk5i54flk{rqc&$kg*NT35z?a~$F>v>x%|fCR3BGjr5F-9`>GwGYkJP> zOTs;RpUW&8$j4{^eYDJVmq%Y3w1p^Y2oI(_q&9CQe@}us|I@ogV9aD-KdU?;qP4<;@I5i{wK93J3M8`DOGw$3_*hD z4dUBW1J^;dM0qiU%VSF6p)UQo1vrfG=K}$s=g*8HHBzL;G22&J1KfI#FRiLG=11b= z*?UqpM7P^|WOkpL9;Vyc8y}TP7Gtu-VA8>$cXubK4gZdmlC8&;;Bw`EhqT!}4c|83 z%1mHoY&K20Mo*2P-5P%tY^e9+!{dG^cg%vufDJn&X%lZb5@wySeP-o!JUCS90=q`a zk1uHlodJN_)wp^y(;1e+*ec+I%BrCbwgjsio&)Kx-DY$}>vu1J&TE zU5_x|tb@VSE@|ZJ@#oOJhY0lE$nXSdKg=afQY}x;e!WbTY^al~9BU440jG-eos=dd zoK+L>o2mbE13^H24H^?74H6CFbc?*yXDAOc{F|rJmnPh42Y5>H`|HEKlZGQO!QWl)5l@(jrnLgd`JcR2PaMS?KGeUCx8EP$O+5b9 zxeqqvhCc=>opzIY>;vDt?I{1k548MLLY#=sVY?=s!qg2w6I$}bZsm~gH z{=PnkPX4@#ujn_W@nPFZMRH9fJdPuJP|EM&_nY_l7!ES#6Y2sHmmSk9UdPU$SU$e} ziuhC4FM;RKi5~^Lk7nr|3);F3r&Y@0W^ryTg-gv1lL6YVkfQy`wQ9!QlN|j_gLGd_ zGOs3B1M8^ABrLSl;+8X=0B89dKmNqf4QA@f-}K}OQi57t&u@h5)>fF^S8z6JVX zj_sTg-CYTXH7KQFv&Mw57Rb+~w>#p@*C_!nEiQUlDNRjXxd^lSu~RJxx{qowBe(L3 zf2^yoYdaqQFJ1k}tz*;F zHB0fgQsi=h*_^&6^VD6Ax@XuvCU!KKz&a>c_ss$Y+DuV}AKKm8uyUGB?QB7m1PG0#>I zZ2^hyFF=l-rJooei7@^TZkEWMpE!c2WWSZgW6GoF@VhfZv5h7s1<(0 z!d5N3+mn`@-vxQ8n!g~Ay7F)iC7j?18DLzV*O63jOwFwM^TFWBDb75``L#q>#h?}T z<8)P`bE0@hhSJ2q-eCXqQk;_>jBX5U`-m1G%zd$2z@RJDI=ogMP6+H zI*WZBF6l=?i!Qkiw6p7GS3=CW(?1lo29@B0`ip#iIey5|dpU1}2--AfmKZtoa~5|uV(4PS0C-&L?7{2SjKS-H@gkjXAaBDl&iM~!gK-eW-gP! zTaiH9{r`c74C`rWl*)GB9!-yKXi-Z!*5oi7h3yn8saQC?hgUzlusW-!CNxs~jD@>{ zWuqA^RcdXAod#+c{QSZr14HtsM0}nXzECb+p1TU(I~(Z(xf7lWT19F2899H3PX>H2 z_)t96g_GT+iRHRv2r+Qr89ZTq?jhvpsJ_K+OjE$e7Vr9pjE=Vb#RXTAO`j`!aJnv2 zMfoPZ;!L(58z7Y1kSjaz(<#^o>S6v;J8VIMI-LZiY&yQvH>3m(03|TyGqN04=bpNf zuoUzOn1VKNYGmxL#YdTXpJ>;9{qq~SElwW?QKxSpp zoXePpO1%X6OQ6SJHPn#!QJeICQQBaqpUM{DIW(|KfVcddO<&@7CiE`>^!YI_f0!E? zaFPR3ng88665F{j*9K1*S#RaHuS-E%La!-q`J&EPr+8 zO&Q;%LKhw90wGep$kY?9x<8L;6D@A;gu=%kWqy0VS|CzP=`fTqrfEZ{h>IbwB0)j` zDP0#ycmbkCv2AoS{twk>)`jKoj5X7ICJR1m-QKQI4&UXw*rGDL6GjY1<@Gw828%D= zor5bHz1^bG%y=0`g?yrAD=^NQ9{oL&%!_C8!p$9fUQQDk<6quT!fqqlsALu#6(q>}VKZb~_kg05!$;2&BT@2mqK9)eLBEeH13e6#)jNa;Td;Y#PVv0OW#BJ5UIFC)-7aRu}hNju@pL&xuoa&3U-CAXwE)mtcUKwvbZKyi86!&L%RyMZD|BxiC zPLh#=W>n3N-*1}En~pim6g=Kh|507=&%=)39iUyxFKg7kS} zD15q4PG_!U_-=EVz_jx}W$0HmtK*NhQML&W#s)bXy2`HBPx_ijOqxOHqrIhlo%8&K z_-jDw9Z%E66(4$U79>x9Ujuzd6T|vjn6*3hMStUIdrYFdg=FGw&*XvMeR2;4rQKY# zfUt302OX-QD_dF%U`J5PrDCQ8P#XNz3=E<$D^P zT{edyXTgN@?rgT*y2G@HI*q2k@hv1pEc13@_RH%Y`0eJ6Z{t2Tnn@}+R8sdqyY=?Bf3d81dm9&T`E@!GGu3%K;-(Y3#*VANX~_S~y$aCFJ$3%zyY+kW$*b-vvYu z{5oB!F8`>|TAm3WUw)xT-Cu+8|JG+o3g{~rgw{v8+m@zOGhNXQu~D-%22|Ab%;r=Q zrhohQR9?ybl5)J3HYp!=XQ=C=N|SGEp}rZGv=1^$N!}I*;Rdqjh3MM_<4B6AVWtEJqBT58k;I@|%vwRx8bO*!+sy?3bC{FK>Nhi%_dK zr`=UOHmJ0@~hPO#-fFcug+m@1< z6sJ=p|HU@KcHz6)Of{ID=98b(n4nC6Y05tDa|}V>rJp(H0I+k11y_F9gFoQSn{F zz%AKme9km_>a_}KDW?BVGP9`e7c0`!bcxs4&q5(|?TW?dR;H%z5gR}t`)K{UiFCZ7 zp@Kb$_FX5tfQ5j;OMc*Ug63JxENjkSOa_g`N?^1WFwOYWwQ}2So^;r(No{;uK$y~nRyBKG1_?}5{^n#?`q#D`HKx|rifDT4@ wMoW;yjTVu4FmOxhc7?;Z=E|vQ~&?~ literal 22184 zcmd3OWmr^g+b)f?w9=w92uex~rIeI_grw4~q}0%*fJk>Y(k0y>NP~38P($}HGkc-W z^X}(+zjuGf{;_}T`3G{WS?gZ+bzNuOhN>#d65vwdqM)D<$jiyRLP0?*KtVy3y@Lh( z##X8&4h4k)MP5cy!!2cRLB)pJJWcSj%)>kiRkxhi{Ru6)3Jz)HMmyuv<)K{dunV44 z9f>24nY#K@Z3}HQtOZ&2yJ(Deqoiwz2ypNoNC$|X=b#pjW{6;X+?5F)`nlr#szmJ6 zjTpicH+R2)PGb9o$2;k8*UI1@bUQ@FVJ4bUnq;5GF3|7XMQTi{tH5DLR~{>K_O0;q zB?NToP!N0~$dE*l<}V{7v)f6ct^A5%~0nAG{Pnz5T6>H@<3U zNJt2)7Q$v0M{aMHVE()F%*XeB!L9X?!|4t520hmHi)|g_y_c7i!EOS%k2Z&CN|%~L zKP{kpUR%ssR&dShwvUa&F6%v>LUS-uw@<8pjjPDWKn6c!6vQ9Rej56u8m*NFSFX6S;nW6N43ABFgv@c+z{AeVPvElx4|elajXw{ zCrU#lN(201qzdozhufIqF7m&qRaEwjR)Gt7oTAt<6pK62@`!LQe-4;931>olYh zlH3OFQMW5M@+l`y%FzkOVo82_NXSsK4cdgN{9;upNcBSzSOMzd@JgZnW)FM5d*5q*H?7TUy5;?D z*F{E3=h#BA+vO+pc}Kb}#lT*nowNYDwl-^fVaf6XNmz*o{YAsRe=F!CUY(s$I~;Mt zxLEH&J`75F;ecy|cpCF@`D%QeYeTP|UkI!pk1Kf8lZ{<}fvAXve5ya~BYZ_+x6n04 zPrtm#9kD{&+7WtzzW!>3{csofK1IQU#jX!4(=gHcCeklVN|!b9M_xcy&%MV8@ zv~V5Q#YR*dPPZ@=PaIL)iHt<<^xR_-jIVGY5c)u0s}gA}){NV&gS6DCukjP9LuoXz zRb>F_bRJKgoIVkEL9diGT+vx+tiQ8-|M9z(q*nJ!jC9w?3XS^Diuxix?!Ua2Ik*VE zfxU?pryJzo!%WnR%ow_4`@1TivZ$;;Db7<}d+_Pk~hRjG?2+hae=?H*{$ewUAN zg7GVxn66a0P`eD*?UY@)vHzDPi@PmhdgQC?nD+BEQ4gniJij!$)wPQS+u;?)#}^6| z%?F|pa`Zmqdlr1V5K6{l`UFpeGXL#c#ZtVV!EB-aY>9&a?e5GXw+D;cx$HEP$&?*R z+u_)v@$c&pt+mE8aNXX%(=Izj!$XXOL|d?)8tRd0lgkDBvL-Q687}<*Ju<+&J}l6h z$bpZOHTmp>V=Ul=GT{S)n3$L#&L#tK^}Ok56L)`JA789#kG(bU&5AN(1h7hd+gooP zlQBfaQTx8UwzA0WqXx+>$nWS} zh>Q0q0XL#>*u0OkHzv4TBPcEQnHP_wQ>-iXD`(w!|2S~P>M^b7v^1v6eBW~m1Rda` zF~U~i>lo?CRdI(4=KZFRogPzQPkNWKRf4i`=o2~@6^9=pbiJ3S+3^m&@S9O|&-v!C z4dPc0r=zIifyjHaV(aPOcZbieHfpz>#x8&j0!>@4>0-)wf3<6OfJ$f37%I5fWYxOj zd>kf^>^g}I39%5Rd>kWw=`tIdkN~XQ`-?`mt+K2%!!Bx9LqGml{NEq@NRK~<|)8i+zouL$F0{BC@XsjM>>E^5Cj6jyRKlLZsgHD*{E!i zpYDk8WJJyt@3Y(LUP44_?VY$IRNUNz!k^ff!!JqI=yK@_Kw~x3^^SP$27~^KB4wou zZK-cQCdwFvpXxu zeTKFc!};BaXPA!gNA%XHq64=F|NVMVL=e|j+_u4P{A;0P$n}!F$fz3!)y9aCV z;oTz;0R)DSmbr@cN8xV>umDyoE^V>bd~5I>9VZT+3gnLHE>=9jq7C%Ga1V>#J<%4V zgfl!Y?Rhim`X2I2lhX+r{9^tbiehhfSW6mfB%2RDy`eXyQT3p^%#I}VWXfZ?yvgot zb4AOw@Ll5t7StZ4)%)`&*M$T8X@n2znSRujpao2ss)~Dqb{XhpD-{Pf&iTq-IC%85 zYpmOdUON`;ufGh#Hi!L}ud`3Mvrj+H7y2#0UF)78u9B6mgCQ!LQ&W!=M}`;R!6C;s zIVfjC$s8y?9tZR$aXyNH#cgFo4#QkxjUJ=}fopB($FEkH7u`-#E*3#9b|JT|xka9P zz9BRhB;M9+I4xKc_=ZFKL!1ZV6eE5Uf`ZRY(B}eE#?e|axv{DQA#jEdNgfY&T2E2b z!28%+fb!q^MZl@&;q&mq_bCWl)O~NEEjkBe1q1d7+7;-lHMcQpFY)BQi;qJEwWgm? zaBid4(IF);874kzlhdsgc#wFyp}9eoSniyNVXPI&QQ9rE`N817ONKoS%xB;rymRJg z=}maKrqyn=zKxT%R&VnEZEnze1;`!m1-^!kHpelJF%%Ttl zH}YVw?xpmn&T0F=HnM(*S+MVayF3pu3gbO|ee+#;KLU;110{WVYZNE0LvjOvA=3n` zbY6kxfd{LMKISK%v>ODP+_z`ON!z|#o-3$B9Nr@^hLH*F=kM?1`9ejQL<0xvCupl; z)^6`Ccf_N!mL77C2zbbzRnz4tIEr>Xmgr4Q@?yYt-_8v*GoyS8eZ`s6$Rt!oi_8@AlC+0 z+*h8aIcKBqA5GC4&Rhxq((np{)$_n^h#VEeESP%S&?vkY z-YzF|MRq^qg?`%)|A{qUO;Ngh4R%#bYIZe`^Q~#uD&el)1D0t{qj)T`O$VI#3ZHkP z>8^_7o{qWsGl(0S$Aq|T>Eg->ZK#_N2jaGqM{_F6GudT_KMA%rxux5+E`QElm~2PR zBC7ViJcV0VzdbxrrcgnW^?e#Q#r9X+M|S}r!WF4@3fy5#9>ynlen2>6- z`}@Vkp~573E(yK*n$-nfG>8@!S%UK@YwtN$f&b&>Q3aJX zy&h}VGBgA7{e)(<;E(QpkQ45e)tZCV)$v|8Auu`K3Ap1q_tuZMu$kJA4Ng!dy$&2Y zv&ojD?I$Jqf)x%>)U@GN3{m&H?&WzZ$Wd8fKN2q!3P#sQci)ad-<=)Qj&=zdkFmYf zaW1G8^ zA4COGu6p_SG5qL}Lo^K-)?1!7I~kE!Z{~^t0NnxXQhhGB_lTzyrK!uA$evW^>r@|> ziu_==%RWoUcJnqcM)w44lx5iusya+Xcg4k1eY&irKKOVH875&b^-T?DmpSl(Cta0? zR<6O#xF)s8^Y6qzk@6pkw%|-}Els=;J@=o$@8{a@!Nv=Q=75&+!L~%8VCMZS>qkwu zUbktn_S`NejK4;uKH|@)G(9*0cBnhL;uPK5TB-hm)6u>r@a5-mDs^yDPsAr!+d^{v z$tJ!vI;Uui zZ`MGUH`Kb%({+DA?0;>mB)8PV)GJSAMV2n;8T-rdC>7{EMW8ZwH^y#}EKFbYR7-M* zdo{d~yInZkIM2+$O|DLdcXykGF-iZS<`HQs2l{MdgY9YB6Cox5geK77PpHJGN75~i zcRP@Su+ackk;M1i24sLx{p8$S# zk!-5rid!akL35>Bh@kyZKSupPY4Dd!Q9UMiY0 zJ!{x{bQjc&Uk_`&Vc2DGuG}83gIsR+6B}UrL?5gKUr-)Nf2PVwgWL=V-ac7O^V-3R z`K3R%_9Ygm;RyR?6T#&9VeOegFWzN746iMGY0dRw;p+>-wkS7|_OI25SA1Z3GY7Z| z9rtQ*F!wa~wSpk8LOO){W^2dsX3w_~xi8?!^fQys^VkRnlq}MvQn8#{MR{lzi&(je z7Vyf~^%KAFxH;~@)Al|T^ZYQm7_4#S+T^o=eP>g4$>TmmrRZdL&-ixn`{$!U%_u+N zvOM<^VMKcE&u@CHFD}u%U63A^yU9dHGyM;|MO}$O9ye0Q;WXSvSM7M0M}xtaHr)uk z_%yF$qs!|uJ+bO|9h%pxn<`7vH>bzXo1%^1{7|9eTs3!;Rh}g}T8qZK;i*Qd5K zMlX46->knc`({G19oe5=z3p*)>CqM*+`MrV?`9seCQ|g>-T{+)IkfD1+w_&|)qMk^ zl;WXhURQ~f5_!Yvm`le*NB8~5eO@Z65)l$s>d_JtZ%p*}E389QlnvTqzaRP|sc+6` z4n>wk%9ez>A~*Mj4O(vqyj_mbd>(05b1$dU8Fs}!cfD%wF$BAPTt16WLytt#s2qBJ zekCCy)KuxVh4Fy$D0vNdpJ8uSH)G1hTLM_LymCJ~g4?)LUw!A`7VC}eq&&osYl7FG z5)G%HZa027y8}9Y_0#|n*>#0V=4n5F;}Jp6?d?zR?Mz&ML(_(Lxqk1mLQ5)X^ho49 zzJSG*ZuQ&G;>$HVT^SjtbNxgZmddqX6y;$fsfe7?`nt#E3cU67tkj#XzyQ90(JK06`&xn!8n`<@ob-;rB4y-k`}D_q3{1R;h$oiOM|9!dHk~> z+rzA01~?Z#E)IuQFy_+f=4hh~TBA(c?9<<=Ae@NPX~6#UF!bJ^kxO^@@gNwAKwGgu z+Z)SuC8VhEv}lb!6N=R;rCW{H?jrJEUlmWcwny5Ff3}IFiLrrcl_Kc02q_M$24pWe%RPVf z4$lZEs)+reIrD*y_J%}F;zB|~lu*Wykgbv`^pGX}@S?2YDjgM2s%yzA&>$JJ^o)HJ zZd>5@j@$K+@oH(0v>Q4mOQ=fo7CzAS`|ICOVgbGv@*(hFyk;V_a-^{IZSgxLkq7E# z87(bgHCA{ZX61jxczNz_R*y?UV)r!4Yg??4{GTmZATdYR1LRdMSO-TRUCxJ*Gj~)? z@!ps}ZOi0L2>*e&lW=-%DO6Q4i`SOYsutO;BknD=)QvD86=`@{VVkmXll{4mqLzvx zY=fk$di?8?G~_rhY4c^SCCW8(m>FIkrzFT)t1U6#_+1&muvGW&7nIdy*c@P$zRx^R z=0j2JE-@aQC^!6-mr9Z~YWe}8yTzyL(=uSrYifmsrh%7dDY-?ZQ4`o;DS07Q^0SA1 z_AMoK!N=AQ6CX&attyA1!EXr+*uJwGo6jN0w;oe_3h8cXaFjbCg};8N%Qb#yPg-Q} zWm{*Vy|A~y`IG7w)uET`h)3oI`fkL#O!aT58zdz~$=E9!(z_QMDql~3DCb`6j>cyqv)|zaeqCcMLcs=ReXZy&z@A-it1w?iaF3puWU1;=e2gIBm(&(+80+Npx zwD#RZ-6!&^IJVD$smveC4-Y?>GJIT`UVX)DTsUlqVuoiM6Lb?ogYd-sDw@95B?@{N z3GRoUBtmXem^{5So)TSzS$N*Ud*cX0 zk@KR2HJ}Od)=}Y}4^RcDGUQ@1d>W&MJ=(DyFcV<6_@uOFZ`}-aP07%n%*YBV#VN3B zv!(Kx6=|zfKj8uq;z6zOx}nSh0gg|dISZ7jO!F~glBX{)Kb=Jg@Oiz`_0c^ZV>#8| z+uaYHYjv(t^WQcgQ~tu(-)y+5Hs?Aeb{Q<=2P~io{9GUiVweP-C zQ!KpaSQS`1p?doCjjzFD$poyIC{h@TR!~EA3eG}_``h_u+(HCt>sSqWK?DbHH8XaT znuk$PL~QdcFI&no3W60S@N+C#zPY1jqG>w&e@Gq?^dc%fgshzE@F<<;+p~6luTMR| zBf#_}u1zdC_VzHRNUpxC1|eUOhB;Q;+v;d>u)*!RBj_|^DJdnXMxfs{)Udl-oC%Hd zvn-?H$&}BXZ3Qm)`S}ky`sF@gP_Cxg{xPY0=#~tSG9HP48PO0p`cN>v`MRMcbi{{lQQ)0nEVeTyrhWEFxj}s@39ML8o zMOM^99QTezkq>oNky#|ZnU`kPa$-`t*VC|=PSt!9= zzlHW=GF3oel1gT2@WE!#13oetOnp)xO9@RuSW8x1@-((l{KV_>z!JJGtR3Y>i8>uA zL(OJxFR9r{>K`XPIH!YDzka1oVp7erOnaAD)?Fo?p654%EvF|xH2~Y$d9pN2_>A@k zGZJWWJ9$Cj%?nXe_0moJwu!#^M%xrz6#T!HjjiZ63%@9ppLR~#U0;~B6tPa>r!Gdd*ZtnWZy zf5iOMk&A&_g!X{bNhCWBMIiIwt#l1~sR2q!CIkM&!(Q&{PYZ*}n6@(f)35DNh*V%)`%V&Aj5Ixilh-u8K8AQ(1 zf#ys?O?zkIOZNmpXHwW4Qy&fi&XAFEqq>xS*kpg$GFJo)l?w8mY{5w{dpB@{uqxy5M98EgvwxQ| z(SS3~PFv5El(b~^2m$c4y@)eaL!z^hlbS@e0N+}jjtDzS$bc1|6n)tpJ+6Z+?Qcfb z3l8pu93yqEzE<5Fk{DQI{>4-iF9=={rMs=reONioH?6CfIaVl#PC-Xv*-uHj+zD)* z$FFkvIK7nR3+LDrVpKJ>U`6-bVd+k=WY#2dn{Rb{f=y{5I46QVhiAP%%wW-PS{Im0 z-Z&3|)jEPoGsMH&gP!Avc;N2r@;gl|JMdSoHHt@V91SdACh$)@v19Q8+T3HWCsSH} zaMJ6?E~@Qu2UPe6$io{&52SoK5@$$dT&q)H_WP6VUGuRE2!4(**tV!BbJ3!G0(**( z5Zsa%I|%3BzX@$d5_#S31bRqE;28E2Gr~pg0=nxvg^i7^t)p|_)tPT%`RIXpnvHR> zRp#`RS--?e36z6)G|>c`QJ=qejhraPtWU0$_u6yF*Hi0=6vdS_k5@>t-RE4+MYG=` zbp()Zb@#sVIay^#8okF#nSnSGt~Z1so2sZwJ4+Eip+fF#1GjGvneA+*L?RPmu&9ygR8__{0H0MlO<=z#?2od%c5s^KO<5F+!6c! zq3|C_!HVQ%op(sL?O|>a74r>GlI#7E`wc^)u2`JNCw$gd-m1ZemzZVzRTW`1XIqJ7 zW|7zL12WCwS@E}_aR^M>(4B9*tpz8T*QoX~8S~QD%Nmva}`3CtS z4bh&pw#wm=P~pw`ZqZQVtFGCw zdu;!ZPd^^4Qx#N$<+r`=s-BnP&7_U*wg9P?4|2Ge2c{P zTC4+)rejBpwmDDve873lzjEYyUL~|sLRT61%?fYCwPNKlivnvA*MtbxX(g7>i9)gI zQne`I5a-y*-ZeYHSpwpE?4Y0z}rRD9K(Tl#GlF-ShX< zF)VD6hU*B}*g74N$DWLBo0xyE{qhkh74Fz~!$ho%cm^siIUEUwg(F^TZC>(!0;)i; zerGy&ZNZJw2hY`jU-Yxy^KcOa;?;Jtu<#rVjE2r^bH4#m4~;y%i3Ev9gT>SGZp4sl zKV^KZ1aw*L1S=k-q@K|UoTKaQG!EUAoQ)r~P(1l3b)SKXvn{4TOctL*zqrCX; z@p+HBGs9lnLMxaS>`Fld$$C%sAxreF!w$xscD(Vt9i|W~JlaA@e(2bEYMbjnAdJ1Z z<;9Y6W8kWbBO`AjMr5la7XjS!^yFc(3?axHh2fM$X3}Q@8KR2?v&<-@tTDcJTPJ+IL}qxezlRbylAi@Nd%8Abs>fw~+JfNSO>edQ zp^V2WSi!w)+qyW4He6W%b3KK34~cN62>@$d)Al4`{fZc$VKlkCn-Zhj9uPo3pWj5v zLjuwwKk^Jhz$u9Zt6I%I(!NFPU+iKdXQbX(vAVOQz2Tx(%N10;MLb=95D%q49Iq=a zf)r)XHaTwv*5a2%Cw%W=zWJ-YT5&0EO#9WW09teUz#>VvR*SXsCE_|T+XZ;*D0t;Y z$YG3yj|{^zy*D~FjFJIAB~mA*JQE$NJcxsj9Y0pE!R+E>EkW++-g&VkmH$p+D>*vR zOr>^&kOo=)c_@-k{I+jabPxh}!l3TYihrO$El3TK!@mt#D@<&LAYaC0ydY87apA8V_Y3gLqPh-b;*!hH zeD9E)yVzQUr3TfMOa!pcbEaGDv*?ueqxhimoxtmgO+^(qnAD{EM^=UD8ApUlCGrVO za0afGaVG-++(oEkcG<7*(DwrDD-V<58Yaapsqd;__3o27XLU0(YP4DVSD(A;J4R9M zS5eB9YuM9FE6@nv%hej<2tz+O_Fk|>#ZHV2M(yxg{MIE70j7>`u02|LhRot2Zwun7 zTT9OB1TH3;-^er3Q-l~=l^S~g;BryEm-nOZEhOtIy3wBEX+bkyh&Sd863$s(#O`9a znIg74Mf@Qx>{$r{q`+j=7~w}vz|wH8I^eMS9_obx%qy;xeR<)M9p1!Cfrsy5g5Tj< z3>Ya76!u&L#1+n3)Voc8mA}@nDZa+qFQC0M5F(K^RqJp;Hb*(Lon(icIED0Hex(Bm zNh%Z2K67haqi?;03sRFiO)8NM>Snlq?&U^Y&a^keo9RT#FJvP~8*iWE^RUE%Wqjj- zW+9<9Zj7IfG;OE1r^?Aq$Q*)gV|aSI>gWozkKm|M>juRXNjeLcPS3Mf84=gsRRC8w zg#c|XbC#v)LM$_rVejqH%HCW1Ds{;>=S81_yj+*dz^+0mO$6X*3nV;f&v12EcKvn5 zcTMRv!l^8~x8O#MXPXX>%-)eZ>vRki3VPQSSO0v`VC?FyWM?pvU0VG~_$_^d>z}^jCif@^gH~?5LNQ*n~8< zUcfi|EQ{CWHH$l5=53gTajt45z)yBU&28jcbBz);tFa?9yLfOkRsMo-s+`imB7dyY zuQXp;Zo=k}^>FGl$NWc5Tda5wvI4RiX0jW$x|Q&vf&Q@_oI;pDgcWyQ8V=E-fb>qU)~0iUgFwi&2$k8>qpNfm zB)1-`*CDk2#{nQFA6_pnqi}F=td-gY{gxa)3s)28K72D>q|17(sBD7wMiU*A?o;Aw ztlx%n$&0YAHCY**os{b;N5aEW0I*(;7XFkx|!hb+xu-?L@*e?))hmcSwh|UrpSj|Gmk-4JpB~a=`~b>)m7z%V4rZ=Tz=I9KI5=z zhCs9jTqw9G==y~iV7X-el0@Cr#5vafd!)a3kZc|Jm<62y3PRHFzBc5FP;U)ZCD&tU z%nDZfQIV$v<(=##=RG~W654je?sU-_)tX%!_HT+M?AlNPjC$tOLPx3l%X;289a%Poky6XMY`@%O6{DldXIz%-lSN;r+XmuxrXJ5hSiY$rsNKglsYYQ>r2jy=_c(r?0$6rRb>1$^$ZScu!u2M3S{1s< zBaZ`_y`S$sr|%*Sg}1g&(QIRpwZgY<7i!pEtwUMIe{W(B7O6u`lJa7SzEaT;c}5EM zO7A!`2l~FPWDGX65lyoO7#rglxL$Kw8TJ4?)1BUdWu}=(Y@p-+hTxtXN)lIf zZcvtM8yV1011vFAoFFfVPyp$MQPBjx_sLuqdsb6Kh|sLmx3ti>(}RIy#Cb5$ZhRqF z$bPI(*DLqiR_s+L$L+%XfNaIA_T+e&>pqeCQX(!JMxm^vYFRBBXW04pa!4=&G zpeNfZp730`xB07LZJkrG9%*+p{rRl7q^Na|vjO2?lxsp3>cgR6FwUD9@3$N2A+96} z{<;7f59vp$@gT87TU(;LD7}WU4R3gV9fziKZx6T56s$%v#!OqGW?D5LgpjzLznBjg zzdK#pQ(&Yd+&wYo=cM4`j~C;P6w##jMJH+a5}ZHm$Hbz^<%#?DMAnzlrxrlDzm{?s zL-3L3gW`h~4Xb z#n6+Y>S?9(QVHXMab$n)t(uZ{c`^s=drd(#KI`e7%enN86$H=V?s)0S7$C3|6_;a8 zC{Gi)!aT&z{g=Q|r?cn#W{uqWSDsWBUwc`^kSF?iMOe5*HO}12wv9-)F}9{!d)c!Q z`KG&4FbA&JaYNhUh1p^G0%e+VY56=|8Mc{7-#hdS31>P!dl|986!ReLQpf9 zx%-Bm(;30i*b?1K>7v^)9K1e2)&P*fkcp`vK?~hyS*}c9NIV1D{V1H+?4{D}Jd6>a6IM1DH z%5XF4!0)f9d+m^wo13i-p|s6`?qT|a564AXJ3hXv`@Zu!bypey>>LFi5W{-xV)d`k z)V25NjdSxdqa~+9zFlJc(ch4JVb5AqVUfCa!bB4!VODr&R+tVizK67cx;E_RuZUgY zT}w!1==H6Z#a2)TupMYq)R@#>^h8N!1fWT)ta^U(5NGOJ(wMTJg+cCMt%DhVBIQP> zTq`f%UYK6;o{Wqy7je;W&{vX$^)Xe^qxOultgAY-4kj>ccA3s#J3b%T;qvrO`} z2>51w#@l^!%xznTap^#eS)Rt^pj)$g1GgCPm~Rui|32pbW!?NYd*+Y3P5w`J`~Qy% z+=h-1-@GwQbs@QRlq~u`kY}K^Aq*U6Vj+Avnl0Rhr<@S`!{{AAE7!~+$O&Eenr z5FlcYC109p0jXqs;I;6+Vm_&lj$0CCqMHA}~{HpYs-wJ`X=MwPPjpsLX_Tsao`Xc2ooO$iS#wEepZluS0 zmuEz5@*R-7^Z>Ru@IBW$3LJ;RihyZf;aR>851h*>GOZiT1IB1mHHy!OVCpaym`;yQ zRg-{+;L)cgd?zIWYJ<>1{SG!I^oN34v0OIaQ!9$TJs6m4uNqMdRRHByW5rl-s7?b# z!b$;@((%jMa`HO~SX#ct8w(l(vhtHyHTE!x^`1a9UlXQ`FBFgPC#JNdckjJ^PG7SC zj6DS(Ks#r-jGEEzPJ;1^%@6UtjqM=l@rS20^Ld!O$*kL(b*EL0w%J+K$mygvEB+rSp4O~=XX%$re%CWwnX3IuoFLb z|4<*Y(Yd(0u8E)uYw+VL3!C?*r4w{=BX@U883p`+mEFQJiKRu%B61kqcQ@oM5h)V@ zn|1B4UVo|XdKS|Hz)D)=Idp8{+P&LafJcE8l`-pN@3)v6(dh6`Em!1;^=|R>l66G0 z=xt*f6#qM2^EdDKziCL%%mq@Otv-Z=()Xm{PUyF!*?fhmzs*z+SB~D(aEFQS``oCL zwt@v9_rg7GLPLfg6Hch`q4&@)>v#4TknU$3rM&+6^phQRFC{RR}Nv@fl4wB*N*zwpJn&?z^IA%2H`s$rMX>WE4B&PVQMX++{ zmLfD1@T3CJr7^=KGQRB}!m9v4d-uAuY_`!*1Mz4y9z=^rBDbjg3*fN}tw4^{0uAs_ zC%<}eY7kg;8V&+m+D;0pQLd@~oVrsXQcK!eY;coQhOZdtB5FArwyFz+0*H$&zlWI; zkD&cbTz!(LUs#!R_3m^(eolb#iGtG-yYPrpn*`l&9w+)CR>8YE$(rH|%?16}(vsgY zp=F!0We=0RN;tHm5l~BX5qV`ZmMB7PUp0ui{UEyF~JNC%=3^)`pMiF$QRK zQdtq`2jjztFoyU7XZIKAV@$5 zn@ls6=2J+Jjwb$oD`a;5NlpER(rQ}e8?kLOWpkO!J*TlFm~%Wq?pvLfirbnxe>^Cr zk)Eel2^B8e)?UqiHA4jh*Da9%N*l!gTK3QmY14Va^6)RH#yjT6M{vhka3b{Ot=+}X zwUspq`Y6@^;9E$D-oFP;+WPzZr$cq#7TY+wix{|^`_ z#u4};>)jzkx7iWxbB&Ds;=UI(`9=6t_E0(ecr!78(U;Z*#<{uPfX(ho*S_;Zi`&e&XS zY73VkA~Brm<)qFc4({X!f%ZZWQILQ=&zm8QZxpixc-_rP1!F#J*wCfrHFLoO){k>f zL~M2yoak=5sN6s1{y7>QW>JyQ7EcGR2WkJ8xsGfvVWB{@#Al9^h#l^LgcxH8t&Z#|y6TtGEm3Vw{mZ{x#97TiR z%kMruD4#qR(5q6QYfpdc&E|gwy+uLw&I zVeaRUjDbT{{8QN9gF|)J!}!U%ENoxHr+At8mamPpW|9FnJU1W! zZAD`o-Nk|C9fLQUS00vr`lkpPc^Ydx6Q&iQXM`>B zo*9VKfR;~QUK4CDqH&4%B8~3a{3;i4h|5-0xoo>2BYW1vN~~rDd?)LeC05S(2#02^ zVsf4EP$ViqK0JJ=`&=dw^f)d!+?=Z>tY!Nko*kjo%(HwetPBa_E$Vr1A#`X2X3hL*Yy$hpK<<+|;BeDppkZc_0dRne zbxvvT0Gh?Rmodon4-n$&M?moNZxqsIb=Lm#Uod30Btii|77`%=N^CCy3`F)}JTE?E zYtQJPFm}pbv`dBi!k`!c{keZ5iayf+iYQ!`Dd{inZzWL)oX?Y}V>Xm#E&#E#bl^`uVyd~$UDYhcv1YeZZ1O@`cet+ zT03==Ifol5rNqDte?~kSbK8q>o~V}9q5z>bkLmzJ(gF*g+H>)C&J}*&Q zoR?~2j0P`}pu9R<0cGSAEx z@!S3h;DfOeami3k^hZQA|I+W(dY70O6@pW9Ld+80NDm3A2)K%R*O>h4H{tTw;jD81M)Hy>|ak^x84*U-a5bbM$Q zgGq+9)%2mlOY*G-3R;;6xg@Ndq8X-!-x)?}4ngW-Kt<`+os=*ZQAr)C{7Y$`;@CM(bd1b{|q)nXytm04@7oUt3ww<`R=W`>fwwwqpl` z!v16d=RmkAE_qQ(D9jn$;F@;YrXF}xWS~p0&yYZ&44*O3_$;LGCyKcw0Om(p-F@B@ zPm!bg!eTceOZKMRXnLVV-IN=+H9Su{iJg`61ea~w#!;Zey` zW>(7?$Ct}ztX+51efU6oOT+rhb*W$@Iq~Sn_TPXTTa`85NOR$pI03cqvpMPU*xMlo z&?@fqM+7Zr=6Zu^Ex4fP*EznW8mg+n82M%d)&v+Q#RtuYP8H2J1aWjCYkTLpPZ;6A zKjisk*<^B&lMPTcgj1~d4XTEOTvtSs5$76Z>f1`r02tby^0{#awD@WKKOwc|j#;OE zODMslM6>au#fHCyu$mTj7#}d;ZyWLCQsHevB><>?zoCpx^dc;BtcI3r@Hk(}g)NYa zwrvgdGUVCap9`9Wfx%_>?_|6ix35hR==$GK`dQQ_p^Q`7zr*LE4gD=N6taRMG&T>^ z&;La@1I}{~to#L`bALnVHo2oHozB_oq6;mR+9P$jbUvV!9@umJ3jbI3 z9=Kud1pxx@y(6N$fmlnZTcE+}OH-Mc`#_oh=G;K2fATdC!>6#f0e??g;AjAquB>G> zpK{TK*NbgOk+(A+b=s5mailKV&KrNm;WxjxlsSOwLLrm z$}QJ-Do&&&8SIfURbESI7~6#A9_W+{B{}{{z3)=%IepUuJ{#DiWC8X0g84?s)y@rDf7o z#G^Lv00n&%pO>0H!78+!jX0GJ2tD2sZTuYZV}`Bv!lycJ?k))g=5m}sGd#z5@qmFb ziSf3~r*;FyA}p20<)h>Fn;01T1^Y+_I{=Q6*$bZ4{XI- zWE=4xk?s7)t#|oyw2C}#*mv*v1&4#IfgFHl^7P$v(fvbOYFMw#V!k00V?XE?zuhWw zmRqsxbCNf@*_1g7mZ_Qp7-+&o5lm$gpNp4W!X#EKxUrpHqfMrJ6}?EQ|!^Hvr?BV50M6SeA#H zyt*4WgH-v;x+*DCLd1wwRptQvw;NqD#lqN+0oWOTWHW5VMZ~KIEFql2N+&} z;w_5=!l=Z;>gNf9ek zYn)F2r$j^k94X!JSg0$*HlU}WF)}qZ-Rmm(R`wQXq{!!4jwaJSN7%4@d+Miig4v53HrF`v z{1R^9UX}a^umNt~`Y7;q0%w&hO5P4&jOf&$=X~z{Dm>`JXMd}K69OD?R+8;jRP3vy z9vG$(O9xn(kLC2Wn{@ZGqyM(vJ5fIOvb)V8e^FC_wc)Z*L$w_egAv^dj7bt z=eeKz`@O&0=exYA{NKK9aIrnIaSGF}=Q8_mlq&AuKsNE7b%k5**oWNAF~%NXBXh(c zB<@Cc>>X6ndd5@dgJntXKC$56jA{r~TrT?8C&Va@LASfn@kDTCtm^-(LB=^7owx2^aB>76CV8pThMxcwd+KO+x=eB zIDgy-94+y)DUG|&_v!o09Np*Eph#uy1$Oeha#v0YLj6L|+n+gh;#%|hp@FjR8*yxq zT;J&vRcqGjhr51)(>_{2YoOi(mTFNlVGJc_snX0~J1wZ1z48EQ@o*T)zF_OA;X19$ z`wKVVo|A87M5n>>X}}4rxB3f=E!2BVK^*6I$8fe^MnV?^fN@U$SAeLJp#Hj0(kpMu`vGHR9J+$(_Z7d- z95#9UNL?#)!|OQ~pLo-FfoSPENqAkT`Rxx-sJV42kC(2o*gwv`d9BJTbic1cdc~7j zS#-(0^??qp!EFT(IIl&Q2|FwYR=nye6_LEQuAVI<0wdCxDb_L=_AxhxMKx73wMQ}h zFb1coNy5Rwq0O>ugr~8}ec=Jyj0`K1|Ks_ACPk^Y2u0FgJhIc~Dj6dd-a8ik=ujCL z!fv{g|LGG14{H1Dzs|US7xN5%va#z_Y=S_55>KKkuI;eNZ_e?t+LArJ^UDtKU|>RN zvw*FmmWmQ?5wR~$vxtc0jwqI4#JmK}Wq;*LXARdKwI1FnZ@F;UwY8pQRxq1E9t!7+ z20II~DjOU{CNQY+K>Pu35Zj5}aA+Bc5Q7>~5rI#`t__lz>OEd@`rtS{BeXz#54k(%UeHkv<4}X z5V2Q>YD9ymWi!SW1G2BOa6OV=f^DpKU`a`5`A?&_LnQ%_Dk$P(1kFM| zCGNODD)Wb0dy;6s9fFAxyn#t*h?v}(Llyf>e`zjS6Bw!BV@))6eFFCnj2kuP zDIMPT6t`&Bz^8$2yaFxPP}cSuxq~}XLg>r(SD?cAsJ@yke^!fo=dmlXC?Q^`Lt@ji zJXoppeRxa)%$J-*l_hgB9=SFx=E3}h(v8hUA;Ih3f(b2b7`e@g#=n1*%2kJ5I$(>X zy@r8PwP@saNP{hH;p%NmIsBx~1Qi-pN#;THGV7R!TJIAoHpSsfHnD%eX0?~}9m zhxaJSs78hTcP4?MOEUFNcN^j3&AOGQdtx{Ams41eFN*iQ$Mx5S?mncL(7r~l z#x;=rvIija0IKr{Wt^Z+j9N-W3IlQjwKmikqos!wD2YGfdgAmFfx{9t%*6np|wcR$W+}SHmjPD=O-SuV9X5?9w%l52z72)0?lz4FVM*8p+Qw5`< z{G(Qlw93UM&KEoyu&e;a*!s z%5A$^)!*d(-I^SR{!aAhau4`4-f{HNr(*j%COhJH%h@hYm5*J0+8kW=Ryuqkdir5H zHNW=le&KV)&Rb7Jp_S{Ajb+TMOxwZXw+`4wHn@pjRMxh2=v4fM+1^M-xA z>|OR4J37xYm#w;Gl^JqTf{|mgO`*{gD-!GzuC3n<=cS3ofdgTAS>cd)&6VU^sFkxB zCSyuVR`6pwRTq%R8L`$UhdJ|}(E)iFPxLL|+V|M-u;Dtr5zCT4lRE_5mCqEio$MRh z%w5*=$dT}n#kJ$pc$ah|QR(E95gAv(i7p3N*PqMPuMD5As?OEBXPd1&Z-sVdjB*!N z%fA4F9XSSnp(3+6j1{^qsix-Z)YO9J>5rP8IeIU2ppfDV0#+!}7*kit9bV)8^>!W- z2MrN1)?1lSL+noK9K4VmBnt`O-ga3my7|yvxFGoqss{F3024B5k#2Gk`W=1IWMas7< zr8wm~&V9cR*#giW0}3~{v=z-Z^bV~1Upcxe%vF`T+qP#n^>8U#>3WXd9~x~C=Txk4 z8dir*74YV<;>vO^)VW$7)kjC~RLX+Y2mQiPRaax}>3&$$Dyu2RyPTkOmdkO+e(F8T>W3q?kke|wQ; ziR*82Lfpy7#^Jqw{lGS;KS!w3=~3@KTY9aas4;UwZrg!Ch5dRRUQWox+}v^hhz6-p zO5X(w?dC8=$Z^MAN|sL zF|6>m2LiXKQP#)U*0N*7iihu!Ad-N+Q6RrOEYHZCE0&X|hW_MH#!Mk|@W?Am!SAag z<4$TMLZ%K~X``xmLfaR>At(AcWzXLWlpGPnMoRYyk6S6zwKj}rL1`YGb^}8sxq+4R z`GFI1JIYZy>p6#F*#*1*cs)Dqu~2N)rm3dWA5HieWPf0ldubS{!{Ka?%C;HbPLr^# zLQ^l+^g0_A4i1@|bIaZnl^v6m-xW1t{ZX7AJE6Z9aoZ|={eE!+cJZNF&grW*j>L+x zYP`=bww_eSI*=IyD4e$p3PR6VxL%(Y9?w}^n0K=c>y0aa9+O{eRHUegP;NuOq&In?Z>Br|_W2(;3JBVYi2op_@f_T+S--1wfbzg|5h)}cal}*#F+J!**-d~FpHwA z7@P0?#!c&1DzWUfgtsRJUJrPgCqOsVKmzpHMZ?1tmE5m<9<>K)0q?@9V)&PN=#xxI zz|HaRTuHFC3n6VS0RSnQ>1)wRH=QMb#s{e;QUGwi6cn_7EN=zp!vcRRNZtS+6EYJ2 lL)`#Cl=E-r|39w@c0?{!-}?nG=ma+au9#VxmR@j+`4=Vz8yx@u diff --git a/bsp/renesas/ra6m3-ek/docs/picture/lvgl/12.png b/bsp/renesas/ra6m3-ek/docs/picture/lvgl/12.png new file mode 100644 index 0000000000000000000000000000000000000000..157ba26fa9df83f05173d9e51de3b43f62b31b16 GIT binary patch literal 18017 zcmdqJby$>N_dYs^fYP9}v~-uG4ALPWqI4r5B3)85NH<7J4GoHfbO=MIG)Oa2(%np* z!O#1B-{1E-?{&^~oj=e110J61nLYd2d+oLEb+3C9`9e#D2%i=o000oFsVcq%0Pd_{ zu7BUd#r!M}Ze#!em;h>u&t84V+RrwPc%+}Y8SiU%92B}fKIZtWnA-1gM?QHeoULps zC*0{$-te?KsjjGAFx6rU#4BdR9D@)1fD}|E3@v#(UC@V}X#cpZbg`tKpneG{=%l|P z`=M6V_F2&o8QN`2`R*`-@FTq)FQ&cf_g1FI`sdn<_TP7ZGR8{XiF_ohT$wX__~`v)_9ZZH zA$~Clz8wygP{w0eDu`c(<@d2hSs`aNRm{PW7w;=34-ey{_%pCMZ0&c(InKuaT$C1& zzsVn(Y3yUfd_nsAcf6g3idAyHN0tHIh4e;uwf99p&3@5N{#P&1^rRqmlI=_A4<(X` zpiw_`V*+PFw1vq~T5&x#-RA!~X{L1te!T<8(G9JsX#5`ZWI5PCInf1rDsrIA%Rz}LVbLI$ z-L9RewIXa72Zw~z)dLYp8g&G2Fov^PU0CBs?Dxv17(q8(u_Y~WZCs(K!gkdH2gk4OOj4o;slT@V5yO< zso-%R(ER4scyg)3NLLoQv=8;^0}8h!{1b{VzDJx$?Kw6hcm1aPvwJAKPb$RrKXMq= zZ+9#?w%Ik@EKradZB3F=HX=QKSA`tji&7!^a=FDsU`Y>p9if_d4jmK#$C6gok{|4&ggoB@$Ctw6*nBg6y+!Wb>ucU_;dujz zn>oOVgI%mF@xCbw0MW)vI?Ci-zKxc>DT2R0PFX%Qj%al_VC#-geu+> zk%+C%93Zb+J_JXKK=EXeW_9UF*y=9OrD^3%>gQfY49Hf6XG~YS_1% z_A=Vg_xxNTkJw0Cn+G~u3O@i1tZITyN?e!p4_=>Irfm{`*AmYHvzk6#KX}@F+W$O0 zqhOKe>?A<#g9vlha^JA`8Vn-APZxBrpOInY1i@ElrB>xHSys>wv3}WhvFE<(ePz&& zx0E`2CN^^T^arrz1B2(^6_yj43jh3$?V+z)f81p5AGwg*1qT3L2>r)jLKi&Of#?Pu6&8UVg(l#2V*L%;c}nL?0`gt? zCL_bLQY-pAA}3Bt%2Uy+&L0W)@VH5sPq3X?!aJLWHgkLejrU8f0gDyTzVQr?D=vf& zw#DBg94AX;-e7-&A_P=CnwBr|nrpqIcDOufM9GW&*n}=mU=}N{4;PKSj9) z1(hi&BI|W^>~+#&LzGpS=+A6rdMdt`dm~@bp%?jt7rE~_HlA)V1e~E#p~DD8)>Zym zy2^%eQqscK#_wNxW-$&_PBIrcF#LN*b-LKH0@3hnu>XvaW&Y6;zt|yhTUp`26f4@B zLflz@`30PT4n(Ou+qTdRtN<$@v6-W&R@(1at4Y_56Nnom*RiNmuW>#1z}8$8ESDy# zso_J0Ru_w3xh zHrd|um0C@b1E%=Q3P>O}pIj4&-|s|y zzCZ+~FeBcVeU4baveQQM?!GxJ;Ud=UJmrHecx2LXo@NA!i6#I~_WlzeK7hK5rT8Pa zsm}d5;(CmCS#Q1GR*nux((%ePvl6I{Cy_()9tJ#@d=~vPw^VSFi5lc~L-7zu0zwam zi+XkjfG=sf(qLArj1bw-nMz?hc`p;V?vp1QeeB?*!8FZB_&X4JnYLTM_ounhc?g!Z zC&&oANt8{rrcBWdFDe&ZqjQ20Fy#ek9{}GE>NC8*5f6^I!Ska0!h)DK|M?Z|jBsnM z3O~q}?T?+3T5?f|T(o!u0a24;ea%bjT}va$R)(k~dV4BKxdhRr-^PGuOP!R#ro({( z$N3g*ZaqY4_3Wl}>frYNib}OP`eRo>&YETpqs7GOgA&%JPyU#X<#w6mEotdatQ|b9 z48j<~_O)M0JkA90!GwiI3HieBZLRmCwux*X#5G$%FAQm&WZa~(>_NabSqUQbMQUmJ z$*qWqVs{eNo2D5ajh<(|Co3*@WE_w>KIi9Y9mJo9&K^j;UAITTjq?=8ow{C%^nZMC zyi%eao_XxfYt7Nd`~2QIA5^Iu6Oc}3D$g#yyzqJne~=%8;wuNuuUDG4RnI^=;T%c- z#1=7$rhL@LY=y7)yy-aX zzwOa|MS?btj(FOw8IG?*mp-rJ6WGzTHs`Cwwn2)Xo;1+f_i2a9+IGlm_5C*P@t({H z;C<7^|NHvg&ai)*^_NUy?Qt8q$Zwkp(4MEj*l-WKQ>NBVBG#qp*olu_ir%38-Wg$( z{V4Fg5j%k*ZwFig^gY#L7+d=x-svdCy)JNgm3h$&c0-4kbawJb(?^E8qYR)p?k=oG z|D%=zAyA|r+QX|XKK*faA}&Q&IAa?Gy}Vkx9;eHzKeroxflR)Vb%`V3f=aGotn7Nt zZd#UNfY=EfwhOC`@bjDp5*xov+KJah5hp_*)E^qlqaQNuC6aq6-TzH3nB3ExJC`+e zu~d!jUyyyM{t6l0K`$CKU?~^EVN)HTa4zU3HPQ7gi$Cwvnzd6q64&Q8QubKm(7gUi z><*>)iP}i7<#X<5D~c& zMXtQDkb25&Z5glKmyhQB5zw!oh>R|nrJ;L)hpYJE6}w#>`Qt94yW(=|np6~sl!h4Y z%ct6Y#O@^nM5EaOcun80u+jT^KUs3jzmeo;bUuKrv}#rP5`2; zuA7eY5JhR+HK-~@Pfk4S$!Y8m9PNCx`S_8AW_N|hBZRhU4Q=LnIdBjkB=JT}FZQe+ z`KoB)T1oir>&lQA?6NnE!(>+gyC`tZRm!5Qa#hki5?{yY4|BpvGEsbrE9WFJ6kjsWlc(i;QX2!pw;EU0Qlc`N$N8~?L@ib}@}~|L zOC!%u1`3uuEHZdjW)?oiSzK*uU>BD36RcFBB-T5^m7Zky4!VrWK7IY6Z!aq<4$8Xo z&GI9sc`Xmr;ZS9B*Y#T0Up+hC_=o#oz^a*8{*b%3k^Y7mYUBHfT?Oz2CF3IF)P^=! zEis*f?^csNM+>_ysy!dlWxyAJ+P{ebKRc#t4j3HNasbP};%N`qJDtJ25+9Owa>~;Toc)ohflh9ngR-H8NfrR!q-H$G{u3hV$aMRG)M>v zNg$hk+3>?uNLB+z9@L z3jM~*3vMm^9!+_1Uw|JhcDy0Lhm78Wqz63eGkZI0*%s;p z`h!!C!b|p0UChj=0c2)E3F@Po_5Yw8Kq=9n`Ke*EwbtfWSWbx&#N3Gd$CP690I-2Mgt zO4EO)9u14frIL~SbHj`9=sw=x%Umm_&VS%fDYbw4eat=1oIEIeofJ9QA$>L@1YcT& zdPkTo_$o8*f#o0!L)BD!caM(%^w5oiR(LW9H9?8|)*Vm;NLT#ZQ4A3yEF)iB0Z!if z;cYa?^T?iU6ny-fGQf9?Yz^lStANzi?~n_*Q&hRt(|-S{+|@9u!#hRP$GZXTXOT-v z+5dgT&XS~c;0|KL`ZzdcqAC)+2w*;HDIToGmZOev!3@y4XXK%l_Vxx+dwVI|ZyWVY zH}D`2CgZOT^ijJ74>EbsoTdDHdzgt4WR9r20+10@fLGB#Jt$57P|F|E*4_QP zXaO?4>8EFZd>4^VTm?G?#%wlvHX&ot#(rCC`;~-47iKpf#0jh6uu)ejsw(z zYiFFv`*sE)eU`=aM1_?Ob!Z2v--f%J;1p5nW zFkrtRyb^o>0JPsdCTxWdTyBk;H7h_yoW4ugSb81O9PT0gVAh}bH?vO9OnoOM1pNJn zTFtxjyjrU^){J@Ey@5wvFx&4m_~5r*z+%WAC=7I3#JJpNevn6X3Dje`ltl+XaIS~g zu5F`JuCH#A*Cv>){01c`&zQDQdF669ZItRzxbf=XX-e}S{qUTLzATR8d|7N^rj=)t z+ST`Nmb4}JKfKThb07n7t=ETCR#sB|H7@C_xjDnKUBzyC*hzd>>Qq{Mlk8X=LEnYQ zj`K=SFv*tPa!T-K@Z~9N6v*7%vwAT5fI#C*V_3w-Vx2#*2tx1Q85~$eFi;g@`ZB13 z6Dzr_$GfgEw)VoV?>u~_ZCX@9;poUOD;0staR@iaLx+M+)i{xlWk>b`>kk};d@T=z z4QG+y*HZI+TRlu~|P}yWd#& zp00w^vU}R^xY}L>$EAfSo@|hiFn*45H{zq*I%r>OIL-2<3 z_u~WdKk6)M757%r@0oc6s&5kP6q^eD^_vQRH1bt&8k;Se`KQ9{rPoEw#1@##yz zI!gUlYkgGDs*5zD+*X*|NQQWV$N-RAvzEqZ(+k}{9M3dX(>t)+Iy~6FZ{(Ep;*Mk{ ziH}#U#vn2rZ%QQ{=(C(oHjAb}`d^Bi9h3+8f2---J`?^dd!=% zD)rd1v_(pN>YU`fFHeB6OXahLXgfJE0ATU$9z0~Br9>tV!sx4Bxs>rzcKG19g<0dO zZ~59~HZMhc3qYSHHq=V-B4=XMY&9Z)g;9|B0Wf_3aKd31cK$D?*5UyHlYm0FMxhD< zZiuc+yZhh|XT0s1yUHll`G>6tb9uz{C6=g7BFcI7|)VUANJm>n`{CPqn%WQ@f@|M2RH6{rJhF`M^$X4 z2q$`jb>8OlSM}AKs3R1&X_hJ(UQ}+&%LBQRy`yI`i5EHj9w?jPXtfcjvR`C{X{%P+ z!tg|n(7-kxzWmKr3d1npPhIONS1#&gboNie;W|H$B9?taW3uH#C(^pn@kjCM%`aB0 z`w6pc@?7>O*2TthRl9dwK1$_D9pGzTntu)w5wSupxKh3q6ngj)DS*)br#d5M9eo3PF(ixlC#uoYsH-2CgeV!n-Y?YvQ=mYuQJCSEZe z?WxBcS@c0`hn9J9ApeU>$1uxiI4@bzW~og`!yo+kUy{xBf@_Lqw5q}tu{V4kV5U9M z^<|so(1Uy&GGG$6=6EXt0f8t2=mEHcp8-wN`1nzo?_8 zmFpTA#mV?(U&SHkPdD3}@t;Y&h10M{8+{k31kxlemH8ie69T&MBrmArb<7uVT`ACK zvgD0hntAciw#KPY@Y)sX^-{(>u;kMOnEelUmqd0QMK(6cS?)L3|U zUJ3rpB3p$jQAwvu*QDF(>}D;C)5A)dpeuDd_9r0jRtd+YD=r?}h4QRm?ZXO}4KJQH z)^1t?jT|Mm?Q5sm@nE*-GtCZme`L(n+0dy!eLMGgq3%vjJ`c~+=|IPvmEJ%)DYb&L zqomUYN(mN0lO5{wUk_F?1-vY%d&vAd39g@3i;_N``?4V|i4MM|;{|@% zfaQa=us6WZ-~Jr)Sd(X}9Lh~S;9QNG&=1Y_$U9VNEbQ+e;qoAAEAEi5a&|XUK4Lj) zz0*UKcvX8WVl&0Tvem^3!O{0%0}hf?wy}%Dt7ma{Xk5qsUpP zyTlx8bhBD|^VN;ApX^lQLMS8|TA&GF@xm! z3=g;n#U&8a8#p!^X`M|hs;;a_++A5YIk229M`HUc`P`!S^Z8ph2D)QyACTMP59}`&p*cq<-0bVrk zJ``6Sbdv-};?6_IJV48**l&2CPBc+$U%;LM1FxWjgM&bokOdEGd!+jgk`vA4%G-pn zD`o8QRaVpml2s_upgDLRr$fbYO<#tAl}kH67g(@$UK{d0?2cFWFKgx{hf@-1Ff}{& zZ92Msrp2bon&70JWD?GQUpGQz!lD=Bup@x9Tu|axuYF%y88qOMN8`dHw8~-6rt5lP zzeZ^LMja9Jz{GE$YS2&yn4$yEO+g}bpymu`uRa*_IeMvUXX>F?dI}*KxRhlR(?vfm z*=Arrjy0OiNrF!2y-w09Z`)@J1db1I3YBabTf2#BRvqTTh-n+~z z7cX>6ExbK@Ta#3m;E+t}?07e=S%>0-!nI3JK}ke2{dHpp#3-ueNoHmYy;;YuN&xeo zmX2#q>6ga$1&ehRHE!yigH!{f-pZvqx@UUZnOg6!zKBzvBC5MCi)WjM#x-11S`|yL zg56pV(rOV5pss>j&N>?GMN*? z=0d`72Ashy-lL70m9Agw^3A_e1fb_ry5_Qv-jj%%vbMc;KUwMeOk{UX^8GG98K2n^ zZ%1c)a;&H=&#Ep%g~^IQeAn@4^o38$*0AE%THOn^q_ekKhQ(q&URH=l(G76s;uC#o zF+uu2Q0V^Y=(vgggy(DY?|>D@&uv`Y;Sgn^Q2_z}{eq^Ztz_^>e2qUWMTZyrEAE2k z`MzRF-NPdtJ=qodwTaG?%0R?Q+$KH|d_~6ysTpmqj;#IwJQp{8k*zxA6YwYv=^rq;!x#&eu4sN^MCD!Gc2GBV&g504IyO5u5>4_9%3CJ*q-KKMO43wIDbYr#wU zlD)-i7<%cLO7{dNK`UWqZeB7sHz&`EbN6$vVbPzWq5-4u*VoqPZ=Kpz9D<8E_D!(> z7A)gd4mGbQ8t95X*)>@v-QS0NRGG)OSJDA`Kg=B)x*FCt!-+p4nVJO6$@=49atQ8P zrDUn0Iepv=0DC;EldCUO7 zp4^mh@KdSQYV~sZYLS!f%h5p9fXT5pnlCj!he`hnD^Xy3Kl}@V0Nl7NkN#c-Mt!`^ zTre%y>K$jN&3)$0wQ%w-0qbDW1e{h+C;QBfshpE#^YZU}mP61xPwKW(Yx9UBaIeM9 z-e|(|PsKBY-kMKW3}{JZHC3_d8)8{l>8pHs7u$F9J?{zdevIWe@r=1`2|6Ot&h4Vf zTJza3=?xY-0TYMa5!9;I?(9^?DX+{=VS(&`61B9xH#m6fFz!z}Gl>0Z4r`nu`fMnJ z?~L-?vJA|3X*?G#o?eB58RzxpHVz-1B#D6@@N{}hf!2ycH?~unUn{nHBDaLZOUbPK97BWv>{F5R((`E2t?vwhAO8SPU4OjPkY(&I=&wqPG$6dBfWP` z0;c>E?eW5GJ?%~7R~hR+q1~4ab?YqX)zeBLg~F$tVurxp4ZO5fZ_Z{aMaDbqd7MARx8>v9#6ff3yHUgo3Tl zMNV^no{&8pSa+Vcz1MoS*;k@nj{>sgu${+yS|lT(6kPj$CoM%Xz&d2zTXXyvb0Xl+ zsahEwHX^sAn}Cv4ubvxyRFRQU?_iuK;_A!&+VPLif9(W;>dBXv z&oxne$t$1NbSdXAwG`EIzj1!gU0eM9QK_rud0{J5VIIEWkDf*7A17fYJOF;rIQB$c zdrXDvXtBk7lOvj|NHw_PmtGb373HNka%<_^D7}i0T7^OAdg9hMo80Q3QxaG*p}QWw zl08ur;zK`t$*)(J5N+9rsQCasSmZA|srh>HwT`r!oEdF(I?A^>!G7LUun^f2*CaEj`a*M)NM4)4Q<(H$m%kA7oEPxzWiQQH?}dx|gC4=nz4>=2%D# z{>Av{VVJy)L_KmO@F!=Kk|gO_=}@>PvRW1LBY6&}*Ku`$u1C82rw@!W79+(L(pJ~R zb66H>>cr&x3}4)5meq+Knxam3Qa!eO`D=z?kcEdeLvMGnolQ8ZxfnJ7g7r)ED@UcD zm(xDYB>MC4luOj&v#*jOhRf<`79V@(*B-^z8>k*#Un8j#rMlTej#qlH8SgLhU?6?v zIKM<1)Sock5%r06J@w0EJm}!)It_&qE@~Or?=wUJN&;TPh~0bH`6 zI!~2IrRz7l@f#QzCGXO#M0@g)E16V1qQRr+qC)qMvGz)LLCM=@de;hw_H}0qjbqQJ zaew+5*E(NtQu<|$EQHKkYBsy~hi^b*uO{ze+Mh4Lb=twNK^HwiFV6AAeQ#c0_iWzl z@jf&nZi80ed6&lMNuPGy{>n&agVp)EVG#JGL=%+OajLKh8HRh;F*Y`qM)F{Lrp9hR zUx(yZoC}nL)ilh*XA$4KYo^XOSdW9k-zR!wJ8>QaM?0ZKtgaEYXKK6X@DWJ!6r{RT z0}ZJOhyb^l!ZYXF9#TVvYD0E)GGd(Wn9GKj7{8Hf9AeECDMN{o#l~GN57r*{h|rDf z&R7PlDKYzO;yt;cDaW$P*d#UXQ{kBOTUB7QsJP0|RYWJ9X zp$mFPTk)lh=mq2W+!M+Q3j0zLZMQa{5Kqg$uEzH26_#+T$| zl*n2Toh+eFz^jic`t%EGQ0Q!hD%_~NP=RhWJ=j`1ddGfT7&^MCUwcGQn`e8?o$pVm zIe)r8!O@1+9)kQhRzRE2!Z~UW2RECoO){8~NekxH&gS@0unN=D!+^{TYTQicM?{Y> zwBY34-uqN0_K>Y}=4S((;C6=L&#bS`7jQLM1$yyE=LOj_w*r~^G2C{4AGJ~E?#Gtr zX7!jyomdbe=d}fEJj6TkEy}y?H$xV@awsf-9CJH)4w9VThJqo zZO|#Q3ZBMS#yPqD8?ZKVRsJtRsXhI_aYpBqEARBXbw{8CyO9dK8ZF3Jx?F}rRq<8xB!A2kB~ zab6O0Bd+#F6Y_3na|CPKIdK{K`~t489gA`EEl)NUKw^D0qj$Yu(Q3-Piz1AZ8m5Oz zJzvCqHc{$aUon}rH*fn0zM*n>wave1F77k&!dRZe8ISL<_E)JF&!2pncflMzSDUcz z{0qXdg^U)TU1#7^8XnI5Ekrq%^i!nw4V+3dK&jD)#FyPh<4QOo?zLB^32lLCgIuF>9f#ie)Xx>kT}I-z`Ku^N=wc&N;AAae&{3#AdIj3E1{)U;1r#}vu@ zq+Ht9<{*mdItJgHyIC5`c)I1RpT>4 zgZ-bPrI{%ld;z$2w1r(+r?e-9@N=d}%0FKks4r2$#$8Y5S0tod`>RY5Rid;ugnw2~ zVBbgTktck|#F>QC@qBeitXuV@^@U_xx6RaVsvPd1`pY$WDYesct--{P1TZH9&_SR8o8PC)|V1{-Rv3Z$> zEo&11q8u>#rHrTu@gE^yE8(_?SNcNNd;XEQzJ=u%9tWZqw|u&PQp##GRG#ntcWhnPDAX&)WOf`p z#Dh}iwtr4R%4x)&+HbZM(nSo(2%8yCVFy}Z&HwJrUfYNyZp-OOU6xu32zT$D%JR6U zxpS!yD)4HM_X$J40)UJ4vLe!cn$B$E~>>T4^5yL$`7E~R5kJ#^)fC^@ia z_o9BvaP+>)fJZgm@Jzc%#}{Q#4DJ>DgyN?>UywIf?9=eGwrK-upoLE^ED_Y&WKNWv zYmE;ZkFp${$9gKRe?Hdfz!^JEatH4HyrB3_`9&=8l|n+YtVtdl+4M=$4HeDNT@@s$ z<7XG3GM%T4$y6?Rtdq?4hH2C-|DFImlVqxP*` z|Jp_&p2$N7=&QY!S93t6m$sI_;eu{k7VODfu5$je)Y4$|mO6SD11z(- z=>?jfsI~kk?Q_L`uphtg?<{z+ne? zf_707+E;jgty%`OKW?Z1OMY@z=O5Mu_lxzX0QQC~zFT}x_ysg99v#DQt8jaQ31G(J zwzEET|2#BKqY+#M1vY~t;|-KHoP($pG#VLfA{h-WY{K$opW_Fl?1ehtNSGS2kW;0I zpx96|L-UOL`R{R8yY=H{gi9$XC{16m_zEI2aurcu!FvIg*@?1)kV6axNI`?_w==^o zRHXNdp(m9aVeEZnzdlvyw{_YV1TcwHW#8o4x@9b`GVB{vn*>wH#1vU@vfG z(|$wnq2-7pE%{`>7Pu-ks z^@mOcyKi@QS5#Ws_-$eP-2%jTmcTqV)N#xswa!-MxlrabA%8f|SFCG1K)TErdo11B zX55|L%zJ2={a?SYqW((l_5i(O^f)nMKL-S6%g0MaQr)>tyk^4+;5r|xc5WaaZL{dSJMAUAaq=kaNi*)>`q zTSJmFFs;^477nHT;VQL12UymKT~#EqI}o8S+vS*f6Rf*NJ8?}dv6hV@7{4WlX(HBe z?|p=cy-uYE0H`@`M~sb86HXQCm8m%_5$!Z+Vl_X@Tw>QWpV-dB;}Y?>*dezM__-Qj zlX64gqv#z0ioX$6aR0Xyz})*EDS%|jWHD5o%E!X}lb4mx9YEll+pcLy9gc-DN&p6Q zCDEU-t&@r-Ifn|079mE<*GsuPcB=;sk-=o3kNiBbzX-*o=%)5Z=~5kKovN5pF={>s z(_0OI4w>)&0^0dmT|we^ka!x}T&^V4jUB}VB@XVPW76B}9XIeObsu4Y>mjhE39Qx#P8 z9{L7>;v?4>NdwVLLRQsmjuVEn-VL9zA*<+a`jKfcaGjy2);4ElBOCDNZ?PYq?8>|K z?1j0BSIk)}wK{NrP^7YgjKCx9w2*X^fhOT7*Ip_*!%6htWqv@+ttvJUOGao5K>&|v zpfq88yWcr}2+yw@m!+yo3>(Ee+=Lqbe&=cz+!*$A%y9H%snE+$IeP7z08Qira{-w? ziXw^?b><36Ct5yARyVqeM!iE0k-Iao*2OLA4oI4eQxoZ0ie@q`pv}i$m!(*kx@PN} zNT9lVhDc4W#Gk%awI&ZWFY))&t-VoZ+!7mSejVH|mxJa~*zMm1h4J2rRYiI4?Z|D1n+&02FIZ&|z`b_Hj-Plf{q zG!`*2?tXKTs_Q@N@SdoAYCfzIFVmhxVcg8q8=iNt!>KdlT?Yj@U@_X<0mxAs+*&y& z#HFjJbNQ(foX6Y*Q{awWt4omI_1)~U4A+y*vylo2i=lXtG1XS9So`4_I!6+8KoAAI z{7NS05=yq8*x8x*uZq1EQ5^UR#k)chpM%rr*4xjhmYJW1)`)-_*(;^mpP2-mNv-@z zjO%cp`944j04P@79xQ>`Hd&s=7JOVgKljYY&Zd{TbE1>v|KYFH2+*#D_5a-~2YYs6 zl`>byT~K(7C;xPKJ|s1avgLkfx*0jgrBunf?9c~In$MeD`q^u2?vFQNT0FU2tnAC@ z{B4E^0652Dc@&tD=z~p5GB>95YuWp*!#J77%9^q6*`Kc8&H@bc`R4TgMgym8OBHqW zLT0`7sVq*?!x0}pX&ny#vS=H~eOJDMW}xN_!Et+kWjNLn754^U`vBdDCI8!7v)-3< zd5?=Fru)ipk&$-2w^#d#jL{UG?B`K@!YVGOggu#pD$N`K!1FG~<-U-39d&S%+t6aZ z>+}NplyUZ%Tg^h@wuVuuJsCT85t|*4x81^J=$#%ts7k5Ozn{R3RZ>w`2{nj|YzxU){?m#rsid~AAYwr;=sYoYU^@0 z1kaME&?{r#>pnoR<5o4ntr$&h)iG`CQ672gtAxIN`t(a~l9r|o#!jq!h12|PYyUvJ zbO9o+NWmy>KsqE8T~SwI02;okJ~B>^Cv<*`@lvdB2Z%~AG9{qKP)=Q&MDz`AxobLk z&LM5m5sJJalp%WJ^-g`wx~rOpB>Ki=S;kVfp!-B=#QNQ}O(_gh2U=}x@SWO!95VBk zIMw0%g!#tV?~XyEV`q#GjqFy_^g`&Vk+BJ;%(CmTze66a%lFgdCi^wzw%drLb{X&U zAT6;aic1h#`22Zi>H&UqX0Id=fvR4iO?*JPFd56>=!HCL<{e9xNb`QcBWXU#Jph&a z_7f8u-Atqu-$R7saJmv53J-f;+}P{q_;|(|1hr&wgnqc_4-5(2^`I0h4|(U@o-ZRT z-`UjB_wkvw`5@1D*6`0T5xLVLYy$sA2%yUPRs{BN1X-@&;U6#vr@A0>=4+fhoDVI4 zT`D;g1n^t15kW|nN5RoJFC(Sty2V05*3A(`7Ucvg`(?^|q8HkjXekumW7t$kg*hnkve8QmyFJjA0ir^&`Mw zVCWqii5S8VM2Aip(Ku1N77k{A1$GW>JU9T2*`iY4NP6-Xd09rMPf@N~Q1>(i-!*x8 z6UH;x9(p>zFBiaRFuTUblFt9mbf{G};X~@9lE+zC?Sc?as(6O>Y%@zN$4A~RS{ZI; zX4^vmcCPvgSW_;}bq}hF(GnZbOM`(3aNx+*%ddYIhjhCFtBgWGjL`LwM4a=OQa7e-1QOwI-2Hdkw5 zP_r_VsiAFDiYq|wWXJnVKPSN`dZdXO3jlz3;XLnZ!H$V3Eh^a~%42Ss)K&M^-^;Gg zxthLAomNT5(og;+Gc1OQg)bB0J@vY?gPt?`b0FtWr~S`n)Ae~U!7qkHVQE??zvA#6 z82L+{NOmwrj)=4c6`sL&XpGxMq&ob#J79LlzjS^+&tVR`s_P@$?RFjH@E-!!duzMu zWeOIq#{3QLr$OtFn1=f^;()2xO-44 zt@_?phi88<<;jr`M~;EOqrk{Fe}$m`8(m9#++ANwc6et^1_;#n;GVXk3Nt0Yg|-F% zhtI`GJxjR)?6_s%uRL5tD5*O--jL3};e7rvlOIExQDA(-3xzwz#&*h;Bt-3RKDrSB z(s?oPrj%?F>@IzbI0T)wbe{rmmg1wL2?4n;J7FeR0Cu{o|NDWMTg)xCzJ3kO2e)h^ z{5J7C9MWb2mKti>tM!}3NgJe~G746P;Aw50 z$u_{Gkc<~adD-zhMc^>CeRiJ2H7q>Xh{y?L%%Z7YZ?voUSjJMbgzGcNG!P+-2#{!H%pU;>8Gn@iA6G?XD$D zT{5y*_bP*5gn6i7%`I^+F3AI``3Uv(VOq*45E&%9=`C$=ZAqJt zeM$oMr}u$oAwWT5krFgj?V!BxPP_Xjy8;QHmjo^b^;iIVj42A*HXd-4>6(vKoPyO= zX3(FVzQs%F8IqDAux}6u*rc~s6t%VGg|7Mfqw8&w2$bH4Wcg1Gu8s$P(v=T1-@d9 z)7#}{JVj<3#%Md@HQ(HflBA=q4Dli{OMmBfdPTbFZKBXnpPbO;Z(tJ+)ZQrL1RCLv zz5*jIv1_lONNX7RPNRz`L>Ei|0UsGthVlQSe}#ZLSWKd&Va+t*5szJ!t~v?O`1ZZrq8GIu7x);Ch*TTtf^ccNXA8&-1IRVU>upy1~{`MiP(p1HaXh|SA8SE|~ z7|@$SM@rH;1^CloW+47y%%|@j@B##AkGq2bR6;g8+1BH$`M4OIE#C7fN@a$g0LEmOM#8K6AZxzlLif~F!7vV!@MC99b51{Odf^d>c&I3e8=+3X=^x;U z7>bDhhQY@@l8Bc46We6ULcZhF!b@>f@5gTh9GjFrUXhHoB@86r0n~rOTlozrg0?X z01vRod7J3fb)BzJlwIL*Bz;>P9XI9(mKP^uOQ^X{uu~x@V-*4FF=F8&B7w-5W!sOa zUtqreJ}Dl|sg0!V;KM@L@F=f|5B~2CyLUYpyVL~Q+j%CI?#81H_%^*yvth(kz?9`) zxUCjC`D7zm+ck0`0@~8nrzATilRvTa=k`tS{EhGT|4MWHpE<)2VNQOsO*dENW4s4@Im|K_QmiaypI`Tg+chM(HMk(w=^Ibm`0&0 z|Boj31T5|p=ARgC#xKB(THSfJ%CX;ccUwj%&4Vx}`gps(^Z43s9Q~_=ek0W?31xeY zU##8ePt>d3rY~WYS{XR%eRJ#Py8bEbY=mKs5#Ywn%*@z(c|G~F0P30&O=Y!Rg7DgohF^Um+pwj!P#&A@VHx0L4g7m-~3y?(g?^6lXnBf0H_lM0- z;TD#V`k(x4UVvAc-Ki(+20J7GLEFDEtJ~S@!|^wL^oP3kD}bQyiwaB+IJY5)Wr;)d z8ev=3*mxTXb7g)%_;0dcz*NG)AXlA#$xTC}Icwu={sfk z98?0@41##^&`m3q7pofn6%o^pd!$(pdHbj$4T>YbQUU_MV-S8|#>88JFJfnvrWqw+ z$1M@uWO=d5A}$+N@z($VF6r(61=tf&u-j-W(-5^=HXyq_3bD4^0j^x~E3V#b+4gE$ zdJg?SZ53L;z@&J8xr&sS@Zm@Zt}ZKCF>5Y{deHY3ZLmmozUGtpfM29y5x9eJpM2uf zPWFveM0Dn0JS97!7Z7L^Od^6sEn-A46RG$@=KwpGKZg9`f+sqY4TxA5eyhxXw9}{P0MkMH1~) z8^rPa`uekvu=)m>gU@=u3H=^&nkS;WY=OzLUSbfj#ZOnh)wPcX(HMn`%LS!r8i1^D zldywr#w1s4=J{ND$xvG7ooNrQdobq*c&v!ODr1o|y3e9UB2!ycfqSFy)kGzq2B88w zwi^tIktymu5aXOQpT*Ea<_nC}mtOgCjk5Agy;75bBY@~OF67FIt_%&&5LE*K7CEZ`yDKTbKZd5+whfYK|i zV$#EV5z*$Sjt~`S;uoP)cln1ysdUhPgvmEN*w(GaOkEG57&40&U*rlDEr2vy%VGq9 znnpS=YyWHp;!Wt5@PkI907h{Y>?|aJEb^>m9>owww23 zCCgL`^W-BdNt81z7i;z>=b|s_F?)&|qsVVhXOXY1z(fHmS6a#dvkuajha>`)=l`JdGv`ccWVIh#7=GhPZ^@ zb71&6>{;jRQ#p#A7oi&cw&9o=L!1cTrdv}HM0M{%{j0o($qcQ|f22R2S2VCC4>Jtt z7nvB-GjUb>L_$bc&L-eA|B~*6rZOw*urfr3h9~1p*yy;3n;znWLo?GI{~v8%FlVI5Z`qkCVr}YkJY+}kS?Q$Og#a<`H)LSVRf1igr<$Q2 zqb071jsf+ESochG;l^?Dy>n!i4dB6*8Pg%W;Y|UA3UjQt!mH$~{SVHDlZn zoF!HlQo^rt%+K}2NeFXtoS_N>nekPwL!7VsE)KZnVOROza2y|1hCXz_q!wP9_bLgi zpO=g#e7|##phZJNF`DY^@ROxME}}_477)Y}@;2>Z>sQqy*5^Jb&v*UgNqO*^5XSh6 zOWFNm66oc|6OuP~Mn_Lk^HHFUpE$5k<%uV2-0Z7;V4EH*8*;V26dTNO)zLwY|7&*& z9&%1+ociwEU)_ECwd>wJ+z`1YzpK9b?7ro|C5AF6n=tn5+vm4=^JZsv2fI}h*S}$8 zuv0R+E+yIekRfWqtEqZi-BJv!3r;^v)6SQapO^GXf`NgDA6$lq^lUb(O1In*o#Cos zKKGx^+*Ss5h8_W6=P|2mJ5Thpr?0=f65k|Sb)%QL;g*7)8TZ*we;y|b&i|%1_w25N zz=0(Zo*MAT&$sVe7rqzUAG@QX(a_<~N$v8flYRxB;R8n71a{EC7YM9?Z2khWNFTRG gtc(Oz^mH=q=YOLF|9Tla?*@>Cp00i_>zopr03@*%0RR91 literal 0 HcmV?d00001 diff --git a/bsp/renesas/ra6m3-ek/docs/picture/lvgl/13.png b/bsp/renesas/ra6m3-ek/docs/picture/lvgl/13.png new file mode 100644 index 0000000000000000000000000000000000000000..037318c39d64695b363bde3178bfb35ff1753c7e GIT binary patch literal 11663 zcmaKScU)6V^Dm&HQl*G=R9ZqOAkwkW2{p6`h?E1OAksUCibxR@4v^4GNTh2J5D-x5 zhxSO1N|7Q0L8O;ZZhU|3z4vqPtUgJ(`qM=}GEI@Eu(einK+Xe#^p7pc#TW=Kn&0=!ysrg7)JBy)29t6`1sSYqoX54omXILMx_r65f6e$ zB$62&Oq|9{RZWA2#;m;j?ee$kiK!prF!2I28aX*cg}*%yEhmj>AruS?hDp6zeRW*- zDcjcuhr{_8X@03p9ePNjm}ELgANXl#A#sN-QBO-zkW{jDM@^J zcu?t7LV1_ymfHE{uE)L6{X=sMp<}HhEZAJiN&m3i+O6Pja$!s*h0?TMPkbb+__@~B99cNc9#)oXOJm1r=9WQpFXRvJo#k@}=X*&8R-mHX2_O}v z#N$@urU6~(KW=~GT9^?54N@tI63Bl@Ue><_C3^4mJ$N!vW0QU(D9@qNa_KCb?`~t^ zJ6G}9Fn@E{Y)kt`sSwIph#j?kbVzXz0?a|_haYv#lp5_@{K_m(f%DhV%ZIJ8td7J& zc-YXUuEl6*ga3kcjrJ)JFeS~;0||%f9F*UswN)b(j8O3MuZhLrLi*J4xQ23j@a=Z$ zS2I$2t{F;w_|0P?4y*~T=L|qwp#ljlngjWnO;gj!`%P*q(zJZCD^O@XOt|u-^^Bed z@6vWOaV~(QLaCQDd2foYAi01wk{^OAYWDgGgs4@;UmAylH5hsu|0s4PLmD8C0%WYp zmN*73RA}Au3?Q9*)ZkVl&_7NgG~`*!K!Te5c(RE9E(3L&wKX*X8A{C1?@h__cGrkE zYK{x5n{}aNJta)VDo{jjuZaD`g)$F(%%#w@M+^&cTt8b)3*9reepS=p{2-B){?!_=i?N zak}mr8V;LXDV#R67+ne-8O=1sdYY`5aXn=M&tr(S;6xmf<->Wp)a*0eKLS&TUs&*EO^R=!J8H&ZoW&_W2OBA^(KTQAsmvvYrXT9=lU`teyY;OV@1&zOEe$eXf`#oqKN&K-W-l%8B&JA z-@+3SJmnfl9u1**>LFXEIwj8-+2^M7lc6oE#f)*{wkshKj>TIn&3&L+gX{q0bm+lG9}f?ghd1a+F;U8vur_be{ zp#h|OZ`9lxscJIRC(W$AW~QDi7Db+}v_QU;F(i|eoGeTAFg_c>W`12qURNcRjF@2CMC0;;qAJFq~a`LuHyKupz_wWgE-MsegJG+J~G2eu& zn$Htra{_2w*V+beEVpEW1T6)dl5db^7*B^COL)h{qrsia?Sop$hi1CBZsu@`8@_H! zy$U@4?yroj63lsUP_^}oGU)xyZ!FJIV}EjArS20fY@k2*CDCx+!eaEeer+jqA?(=r z5mDCEm3zCJ#h)AXOT3$J@* zl#+6a%llgQzD>#*B`>Uu{#A{r?WgIUxArCD*CB0n=U>1CH9Aiil&nHZC874 zSYL)<&%g8z&h<@3sg=t2u`8HaheP@n?A9s0ifgtf`=Ma3oZ@bdxvkQ@xq<8%b>w`e z?8f=qm*8>S_ZQuLA@H;7&jB`RHyNxSUWFA^4@2chrGPi!-#ly!JWDs^(mb2Xr@a2i ziy0VRZt{=HZwJrf4V*F$vpN3Cm*!<%D=pTcZ&7;X0&LGBf2WG5`1TT=i!`CZvs3^N zt0vaW7SjMRanA2DSvjhS^6tRYNw`|%DXL;1>dO~ZexDl1RCOUP>;q|=jLwK_BpP66 zP!=d4a~blU0$&iy<5NDPhe5AL2($Dn0N+Ft2+xwdNeh%%Y`-S{rBe$!yz%*Qih8D_ zEov{}g|`1Dxj#SuIblK)zE0wT@tKk|9~tP9icYh-{r36?_cgZ_j0nChG~R_J_B~md zSb1VBm->iUp~s5Ilx^ITJQ%L94Am*{^rPJM+qvtfc2Z&9Ic{1sutzxa;9}nq^QAcf zZ&fM>hM^Zz(+}ny17~%1D;n#b7In!Ph~P0|>UOL{`k-r-Bz!Ffg# zZznI55!(_9fA7B;y|a49&hv@ZPw(Sb=(O7R+&IsuprD|<3z1rniM9Rg?Jix59)i2e z;xAGp(oLjAUzC42g2RknAbbX*XY41ch9)X|>qLSsL*qu|beIdPW(8oG*4GsBFzk(t zn(Ei@-xvCRb~Pa9C}7C?xbmaipXbwrR0-SXN7LhFWurQK-C7bm;!_YWG76Ch?tIVR zv309`Y=9qSGE(+_S7IdT)6XBYs~KLbTRmOv>?P;Z*2k7)FahY72x2WK@VW3;xVxtmNi@9Jn-u<3+#)yGvJ%va8MI5N%4N8`eLR3>bQ$_ zH88T1*C?Rs7}(szN00S=>*`B3F-5d1q?X9z**s+|rT$9A?c!!gdO9DQu~((<^jl~H zxMyws_SI@R8JCfbSf&ud1UUSTpMd9I%TUbsf@HiQF_^EXS(OO@mjRwr^NxI`Q~!B` zBPmwwutMwkWMSCN?o48Gkk(@ah=hd+Lo4E@nh5B#Tv*+@&9op`(1s_Mf4SS+r?Iy@ z%O=ZEUF7C{63{aiOni7n;bw@Qo100G0S`a^-7;~YP$p|@nYdh0Q)Uk4yj@{v#HvK} z?u6LDF99mbNT;&iPIQQ7kJXa+9@z})Ki*%iYCKr@-MO5cwbb4`rowlV)O#h?%q*}W zoGY4``I>fwofxq9{cR|^fgo1&?87dVux;}9suq*g zsM#&f<*2t_4S6pSf}iyW*AGY!PQrH2`d(H>E_vrRP068*ULY0H1c5IzLTV+otdSDs z+)h3PV1?iVp%p>--e500Y&m#FZ`hrzJHZF8gd1JsX)IoCy#$5#{)@(g`$gKCmfzmt z4#}L)PbxuKfPFwbd**Q92|cQW|wI zwRG$>xvOQ$z(6zvwKp0PkJ^3(xGDqRVq+<>vEK%QFF_`NC1vC;nJi3v-{u*wF zj9g8YZ=BlXuAX{fg1tLQ0UZjL&$z1Un4F9?un>E4d=rK`TfBS0RI3AD*~1I6!0xE9 z0YCN3g7ot~)cQBg=`HRIRhQa{aj@>SjO?E1JPxVu+2>;ev3fj3E?k;BEfN0jqqGA^ zGFLDf4H2ET!9Bk$cJ4JJ9~e?E0Xlf3M?z0P?B&!$btD_Vm`B&v?6vM?qNvi2&(~B-LO?L=Q%>@<=2Vtn%O@<0r!9y z1D4cr`FG6;sA(2JR}c?lL3IvQoLfZxtTlvPd5kbrD26lInnZJ@W;;JYM6PQSwk2xxup1V3 z8Zsl|$*&i?rR zz4H}F?Nre8MoIz-5%D|6q3x?CT0PVK`4S|}xSz5jz8b$$C~HdNo%<&cN#7oSdw zwc-LEPRj$ztMd$Vz4tGPCi$w63ESfVxQBl|vc%_)(gW zpk6YIiQv<4C-^^A3~u*%^+>zPHyo7IgBQkO(7T$}=E`#=oi9CnmEj)B$U(}_m6r1U z@$21BUdo>7>>^0LtT3p-+&Ish?|JaA?cL)PUhT4WEp3rNtJVB`T(Z1tVIux}UnLb( zw~qc*Le(`p=UR^ zlLMQh5uhcU?~K#t!Ic-(;alLTo7@4bLSVriu@hA9pfjhBJqrRzY)%b#nw!Pll5R1e z@^%0tK}s*ygA*_qx)@D-<4keJ7qOsb6!r6abs+vg414kQ%s>cM{SuMknsln<=lf{v z`PjIp(r=UFBXdNU2ZvE&R-%B6xftu|&rzOgNUJn)xIUVwsQRa=xfSYaE&>! zXKlR(10;$H|3RT~Ux;*9uA%QyAx2>lq0o`Zv_?yQF+qCkZ`fo*Y|>NyHsP8-kqHdL zG{A9&b(}WoOn0X$P@;@{s>cM~xL;vXO8AsQ2c#ixuK(yuuzlp9Yz(;+y2niTy-_l) zTF+_pR#^E5H!a8J1DcgC5wI3?sZ6zh;Iqe7Fp-G?)#7=4RH7xa;rjN$2Hx?CpVD4; z8Ck+C5I&TW{KuDWF~jvcG2D7w-Npl(}oYI-f1G7jSvn=qw+-nhU>4cXf?8$;d2G@ zr8c+}&c~K)HI+8|@8=berPwiau?0&AxX&J%@b0^!K2{OiyP<@{zWM-F(g9e6=-zIV zZBAr~_x8~Va4pxrw&|GaqLbQ#;n>$n@IJ7sd&G*e)H;2LxH+?4Z33_Nc!@w@6F0al zGWLXAY@&(!Bv(;_fgfc#15n_~&fFuO?f)lyME_~l1;9#eOrCN-)n@;}R!S z&rMq$V@y&R8NhZ)WDM;2fx!zR69bHTN24M>|0+9}{cmvmIBCT?Jwm= zJ>aTq8zljJ){OvevJAbfcP+y$$Z6z?M;Saz=i3v0mLhw$N;~L1jBn`q&V_rHcQS~V zVm-R-iIx`r7{P(ETLxXiB61~4Bl@cC(q$;uOX z;n~mk5cZvkw^Aqu7>XWJ_XEO}C+*?}JIwC|as9$y9O6G5{l6{||Lyj@heez(5Dj?G zO9m$NWAZC_?Mx*q$Q)1fCoqCKz8GAcNYCp8YC;nqaGp2~N}tTI6cSiU^*d+g92@sR z^z0DkQG&CMC1*DAs_}pU#IA-EL%W3hr?d-^l!C?!BZ;hWEK#cw(uyq~hrty6padiKK1oH_?DO|1 z`6c293wh&k{^E^S_=@&&u4n}3|K~#~BVqYcJOD`*S*(;*-r>qQJ!r%kYY=a=^l{>ESDJ!XosFIGk0GPg7O-1GIB?NBljC z`uXz{Kc82l4ePGXd_X&ZLd4g>Jjn{?JM}wLUccTMH8;)i8IKxD{gupLnQFGN2J|}; z(461b`><+~Yh!!)zDEY#cFKe$c8y<*bWcK?E&%6TK zQ*_O~qW&UF%di{A&!eJ#2)`(IDwGh=n-Ptu#?8x73j}}jjWw7-XNk$|SEtN{;Hx-JhTq^U7pU z%mkaQL&0s~xx6PeQz7BAva)$2Z(8MtKpqy9zJn5yCu(yO@V171!pEp)V7qf7u4zmDiF_xqW;~98|XP zTVMMf`b2C09kq7M>*Bi;3yMyCxY#hPQ6v|&{MjG#T4qB4|6}95<6_)oR9$7*@rTOL z!(~T@skMwYm%vtdR$&r$3(GWm&*Hvv3i005@{Z?qx6PjLSooYa(HiB%@w(@rnY8RU z(O^I=s%3{B4<23u;tp~bm}NFk!tNh_$lCyQ|8cT#L9WO#Zy%wxj)pe&F9HM0^nlPV zva_Ws>aD{Lkg!J!&Z9ES2QM3b7C8_+`d;jq5!Z_xG5O8NQ~s^@EVST0dspz*WoP=mhaju7Ix;_KFMtP6+^a1y6Fr}~cfs27G36i-YV zN!t`l>YmQXYfB?s1l}jbVVJa!{?k?%{w7Yn*C8`8t%U#0RrnX_BsIZ7bTElX6Bs~yOg%XFpUWZaJ zj7JNPh#)_nMDdP+=ES9L<<(^*z^w(vjCsm}gYVS8ev+AMKP;3@@{W1pQ=OHqkg$4;^PJNY8+QJnAyaUo=l&o425_ zq}BwF%ZrO*TXDGZq$Ii-^M&u{;a$kP=!OxMaI=jLX8#x|CJ@TarT5%7jLG!~R zrc47EUxik&9=f9x42X@ZgCQ_zHKTWmYGIX>K)_($gZKo^A8T+)r{H*|2PG{oF#Vqh z6YF!KGF6iT((^~h>H3?}>&1*}+h*$&NZoYnY5B0)B z4+%-w>b?xNRfMIBoB@Z1Y5NhjU(56GzQ%EcIU~f~QBy`7OQ>zsOz5L=bi~tRN%rGH z&n-IY)}UX2veArIR&ZtCfK_oy7?9rUlyX|+7IOdhH!&~u(YzHSEs1xIEh24VX*0cA zzw(c?!S0+0wQI+vxE9#ABy2=%Hz6@wbTC*E;CeUZ%96T7{}2)hT{01x?viyR+38-^ z2#BZKPvu(L?H7h8948psQT=$1S_YMR)lp=JTvVP{?LhvN`$CHiK-b9j8kq{*neJJ& zn)iV+aN{^$#Bu)VP4N=d?wk?5Uk`qh7pQFQtRULhzuEAzZ+EuQ;(EfQ7Bl_2R5!m^ zz_pxsGJskb0*Q2vM~}Z72S737xD->K(*nbJxFwOGQK3t;WZwH)ZHGF=0xr7nmGeDi z+OAi3ghI3x7XQdXvJ$J|q!*_(O=rdQU}g9)0fqhdA)hz%%_Gf27LL3Rw7&O6<&x>4 zQft@-q4jek%T;|d7G&7$y?SW~U-Iwg&i~Pm@X6^0EpQgr)9%D86&)9LUT^Ng@>s|O z!IObs>}=ebvR8=It}i03Fk~Y<6R-FTQ8(u4FUguJj5i2nNj2r^Mj{?pTCjdKX1h`> z57svwmD`12?ANdsei%gnQs)W1(JcJ6__vQVe(1i3T@Xh5^W{KdaCwWz2se9@aj%#^ zM$vNR8b|3&QCXx8rw)p}zBCkHVfiH~V`wjvtcE=B4_Y#WN+==NcTZhrKj7x%;vQfE z0bO%0hre^dinhi_2U^7hO=?ELReA7dQ#6?w-r3cIi(HrWP(cE#5Z>H^ zao$tP6Y|xoa#obvj&5CP7D=x%x_N;T6=dYqM3z?5ude(ymc}0ZRBT^&^T=S&<8bb( zJJhMTjvy6EX~cF5xuo=pbYjA@a{t-X{sLM~YIsQQC5@5Y>o%^aOv|nH#~2#V*YK4*M`9to#NKMq+IiI`=|a>~`JcNp>xs^qe#fb-=j0s&hv0z? zm8|LzS=B9SF!PMx@zrc0LKR{@R72@4elGOWh;J-R((C_q&!OhrPgpOi&X@qJ?zRHwExckiV3ndb9@yQFpV4zX17ElG2=_=!^R@boJYL zHB2!z&oR@bv~gm7C9M{Th!M6#V__Q+q6{WnOG$kntKSKTHOXtEJ);f2!;4ZodDH~f zS1layMOEE**ry)73oZwTslqlOw+YS+NPl6xvZH?Wt4 z+Rss2L2f#5Wgrk3*RNFyMn=4@({eG1@{3w#_R$6?yogxc&96DQM50Xh&}3ZNx>|cm zbE~4dBc6boJ&V)?ZaIJEuKqKoOU$F>DG#v!>@n0vUnyJ0a4`IZE_eWR`XB=`zxNUZ z+5gWK^54?r|F~Yt?Ic?;QQN2}9Fw&hauXOEI`D<;oZO4af+@cenXF-_lxR(LDO>S; zLtzfobYf_}=lk#HBHdST0JYE^SF~tjy%|~J>dZi1y5~<1W0XWIBQIR03tU)<|0nqu!WyC~MI&NMCe!OAjdbZGHjr)X*ms+vLB8rdsf^$V5F0aQFQF3q zOF{uc7Q+WpVyFmZsA>W8l=ovKlGgmj+%+FHo>XD5rXjahK8ROD)(4_9L>;{>UXrV^ zi45+DCnbCxl&A+O@3pm@d$Mu2 z2?yuYUuVr!SS|5w2{zSv>YSpt*kc#^NoDGNV^7xyET7QSXbKWsHuZC!fAx-E z%ph^FI-GM~L-fS%ROCxyPKO+T3op^O3S~4*XtI>`IHgofK`HAg;SL^U=2oTYqvpV4(Z6gJ)41tz;oYnoLsspI1*H{vuCKXU6ggNW zgdh>uvBncGyQ8Dz{}LE%iYnpXI!wwMH)B-p@^%!prH(MOTulpZDfiGf(QPOV7PGX< zzq)J0sMaypC;Ei!pf)p?lnRn=wMdy5IEC8|?-Bj1Z(JO@KiOseK}mM+ntjsvJS5z2 zW-a|Optsxj_2d4-yp~{})ydy-u2#IeWv6WBrtK5od$h^Kj?0)^znsZFo2CNL5nsHR zaO%1{ZCbQjnyFE~{1&{Wcmg~hIV$f0+1`i4?+&Y$mDVqHR$*~Lnu?b)LbAb z`_2y@#Y5W=J52ICa8>{xkM%WB2Vb$R`N_Q~>LpomUq?$p?5arh_~nqB#r7#SN)r2G z)+~4*P^Ga@3YMTl1-#;-C<3N2dED1u{9WZG@8BSy<^n+$!*PbcaSh(C)p6rYpp#M@{x5?_w(dSkTeB)Vj4(CIGH)y_}5q#Yv>)%VUMPat~ z21lwyVZ3#XvHApq_&NCkQAySTiyeQze(Cw_vo`!)cqRSw-E3|BQ2LU%%85n+)Hl)e ziQI}O#b{f{+3!v#PEJl-_v&$rgVVttO|B?9)D?k6@5Ni@5%h^c4f9+Vp@9zOW^6UT;0WL9PSVe10AZ}&#BbA z18Nu2#M3xV&%l&j{cpH)>x1q(e7aK>>8ht}F{m_zy!Y*1F7}nM2@{S`XHb^OU{@<$rvQtML9*^H9b)g1r3O!5on>t*# zG?uE-^eRjs>+WITpXc13m01}r5b6nw-;UJ?b~E`M6k=PGj)aFZu^BjjIo$-jrZ`9o z$G>3pMOk|OBuv2pB(O^%KWoncuc4rK#XfR-j>Ubvf+0Bb&VyqO1r5W(zAFlK7*|EF zL#;Of?}Vr6?-;&;$Gd3h!o3kYq%zH}*34&bPMb`M#N}Vl&*&hhSigRjIov>=LiVyp zQ-0!ALDyg>j3&nH90? zaj~?*`p{xhE?+z)(t;YC0(X3+&z=Ke2h0`osJK-$jKDQ&**2SGiWx$V~;u zm$q0jZw_ks-RtQH{(9#zm9>Mr`^~KDo;{?@iZJ)Woe+CFyLME)z*z@*co4_2URj;b z*BsPa|Lb%UwfR46fC1&cFmCft?c(`5w>=kjb!pk|ZV^?+hj&#Z-Lg}x1 zY`zbRR9@Ib?iRwZ>mNL{B8YP9dTj8_u}S#3$BUtMY@jHAmD2Mak)qp1e-L@ z>!8G;Ziua7RKQE&+FpEJs1Q!~#}+Z}rLCD>!G~Mn|Ha2Vvgy!mG2g+j?XQDLitIt& z!2h0kKOwybwSV6&4LWbBO_Q7Y%cCnnm?S6S0+9Q^00=xgP66w-s5z8r@!v0DyxmEX zXL$}vlWxq3Yf3zbEiEnk`uoSmzC8KsnQ$_}`13SI&M!XyD_JD;CMs0HUr7HIh2VS| z(mZC;$ckanUuM7pmcdeE{RN5fSl&%mJV_(xujt`Ptf%2;>zqIB&DJjwy-s~V1aA`W zRjmEfovGwBEDS2k*YDo`mEYOGsr-?2y$dp-qB{HYRe-%Dleq?5QA}bP~**xmFHRQ zT!PN72!A~nU4>0WCGNLiz^gbi64_l<=sDDVK{wVaN9g$B3Y!u!(EU_gDDRSz<>=u; zhA;9bS2uL)%1z{ZtD&k+asmW)C?Ih;63H;??mDZMi&Av3!)NEUd1wtR=L*ac(9C%5ajcY-XD`?bNJN1yW8?}m8lRk zKWWoFPVd84M?Plv9Ih9l>2-m;uFbf(C5&;aYU{eZr?@8RiMjF)etZDzl)}@>+O$$A z`KnjCP2uVduQtu0{k$`D4RLrl;AMc9&9};Mx$B#?J8(sRBXQ0i+-7IyFuiQz_{oqt zeaN7Muuoo6Kq|M^IDFvLlq$JjD@!gmW~XXckW6)loL+c{4Ub$&pz!2Id_Tp9*TG>i z>q)(J>t%U!CAvKG6r$MhO5{J@4~=KYoii3sW2MkVs;=NWRdy*I?G?1CK8F7->=JsM zrCpo9_RRrjR|5N#)$Mss`I=f0Ru*A}($s`MFS~bgAbkuS?znkp51%q4t3kY0Bvn@@ zm|VJ8S3!|AcQ||Lcc4#iJGIZvZ>eux8Ril)*oC@4wpGZGc!afd*}a~l2wvX zu5GgIUzN9{2tv3KP$IdTAl#D+Qj0R;VeNT~BULRQMIwZChT-$T8aDp+i!JH?Sh?v5 z&C+)52mxn3{cLxH%y3pm5p|MMe`d5vfu7qk(fv+5oaJEtPd`6ItGFsF-HcYt+Ic{ae9m(>r@rPgwU71o_K1v9GjSxi zBz*gq2h4}gtArr)&pvhSY_ZPX+BSy0a9p?>5-nxYaXlkuGuxlusxI2Tq2(JqD(v`4 zwYeOL`&zYvL31a79ua8VI7rl~J)h>DK{0~Mue;qKA(-vqbdHlewE-7aAK$RSKA2|q zLcUQCcXsw}|M2&jav|jSj5dH=E3bsO;ZD2DhaHi!da+R}`}GQqK-KllUiq8`k2$Z# z8Li9RndjxcclO<8WuJba}qSwMIX4AR>h$*#qp z*zNp^1!bHor^`FCcbRp)!;;mS#fu2TE|> zXxpsx21M6`OlPkUlkn?57&T9hRMzfK;YWJ8&FaiA%Viug&fT)uQ{%N?^!2^`cxy_K zWn`cE5j!NMtuadWvdF?pInHG`E=>mOSLRNItl3`($PU`!FGfamUBno3-@ovz+ zpyxhg{8v|5mr3Sx6t2zi;a}ToxQjV^5^$KeOoWTLNTZBa&&p66ma#AkJ)J-NLuQ{s z#xQ6?1*Td1U!Mbd*wzcK+J**x0Re5f&g60n^YOO}UpB>F0o}p$-|hg$XJ2}3KBNQj zpxFlZDX|PX$CUiOCtX{T82N*X&&z_O9^m4^FmFt%A}W5H6zocAIbfXZOV_m9s^Pn(zc=Wou2 zI5Gq-a9b&Plv+laKk=T%V^UbVwJb{LJtoRWA1Cw<*b&)u9OX1fuf>1O@L;K+0H}-V zwo}eysBox3>h5OGbIyINOd!vre!{7F;#`+IR*qw&kSn2|$_6W&=2qAAlyd~jl+;=S zUP@fW{B%2y_t`V>D;53;)^^pKq3euYde|panq?PgO<}nk_B#H{lG;>H(7f4^sguq# z1#UKC)lSnciOyRloZ=NJ$MiXr?Qr5mv4AGV?H)yU_Y;Wux~L$%1EcI7*A#1J`cKn_;lU0Ux3g*VDG&a@}8)Vb)26Ca`-jGn>JvM|$OAn7bSS0FWaDH;1XM&gY5gb;5ynGv^ICPL- z(vu;GEz?vonX{bD+!Ld+GNEeN%ORENvtm%PyKFw9W?9be2vKv7&@#=4AIO9&cR)RYZ>2ac8Um ze(H)lgZ<~Eisv$fP_hojdo{E<1(oYb=Vh}RW$nuqQl*aUE>t%$7&ogOG^T!IDvVc! z7J>(Dm_6x;*&Ae|CU)zw%ws}H^+r(%0jQ4JmbFoDcPVIjgd!bufT zvgs#cT)s`Pm>n4=X}D_5ZHqzX*pksxHM* zPemN?eo))!vus2uACMeloNjK+@M!x)(mmPdXrt3a{sz6m<7!aPk4+JZ7_qZ;Vu9cH z_p2Z2Bo9(>Mi5zO%{i0BLc}=2&YWPh$ngz~QHid_2FD$ctc7>F6_mWpF@d<()^!|l z)erU0Ww-KzHF4HiCc=$=w#xK?u48x-)EL{pp)bh4moa zblc1ptMqZJ;i6+*25kAW9f(fX^U>APeEIZs<+7S0qUImbh1(+CS_o?XmHe|f#W?8& zTq?N=TJI44ck*qHKt6 zxsUxOxc9EPSqrg?)*$4bW`bhXzHJ7D;FXd{C;BBaXbQu83M%U;xf)D0u(V3{_GprDv2 zlGo8rgL#oCHGI2t!bVd~EH&}#+tmEI^ruok6 zYc1^nefu(2@Y90%I?e#%1M_s1N*=>%a-}*wrX6DVi*n?@Xrh|MGsOem`LALh2Loil z)#c;*-y@n)K$}`2mS6YC9^77It4BD7ofQlh)W{)1x({Dh>~wL#0dbcSd~Zc!X3E-A z;=^y)V0ssNFO98ffdu8WNjEUGRo9lQ5_cSVrfQCFo+%!U?$acM{_+%MP3T#S?4tt3 z0FIW1IpNR0Ua(=SEmseFj`jMfgNR3hR3)n%?$W|!=$v~EyMT+&7J7>;DZGPFGFy*k znQYuW4GKU!QIu3EXXb+cS4|r=&hR+{mVpUi43azrTw1dx8nWpe-6jUUJBJA~t zCrSA3JrBaQ#U<&39z*}9X0@y_B30>i=IVAOQ*LYc1UZY`TD9|d{CmS+)#X_~$r*XxP5$_@ z2+xDg#FN3z zS)8p8ux92^Vu(2DLz+?pf&1ctD%EadUv9%|iruGw88mJ8>W6LrxbxtQFGR6XHol52 zR2LgT%N_HM8zWlKCk-xqf79j1S0LpEd{gUGm<9bYr1hP!0ueeIv+yXQ2J@&_Lu!jO z>y3v`^T!GYtvrV>uTGwr+}8@?{Zwi^FpZ%7xLF#ZCui@AyliPDWvmRCwQ=(>yCrqR zFk0DwDW+%5)Bs8W%r!Lc$~Txc=?{5jWso?Xz8K1x9cx;Ytx+B^d?22fS= zm}qV>(5*1T*KtE6{Y?@I??P#Q#VRnRQ_D%qCi5}uW&SwJj`1@r3Dp)gi>O;#L{91> zs@!ml{61VTRo!C{yEh9tmmcEW#6h0{B5%{ zqin`A;XS|SI-<y*jpLz;U}E*etzlh;o(8B zR9{{FHnwM0~iu_EF zv>y%e1_NbNSC|CjOj>l=IU!@UTK^}`65$4&3mtTEoRYMV{q%5ix6Rjp{fGShWK^f| z-XT}_5qM{upJ0Q}&#=*kt4ttx15-_jc8bo{r^JR>#@A~RS`~13l`;tO3;!@W7mOgL@%gEMg#Q19y415buVrHPtlyWnynjpf1 z$J+wH{XEx59n=rgA0~Un6_@ehP=A>-4NPNR@I?UAb;ZL55>*)cYJmY&UB-iCd`|fW z;|ABHAWk(K7OZ_H)j5V5B+OGs27`;x;zqgsPR9{`kxDY&pd@xF#&(a9;mpTmgZpyKd=Hf4Yh?k+uxZ}_#aR)SgQHjap9z?Ts%7luw<-+r6jwr} zz4vXg(%kUW51hj^zf#T&nT>`Qu;FROJTa84;DyP6Gbrv0MasvI2G@)^aP(oAGvbk|lp29;yuzuviCl7J+j@ywMIoxK9-8_UG;31F zPxpYyUH`B_eytk*b*;G-jqLWJ~8x{3TM!i-a`mR-mh&Braj=SUQ*JT_8oiJ4CU8MpeS*H|OZ zc%gEf<^v@e#{&|o_m@(4Ru^1BCCNeNL3h0yPelT6hV4d`en`4`jVs z`WB~>_F^YBtC%CHb8FY;XT$WXM3Ka-B%QRr)5^J-{p5$?d8X|A_T}Z}_4PH^o9XFk zf?k#2rGPh2k+$QTw#Ewg<{BH1EC(LTe4PKExqpQv`v2Fl|Evj*4Z%v7x;p{fM=SuN z5|FKlt5~^d?|BlJ9q1No;HKjw^r~g%i@u5BVYRF%YbZcyjhP{(=h+qDT zSl_a+=@o!ff$$urx9S9lGWSaoE=%BPIBjhk`R@F}+?>@u-7R(JdGBOYP`h-KirR2X z*+o=qI(rB!N-8~uGy{b@1e?_|^k8M;VW;6;PvRxVdu{Ly*f`7@rXDxX&@?&FpLJ6GpMqYbH!f-~h(ww(1Eao82o-jt- z$e&hI5zZFfOArl|O75>PhrI1IzzqX~+IashKwpx4jTRykGxPObz4@Rn ztjC}x-m~awgism|IsMtlUNKJyHCL}9Oin{etbyuV*jmQyu0Wpv{g}&~#N4binMBc6 z3&!r58q3mx;eKtTcoy#m2+d}BQ*JQKCYAWTM+mDm^@Em8%#8nHq0zjks&(eG+tHv8 zgi|s-D&H$tZqXsqk4)&9)O?3$sh+%2E^YU4U?~Kpn=ChoHKwK{nL9~KV>x_EHN8%z zwB^^K8|iQ()*ycE`B*cs#YJgCK-?sU>}z92`oj%!%l7VW11O}9ZIn^^In9r@YU zMZ+J*wE~b4+s7?mF8cYF_T40zb4FQa;8xGa7A0?$8szhh$UcvaQ zAXqBfnc6p*ZubV36yzkA8G>8jMAzz9ptRJNjQ1gzVsk&JUCDXfGWaWklu zI>oW!XqC;SVu+q-Dbb$g?F5YR$;m`PoP&Wy7En3k9024k_~UM%aBozuj+1AZ3@C&L(Z(u-1V`F1$Yin@ElW^Fa zoSfpUQG893xFpuk;I$e(-0yC0^j;$CJ&vRk;bXx~Xad&*8DIWW#Sb|T@E;$TJzbuM zwWf6h{Oy6&-6&r{lK7xN4Fy*%3TW^8qQBNSisSy!G7J*g=>T8MM^^NJoK(UvN#`Pr zY>)Kn5loFKte2}X!_;TE?PP&N{Nw}`UiX}OuJrnuyUNY4?LH@-jz7Cq8x%q!K!&}u z9DYPVf!p(?Pz?oN0KM!mj9E_|=iDoIAesEKmQgUc2BmI;sIW-Jp!y6LTdMPse{8>} zaq-+h=)rgJZ#*7u;=x~7H(h?XzpTuZ1zN0MlGj?&?cwlR&W-NcigIIxsN?2nEOc*# zGLpo)1wKOZ<}x?>PjFGunXZvcw`S>OQR+MVduIHO0$_jsbX4Gksqe$J{$c~jut?^S zf*;4exOS=&J_&l*FAM&F;kQBW#`7_qy=J>yWOBGV+xsanmMp1=v>nm(N3P+fyE2J4 zf?tCEbU0eBQPJV1^$(M0Z}d!p7B1dBeiq$ttN#eQ-)>~~6MG&+GZFEWhcv!n&EZ*P z0_3+BycYl6?#fc;Bu_ArfcxeCg(LUQ28_6H^g}w30Az}*XC*kDs*_pKQD(dq;RT2iB_gJK@4(jJHteoY(b#^d3%=f}<_@}qX|i>;lVj}8wSdAdE$ z_dgyV8ocSQ8qtv{8C2j|F0nMJTG&h{_nqqtXT1;U^H3;un z^s5HI|BW;^}tA%j6k;DDyRpV8DGs z{xg-ZRYl$r)4rXIGf48xK_!26umHv!R_x!j+YEj4<82|1lMJd}QO#jvx#-sK{amiM_sn4+4(6hzKGa@We$Uc{I=2Kkq$4|8UP9x11AGu+ zKk=tMq;{z#Bz13OYIv(j)V>>Gy+i*a?jf+y1ex9=XD?)?`^O}@zSn%de)Nl8{!kqp_5 z)z#TSqGFZl6(&yrj70FhyCuH+7<(gdcaik_bk6;3hS>9(*{O>zg3U*Jj@QET7>a4& zNC=WH9OLfFMghFrPsl(suEdtPUR4xmzIDiTwf<2LC zyYu6bfT)E~mEYIJ_D|r7gPCA@f%|q96kM4<+x9(ga?d?BhqZYGZZcR~stTmIjKAJ_ z8uq9#U6_i8X#kF-10rmjwK1KSO|~=uX+%VRAVmVEWTHAJwY>myyB|=ZkVgU#enYvB zHddcRIQ@B&nP^wj&F@LD; z!PC#v`z7$;farXJz+Ls09oC5&*OpT2<3|Zxx}X;Skh4X@rP4qXkGds2ePB%JHQvLu zmC2T*bzCr9=o7%Er1|?J+KA}9AuicFV4FGM2f!1t8eL~sngJ(gZ4SOeadmT+fh}uu z?^UhR6unlqG!lSgGNANq%kf+WeA=GIiMZD^+0#2!9|=1Z4PK&iy|~9GE#sK$w!v*B zt0O119xoeiP6Oc661T)}OVqAP{`l)j zakim)vm<|?AGXZySvm0~v`&%sBw_wq6(`+9Q?-A)E!HntB_Y@MSNW&Zx zj_F|cR@&%1l*x7L$u4qSipw8U`s|F1^;b5o6w($e)@lb8n(n~l+8ay`u-t7$Im1$s zxv+ZthPlsO-roRyh$ zSpiny4O1V+g_8Y>*oW+u8R9engBcx6#f3IRk|eE+*Kv5Ob2@mRwSH3vAGv)*VHuX( z$gGizz4~#?;pSM9b%RVqWqOdVBLVI8H9lo~BPw6$P~%Ku_iW6Rf~ zfHb2!a?1kVv^?PI3-?sk6ovVS^PP0h6ugGwwBrdw@C=^vL}!Zfkatuqn1CmA>{=^Iky@L>OGVqAgxbfbQ1-u|zsgXpr}`$Hm6!@O6KsthgYpb3m*gHj*CcG7 ztZl3hU|hj(l>S7$NtYAU!+ar?6e2c*q9?s4%WbnbBod%#S2Z@u9Ixeq4s05NAL~P9 zcP8>pn@go0Uwc|>m+2r_({fZvF{YCv%0GpLDtlsdG%G?nK69v5m=2iKz5;bo$T0C1 zGUhg*8d6}=Vd92$y3L*j`$V~ZZu#75+bWi8CJ3dIFP<)`&XHfr%0GH8q&PrfJ{G== ztc{Ob!HI3N*UHMkN*1q6cy%JQS?s!?RUTpRRS`#w(PC^@jlnTfG_gG!xj#C=CSU2+ z;dv%m$34(_Qv&3SGMrs%5n#1Yv>#bL{>C##6HtOw%6yj)ay3@`YJH?aLiVc;+Jafe z^pspGG-#YYs=ZiZC6g={?5*&88B$hOzR^2fY-nq^116me4gN(SzglYA=@kt)z+{R~ zXw+M5k<_6O_99B%qF~Fm+@4=0Q&goUzkwr*r_Eq@v98!oHB#b-%3(m<*^5>|PtG^O ztM%p$Ki$yYWJ28ILbpSTS9bLqn%83ujL0H&Q!E{4mGNzg)pvt_7lQ$y7fcrZXN*v% z;82A4NVLDyBiRvvu0(aLJf~Ez-#S<2Z3gb))(E-27Fr5)E7?qS{=yN&0_a;iWVTE% zVvqA*&)az{sHh)>vdoKt?3QrV{^fC*lPC2LJQaNb^N94GyA~;eHrcVmIGAfG0!?zK jO@Q3<5~T9JLnHha#LF6IvhSOK^903GRM}Wbgf* zx^>RERkvz>_4IW2>R$cW`>qL9kdr_~!b5_AfkBm$6#WPT1DJ+^fkj0CK)1+bFD=2q z5Wz@^3Msk59;73e6HK~o-yxNgs;M`Ut=!Hj=wrn0!dlAA>W1`de43e6BI6O|n=7fr zARtBW->mEpq;VFWJO}nwkoKW9)*vHlvj0$48xM$d3XiPFCK>401u~@)#`wQX4u=U1 zHq_D*ykfc&@T&K?WjjjAU}1ThDyduCzDZx+EqWZjN>5=?DNtf1BohSu^NHbxh!tG* zzLN39LrflfozX)N3^)Y->#1NP;i3Ip#yl3r=q^5iFPp!T?tLPS?o&u-Ew- z_Cp}bX0^S--y;=(Ie9&r5?2uM5^O^5s2O+R=We%sD)0P3N2UWI0XMAk8|A z8xwFG?DqH?Ez2EIr^VX4JoxtW|Ghp9;&(bJPm>Ux#p8bb=F@9ck_!g@t2O+ihLgK1 zAAz`~+0D|TM4cZ+2Nai9cde&rmh;oj4-Bf7KN*0-i!l?NCh6*G980BG*;8{Cd##52 zh6)XNtmh_XAftH=&q!xp^%)@lxdFO2!+EU|^%+Zt$RaD`MX>G7B=|Rm04?$FA$?CoE z_$}G>`7-SvdwU1-Ic^taB1pO3qwaN#gs$kbJCA$HUuOzc2ER``3ulZka%qe2=Ukef za{PI6tug4y?p}L+Hac7$t}1tosWocjLnF;k8&_qnS6Bvf$ppJBWdb=(rA>BY_}{i= z-i`@i;x*?89xDk@{pcwz;xD(_^!lV4)aSUWzd3dHMI|utx|Q9o`J&nXQ<~Mx2O?T8 z(jSMPW^Y|J<)vRVvp63*%+Cxv7m*6$T+5%;v;rX3+0x;!~(2pBJ%gfqSqDA8&3rAaoo_f zPx6j}5vSpu5A3Zz%VDdFx_W*U2~mkk#;ddZb!s}^NbzTCC}g%aFCVfz4R{_0!BYzG zxXnM+A9%dIS?V&;rW^ELbzxk1xL@?pStz2PtadTYXW(LE{i4<2jHnKh9%pqW1jw+j z(AjlRb2>zudv=v*P|}Y5bfM;s?U(-Ys;17WMulGtT zHh(p-eEx%4y6+GPGWi}Q`kzeueU|O_q=fapd{4j5#+pp-m!kY~9>~-3&a1t;<~@(s z&F-v2rEJ7U1PtEW&Atx^pD)_&Ae0ZvcOWslDBE5*^^monT>CCwSxc#tUbX?nnl1d` zli6CUW%mzH%_VsEgt6pBcbCc-0roKxKjO7)w>h0i#R(hLEq;1Wm3SQM#?y4><2ajK z|Kj8_xuD5r0w)CN&=kt@{#a8H!(SI}SBj>XJ4f#CZpu?Bk^3m^y}I|S@M1~hlc*^{ z!Q=v^Py3>iq1z;GM(p3Ih5&2X;5?+hO_0fxVS03`nD}(D*D`gi=9z!Fm12|Vqbf&- zEvwnG6i+N1P#j#080&*+~H1eOWqBMF@}&>h@8$#q{ZTL@Z~2b~B^?2;s~HJh`Yi*XJ?oQUCbjZX}S zPjfS35PziFuCkHyVLR^I7_9U}pWX;<`yh%hAG>)uT{?$5LQAsi$ z_ua4;#30)MKJv<99TIAmInC?(yx3L!U#hgK;tLGFx>iJ_w{dl9y(h^MH9|(Brb#ny z_5+6Xhck2wr>gNgEF>PWg-z^F#cB&2hR_Rpe%YP3hYtb9Z6mLhON8a>5r%<D&d zIlSb5F%%bYq;?-g-cl=?>d|O_KeW7bvo}z5^u2N2z~{K4HuL(DW!ZbHDhNMg*AVbsQ{_Un=A)px014N9z{wJd7KbTEF72 zb=l-mZ9eF%WfQ=z+nK;3A5_Ipj#DcHv_v;_la++L$8*%&U3I~7HoyM$&zOlI)?iL{ zkKe_LL+(e2M_!(z>&U|lnpaKxhi>N?s7Ld*TcIY8j}6`}3htEut(4*#kdxG$|z;c|2Cqbjr}UZ-A}y!*vfiC?NWE>fm_YL6Sj zpZ2@d+UD+8Iy(?$^SLz7v0ly1> zloe6T!v=dR>@J&p@SpY~!^lFC+G3UBSIwLwAJs5xkm!Ul0X^kIvU^nQKSEN8 zOc3kqTJZ?QKj*-G2_09?yM6-Fe+Keh(w9cGOFdimpR>I~g5C*ny+khYe}1753!@wo zRwbO4N~F8lZLfl{sb(mMFcWC0!9r8thy7ba_hWT#BI0{WNG$P41`@i@H-lIK176I% zd#z{`g;&*9fS>*(^4V}`;WZxmUE1ghXE!Bz%1x;+YGeTZVp)7xB;BUCuN@2yYBBr+ zAyYJht?y6G?W9Bg|rkaV|~ zsL{P3i2%WrD5ogro$RsF`o*Fm-dIXHr z37o|nT&0|l7!<_Nm;j!>B0|Sn_+hpsIl`~}Po;9A7f&zrKCXn$I}=vXFuR|(|)K%AN*;z)~gv)s;rS)GoxO@#;1oKM&#v9lat z77YbEzHhT@CoRcJGW-#zM;@9MoBl2KHRruWJ$?dWSd4~?(i?`x^u1Oo7G#WA+O)&B z&fmVfS%it~X73=_S0+O6x*YFyYs~JRZ~_em%s$0!HI_4i6#@mKCAl9rxrzRvBZGy#AZ8ZYEgV{3zujg&*W*tb6(8+Q zmgJ9CL#^wda8{R)i>3Gc$@vJ#(kNh!5FNLW(L2E0WE*I9p2_&%IC2eZvPh3x;v54x z%O;=d5&|VU>g5G{LA-T-8K9CTp0HZQE0cU$`aSnNV;+^dVhf`axZLGn?!@yn;2kAo z3B02j=*kVWvEBGkV>P@_oIx%-hX#*G!mf=E;gpNNP`8vs;AGA%A01n8`Hriy&eP{* zt$f6>Bp0^uYDiRRoj|2+A_CRb0-Q=zwBj{0)SDztLWqCU>--ijCyLw)m$!iof{ExT z68Mw5vuf_V+Y(+(+bDo(_$OpOL!hV=3bRW7FD3~}Kcg66(E2~vB7tro z5psD@g?Tv1;dGV+n%LH{~YB4OwTKtPfiNKH*m zG6(;1lm%cuL{zdj8&IVO-T^>5TNDao7-Ebt{=+LP=yjRBz$^O4VZtCfLSU37afm7r z|2r)^!nssnNYh-GifV_wZc*`<+PAjh26*h4^sidJt-Yyir$Zf=od$Db{}IvTh`Cw9 zojDx>+-@o!k=66!JQxa#p)7;i^A(OO`@MH$3>aUiLnzViUAbWXo`U`*7ND*}}9{vP=~Pd~_7Awx5}5I8CR^fb zlIj6@n=U;QM{>xhvFto`@U3$Ei06-)j|JxgZ3Og!h9BI|9B7 z%tj4+SuTY9=!3eObRX1RC5{_^eO{cA|MqbLuN2p5|Ev3GxxL*ReM~WKZWLqU?5utm z#cu&C3h#zLpqwnk=za5S4$0n2g{aB*7GTg|*R(KveK#o7!i>@}?!D&BbecT7v&xxA zP~m6Rk$}voyw-@2tNosSM=qSb*W%BkgfxRBB?4#iG_z8FM10(7+mDz<_SnIXxPCz? zDMp8EOD00}f$F83nR=r2;d!RI_|ilyCKVn}$yAB58r4e^ws1x<(fB1>f+X=e&CgOA z`V3(IpsoR143aKk3bx4aD(f#24pB6JiUg2$Mu&*O`_}cZl={KNDr%=S9!dH)ht{ASXh&eGjFIrRN3!_=bAw_h*StSvC`H!#@2hBzuoQckUvR2Un-fIMnMa+Thji%Kw1+osc& zb^yZP1EP?799F9-R9fdMYWomi0^8}MC~VzNrsc)gIYaBRJ6pd7_X>W|XZszE`Q}mv zJ}`wK(yoU=glUpU8-cl&x?v_75gPDx(}{maD&7Q47}Nf zX*h_9In=})1L6?)Q`GGIVt=3ShZh6y9`4b(?Y=TQ4 zkcI*QrL{hWLVQ#ly<#pm(!5ysUoeEKs`Eg{aI*Qo3LW}dnnns5=pZDnJkcZ0oLb<0%k;H+P;84`J?hG^Tqd_G_^8O*K(?Z3vx)|~ zuk;DFt}59GGZY#}x@mw9#oR@kLuwG<9(r;~(l6e>(;A())rpvtd6aTIEZl^6*yNF(q!?Z(iM^>TiZhPlB#%4zo=0nDe&+q7p`pb$@^bG} z21?cl$m8@#o{x4OB&b0nir`lNh@jqUR79Zrm$2_7?R+ja_U+^()6?9OQ!2sWs(G8< zUkb6)GBZk=B?C6vCRQ$1Bh|m!od?zM?JLOGqf#N~vTd@PMZ0V~k?Krwh-#C>6q4fR zC_YG+SSXCn7~05wr`GQ&zc8#SI~2*9O?E10bKyxX5&W?WxiVQ-G-PhPDOj`z4=v7T zhe1`Ma2AF9VBUOW+65vxvjf8^RZ8nsk2BgVUF(r%yh2mYNyN6+il^^XD{oEdYoAi% z@WFH0t7FIoGxJxkNglKn8}tlHz7<ahiHrSVi! z#zY^E#S{{i+sv7SypwhE>umIqg|jZ?h|mr4SU=5m45pkAU%mG*`kC)|V>lH{Es5zs z)*+}{d~+R%>A1DLv*+Y)PlF z4KCI~ln7B574y-ODd}bk_$()t|8fWS0B##)6C-GA7W>e7qLk>Z!AHRs4Y(E+>z@nh zL@rCJU0Y4=HpTrqP0V*J3zE&{w&eywW3q&bb`o3;hHgfM4jZ3WZT`M48Q`5JMqpc# z*Ov2B3cZAXRyY4ok-b-_(YCwWw%SE_?PVJQ2F-aR^0s=V z&sgD=GJ%|h&Z#OE8QpJFzdDOJT)FR#CEX$-AUlFu6{`*{hNtkKNi43%eRZ+`!(vC`i0)pY! z$SM)s9qsL}3gjZnmMp&ZnNwm#-IjGrL{j}ion4rvus>$PKH{rrc23Xe*i*X3zqgH| zNbPE$oMfgTVem)hS(ByAkM`KO`*=%@%9G{cKUe(D|mw z`=SXlto#y|XwF__DrBgE3W9I;*@e_=uqpOFwR`%I4b_u8G@eLUV6F3d?v=sk`2vjF z6T>i_NXox3)Vz@@z-`&R)gWI&zisiNqMe~i7Z zBw}s_LoNe%g?O$ttnq)67KIXOyn`IHxoG|&1%*r~%=c!d^M4yG6aZ;(>H^E(o3+yA z)#?3Fwn)R^GD$&tz{xXu8|v!|mk5odwo$%gW9zyz&&vNW_&Qhlg_q*!bv9+p^)nry z@Zr3Qf#O{y~;qp4!`aVe)Ma!59%AQtnv;s9)1YcIN5rJ+;@ z(_4N|S7+zT2$mtWYSYm+e|Y>von}w2zQ)Tr3uI*E{B-9zM9MEC<$a?$68MRFP-klg z&`jrEml#C~g)m7xBd^Qr29XR@%-IXtYe_g8TU@+{l zKQy*mTM%%cw;Jy52!h^VcQF`z6(W%T6Dg6zNU*0>B@5U`~|)D#X|^7AO8gw7|-HLBMt${A!Du_GG#b!z(aSO)Y=5U8f6 z2QY*DmyVZT1W0zKz~Y4Pnx~K!>ApckTG2#8Z=9kTh6YoRAmH2wVu9;6wC4Lw#^J%i zo04?`YJvMzw1)&t`9J#BFu1kp$+%R(cHcd@q*hx4HaUw_&}=N4m1JJgCEn<#D1z@NH>2` zW?EM3_ahEr+Fvum1miHqdcQQR3ogR*JA}K^RN}B&Jw2wiNHp607_cH*FA7jY=@{uV z3h>9Pol1EP;dg@yoh&@aiUw#VD7w3vSZE__1sQ@tP9my=&v-x#5h5O`L~8p4*)MH4 zjDEU~{swYekL1V&3iVw~>nwNXPg{<&AG3e`$js)D)1ukwhcA+=LUYlGBaS}lh}uGv z(f@*^vsZQTEz{v^t=a>7I(0I#A5*2TL*KlE=0Aeyfa|iZTG8gk6UKSY&}xunW8{=t zAW%F-u%8B4F2}t~hVY77)V_{jBP4-nu&MU=9_tpa11%jvOaT*_#}?O3F}OMgCsO-B zxD9+5RUcexvbP1#JkLrx0+5H!2u!ka$K8+D?}%`Sh>pYFNt5P7^ zr@n^HJ}1QL9N`fnU@#`h`1p86S6&{z90iV_LU-3_5hf_%I}Cs>)eS&n@z{lI?Le(b_Ms`~PWw1Sy zHL5s;THgvmW8#db!m;z_GCH#6Xb1!$aB(ufz^J!=x_%#V?^tRn?QK3iNrd{zVa~KC zVhDa18|ueD5`)y$!uUTa6My%6(pEs0{e{+_tIx?p49O-RIfb8`BZ4SrE%imVQY(oU zC|RL$b?trT#V91vVL{grWsx4$mC=8bAKCdu@T(V3*bi&*34U#;WTJWxg9l*3+#U0c zYc-PTz6Ap#Su1c`zSXEF1I1$nC7NTJ0V2nu-omwID&-E)8!SXh6o{Z%XKS`%I_bHq zkPS5ZjNczGNCIK?Bo2M!@&(ti$Z-J||2an^2Y^UI@&)~XUc`^yOiq%mFTn-M`R}Tr zgTVtU2nB|GmlP54&=EvuaTidy6a;k0t?Z2E(4(R+7icU~11iSM)97Efwm(N?N(#iJ z$eAyoNVCHaVY8TWZ23?Ftxk;K10t1sCnl7(wK5O9&TG!{X4*j@&QIfo4ItDuS8*GJ zXXTBT$8++{xTVoP8IJGXAe1)j4=8_ic;~s{I21$wEd5(|Ks$XoCXTkKosz^tYvbHM zGh<&PY_a}TwooYwErN76$D}IH_i;|?S>ONL3viMhJXhEwEh0wr0Ud1;A=vAkJ>1dH z^{B}rRTuuLj^W4iRv%B98kWb5;Y{}>2@R{WQHi%rYhkpx$_6^#g_CYk#$Y|VAy%0gJ^%vQCs}*y9~SO1XrVZ`IbO?9z=p(lyNuk zD>fm4arj~BeIH*X4;WVzn#}rhT2!Y1g93aLh>{+5jrZRU^Ib$JG8OhmGV&kCA8_t! zhB&sHLWAY+kBdj%wejL=NzlO&!@isj)J5a=`U=f>wzLwkYeiRH6{M^!yjk^=N@psO0D?jwz?+nd z3mJh2!L4(7gbI&SXM{04w5uu)sk?SUw&!} z8mNg)x(bfvaI%!y*ghfS0|e_pOE}0zjkj9eiuc7!>4N5>ug=+6SU6Z%8ochJVJHAOB{;>|Cn5`R&&BtV_kkn3_ZbMKVoz zHYlHb2}v=5=s2Bkne#58Dnj61bhoRChp@@6E9{KWb!XK=z~v*KmbF56%{?!x{2?&4X@#B5j>GS^BOnV~)6>GS*i! zSMd!^C*u2k@#gOqb-BAtVPXa-MKG+tZE)g9D%zikhgn$?B?1reiq~U$b=IHpjpyUe zc|{YW0Q+O93;%hz#N%ZgBWohj8rTOui#-dmTO_rQt|u=>1mJElLeTX(>b@c z37-Up1-xWhRC0$1{AbjXgF&%0hOf4-AwUQNghc)4<=nxi8p}M8)E6F#I6+F_gfemJ zalA<&57`TekoB4Gn=HZi-*u@4v5PeDrO>s89sRt+d^wS^#8+vz>B#M@DU* zs;5mpXuLRS^p?jb5Z^k!ZdYUDlAVvG;a%`!;3-JJ0jr0UgfV^kC6@ETZ)ALDX+RTs z)=oXhWW>6<#djyHB74=p3-J*LweI{y6edq~sVHkmc~tr@R?F|Tt+VcY0D%E4ofPiV zxz`?Zr{&C{EDFz^5xH__U|##B)#Q(@TYzKr24IXg+J3~A#GK8`pZvei33onBa2 z$j*1xCr0qrcD;S1%(#|s?2~Z}U(j~eE<4DPh<=-%!N<+V!Ne9@f*xnDzuk~o;)t&sr!sgC-KWoI}2CUrWv5GZe_3dNtH()cCS7@EdLa;UGLo# z)t~%ei5068N4ZG)j)SM+w_l`9vnOLJuhFk;zhu5Bo*%^)_XFN`gcTPzWf+oEe z#cQF}LO`?kN%5}Bnh(A2RaYuvmq0UK2mVcFoHI0s_~W6g#G@@1elJ~@yZ}{IRccSf z^Iog9Eh+q$6xvDj7&Ij zZ|V_#G6V88cIeA>Feuqr`~qG1vw-g!zH#TlAA!{AQrqWqUNtWn4iaYaTs38KRzESY z`s_@S4)Z#|P*O5#1x=@r2FJ{&SB2#*Q<6o<((og59C|Od>KE|{7 z{;(Z?#4JCuT92bBrZogT3+Z(Q;wGkE&SSo7xlp-zGSB=+$Fr=4C4rg} z!FVx6DX7~~)wB!Lfa97{dfWxPEcq%0rLRyRG{Y|_DCkV3Cl8BW)D8KUx zp;{?Az?ms`getbcyv5!d{xFYC@fgUiLTq)_69zqBhwMre&!K{eueYnDY|#X)n2e+# zHIx;lW#J=%L_d=A>t#n#2}gezcMb$ ziSl6*zK-V#<-FaP4zZt&Z7}Nn;pM~;f-|Q*5OYBh=Wa+(X5w1=`%DJi7dqsy2Sq#4 zKAymIl;0&ZXk=nYkqee+E57}VN#3U$=~6+#dCQqbWw}3L+sEI?3(a|4Ki4FjZ5@Y7 z4{#GrWJB{^D{>xg#S0i`ggqwT%$@c`khJeQ>Wb} ze1bO77&tHa9tC^l<~xS#SxMczb%VdK;l$C4sFoferZVws%Nz@AUPd_F8K{XfyNyQw zL#74w5)951w0I=S3G_)$EJuk>%7uBLbNYL#NvrnHtW0 z>KFARXKo#(eJTy*qs)aI;Z;R?-#(rn5$01@Bx%uAXj2aCzl3y@5qfV1GHX9{uTy)g z9LW)}k-*=krlh2$q%1Z+Nc8S$OU1 zwX(e~`1)FbA+QovLYl&08%c%#bmsL*3Sr2qkI68{5dS9KI-0--ce|q~2^-CdwMKYC z_v^W6ZI{soM(a6mQxHphnIT=(E)88W7`6_HY-aY_4dd=|DSF!EXx4S_CbL@u6kxnG zRxKkyKlMG&LC|o)dA@~iz~U^X_3TFW9tW$`Wm-q8(s|)dhV{A zN#sW#c->T-xHO$*>+qh|CV6I80MR)@7+w~A#(;2BDbcP-DVimaS|RfzpHX(#VxU9k z`F3XWHyzrKCQ(9`bORzGl32{a4)=n7f=Pn0bebLqm-L_56+_5|-e5>&VF30DL4&<% z?kYb;m~8_%GorhPv9yLcS&0Oo?)gb=Tbg?8*F&uFB3kCv=%=@JMqQn5jAq01_yX&( z65cl#E!RVJ{X<1o{#M34?_*JilQA+x-j`Y?W~sVSN$KiY6FMtL9d_;8T(&fBL*ACv z|2Ehzv+b~Au4N38A0A*B$o9$R?HtzZItl5O*>=hv!|9lzMnvI*6`Ky^Tv#;qfnCKh z5ZP%12!y`TU?=G#H8(I}hSe|A73uE2sA1^9@0Ybe%1)b((`Q7L$8Zk=9N~mmR(;QOVSsLOU5*} zb+?VnF7DoN`cID(OBY*3E$Tv|#@Y7$S1po=;O!1f@D38}59N>?b~^lixtw6v6-y90 z&iEj@P55H;z|KuN_Yzt|xFnHm;oEPa>>Ucg1=(dZ#T(0wX03%#93`Lz%&)&6TkD>w}Q-xgBz+OP>?~^)*<-1UkyCY%JU~RtB z0Ty^$`_nNa*K{s>gt~OrrHlFmA}xtNI4L4IK_M(KM;!Gt5eVv9I}cq2YCguI{UR3f z>BZQfVUu(hN=SW$$5ITfX$LtOb1{GwqFTvSAMe{fF%%hLH&f@TsNC*GwC4_g;ftbx zmbFK}xJ0D_>qW9A2ltnqPioDb7R(iY%n7;Uq!o@MKl@m2Xeu|xB~l{@AeCMTqb*gi z-7weqMnsh=3ZMa*77OR)<#BT;d14N8)sLl4a`^T7`--V%t3uU(5 zUiLf*;}^w*hKAlovd8b2aqml844(Hg(_pkl_VNgABAT0~rLrItVW-apK9%7?OWXkD zV7J;@mhrTmrDyr&>F59@XL8N|(f5BBTfX!nLZa<{ai$Eb(pkY&8M+c#~mB%*x%JofZixJ?w5ZoqRG zSUd@J@Xqg@q7?KPKNu?`hd(H%zmQrgsM>vlSESuf;;9_|p9jt3R*YWLzZAKWc|y zE}qbuW;3|xJpTrhgp~V%z*z&R6y1wEBd^LY|Jz=j!=)Y#{M%3^f@BQJcXSKZ+ZXl> zCPF<*FM~dy9C=UxWoPL=3rBx5^=OpKY!xpKDkuPuaWmhD^;85lvzKK(2vZVNEs=lh7Esfw)Lt05GyID zqC>Wcv!Fp~WN{{w%ozTn0lZ6m{QvfzY5#gp2MGZpK|XP@hC z0U!W0LH?hxd5R1_&zzp;zK45^ElkU!CY;hIc1RqSbK~Vr#`=7ySjF_=nf&rt8CC&H4-q@|7O`k9Qc4GxH<3`5 zOqL{eX_NC^B7GXQD0n+6lxzeM_S&9sQhkF(qo?WZ|VNbV?o~Nr|M$JzRCo>bDxPI0-vo|6(Vt4tW z+sy<0T(q%$uKZft7kE=k2o}RL^L?DIhK{^nm7RijnQL(#*exC0jW1`;f1jU!`a@RG zfCfrj%qN_3dC`OHmH{uHvzh03B$gC?35{2^-Nsxj=B<3Bd3~*D#hMf-;Kpj-IpD^E zKn-2j{PF8FUSRS9+W*FXIwYm5PKWhQ@K}80GxD1k|1y=Bc3f+8;Mvt;wRhP$Pzw6r zbLwU6{AcYs(~X_cb%}hFXzo4{gjfRw!o&TFl-ZP&SsU;5D#w6o*hJ;$;7W{?Ib#vp zvr!f3XSLzfXhUx(J*iAwpK&5B=98mBoHl6QYys3uwqL@arlQBLoeMeK@RL!?DLJlZ z1U9EPm^Y&oa@PDi#NDI_R3y-GP~hC;Z}V1>RFt#+v0*y1{m!oge`S@K9Jp}AbpR{)FM~Wme+_qrk z|Bn&Z z&kXEr&h-wg5cxk0jP?JIfn#crQaqmScsNq&QMh3}sf6jb@3LOVE_!qM?<*eF$^|>j z*My?Yo2GtLF`KVxBU?Hc8aNIFf)Q9%h!qtT5ic~}$35{>tA%#`Edz=t2&xkDk@m^0 z>h6346w#NSEOH$h-jGwN1{M0 zjUINKLF^K9_ui$D}8Q{f3a6D-1>2NGSh6TX@K25*?Q&cJnhI zCluv>IWzoR@tfB6D4ArBCgj5_9>Xf~L#=TktSD>}R|^LQrNJoo+72^HNB|0<Ljo;RmT@5(X2xLN@7 z!-DYi-UZU~Y-`>RmIH)TL?)w*Djpn@qv_V4B^Zny=qlkEDB{PzpXNGQrePAecAaSx zdQ10Mxy-?6GJgzroCEDc>jo1P&^)6po-$t#1J^F$+%MsEXy}RFs#GFv8u^DG$xKyD zq2RJM%+Cn8;@t~s<;u*VH4)6PNUyrTHt0QSTT|B_zXkX}#aSD4sjTuQ@b>sj&U z4a-c64Ou=5^;Gnk76xs9YIF3~VysGhWc;7Q6&h#Ezo#Zn+yp};RR_yiR<0$p1b`D~ zfjcP?R@lYwqB=Wm(d_~c=1_HW_E2Mh(Dv%Yox4iExdDl zqWjYdHTT-SLc(#RWC&L3&^MI(oF-U$6C!YA6qZ0*ID=Q?FHAPz5AFA110WTGh`GL) zNUJw?Tx7C^hU&d)ziTr%MkLz-^;d%O`*|wZQ4|8^W5|LF)7&Wc@@(E2HuqM@R!m_@ zOjYlK70)vi)SaoqB8+2ON6-~M2684;kO7a}R)m|nLHvI8`Zce&Bj>n-{g;pqPV4JV(GQ}_G~|+DXRW2;vA*s!qCr}xqrX5E$)@^MW}`K(B_yy+X?hJLXUJUW)V^zy=K^7o zr{uMPl9RR#jU*5XLOEGqy_VN4Bt$8)IUFAS5({b4~#8q`G@vV{r{H3qB6 z5>b9YIA32)$cO$BSG8P~UzlT`nJEHKDv`lEIM6-3V@+Df-k|_B78|`!*1CQKE&gAe zgYIA|8&Z^}Y!N0)K0#eXe5HV@%o%sl*(mz4j=;JB{=n2`XSeSx>A_yNz zB8eY%A*8WvtY@i(2kemDEf zon?xMNF@es7Ax4zVj_E|3rwU_AFJz{k;Qo%{`p`9qj5&Dc9Na6|3ibmauIuHzeI5u zjxG|kFYND<1&B8|2Hg2{3+j!%43HG)X>EoA=NviI2{CX@LAw)ol6@J4{F*^n0U`Hr zF5VWBJuCxol3B2@7tU1}aN&b`8|X7L3>hJOhuwge_t{}_vwjCUXNDkzklBbKeB(F_ zE`<2$n#hl~D_FxGZr9XSwX-E*w!f|$emNG&)`$SeK*axoPd~^+#<_{eNL*jvknU%K zJhRMMEp{l5URXO2g%o3kOI1(AY|a#v1$rInwncR(CCG>Mx53s3$WBfhHs09@wARO{ zlI|*qi|HrZM1+>Cb6$P$P7N9oyyhhd77#^3fBRBiG7IPV#doJLNP9`kJM)Y(+NdvK z`C2fz$v)AJV24j|F{Sz8w;_w##2gYrIreKSw1AqLAd9zHN6^-Q=Z z$oJXX_`kBtpf);jp7NO@qj=SZVvE28K;-VMq+47_nO>|!SlE$_Q`Lr%U)JsQj5SEp zFQJVpHnZ4dPNM0dqK(O_{H*|kCQAf~CCY-X)$HYw;SEsjoFoi}#bRDw+eDp&J13}Ww;6iWtxPE5>$4H+C*`w)KFXBMR9vZs) zL0=x~54k%XTug)XW+2K%f4c26Ovuc?D9-3;U`|zxRzjCQ_9BNwT(8CpVUvf*`%{vQ zXaV-TEM5}|aDUn5;*#ss`*Kwg8u~RvO^76X?Z77V$c;Q)&lT$#C=L~DNik10i46{a zxag3fO;9Bc&{>mEs!qIT=vD&TB6f-ewGey;2e=?2o+tc^6d%IX-m@}M4;BQ&qqQ)R zNh@4fK;Z(P^ekLG5>xPGMJdPgmlg3xwgrYV$t)>A0+FnrJ`>n9%{PoD_n@sD+Bw;p z1!~Qg!{qo37Ck}y>eeCTOJm5n6`KQ%%87_nbtjlv39$>5nFAfaMM&v2{`LdjLSMsN zosC&WM3fg06^=5UMpwHMz1lgY66ctuuDreTb~aL73Gk7J@r+r%WF>U5<~acV1B`Tz zB|YT7fH8wv^sH7${x^W(si0B{T~aft-;H83gwHsLISCaj6y*-3=2N2?v7~~;%=U^y zu=#R=O(79l4EtMhE-c)Z(p$fSQ3ucy#_bNAGU=ReJ{}(`uHevNu!H=f~ z)tDA665WkKVP^xq7cLSirXR&y6S(=&5YTrEU&EphkRYBzeBGNteDM{7eptp(?ZW_W zs-%48!s)^Y$b;cu0KO3%$S`(@K!cJ}=Z$rhOYpa!r1nPRku}Qy zV$5p55s?l%cQ{00CVJpAN_Z@na-PQjS+SsU1JORmsbe46KA6br>x1Dd`j{+Ue?wxE z1ZTiX%8U>nTN2A9U1@%T;!XSPCINJP!$e+lTg(kf4||t}DuMd=R38a*j|dllrvKHZ z?kEu$_ai{M5ERUo7@$N%)@~Dqf#I*{@6x8ugNC{;Q0`a9`xXSnF*4)2QWJeMZ@{HP&zJZNd1or1(y6AYNR!J!7PJ24AHcwK^n zesnvpjI;a;K-~QKZnFO<7D|6QX;3B{lm{As6L%3P|0e)is(FDZDely5 zM3w>Z_ya?wi~1C++jtITO?M=2O4r{LQo3X}Ho{VWbtOv!7$84;kCSpf`W-V6Lo&cn zg|(9NBcy91Cr3{Dy=0NnS1dO^B4DRwkZj}t`x(2tJWX&c?j^3#Rium7LWia zCr^3L_bWMB7A9;V=v$tDcTB7Ap9aWnYy%E0Pdb$vfB9p5`9+oah(EDq$sCCBZ3T_C z?{f|eU4jV@1({nZL21y_z6g=T90th)!HYX+#m+PdI-Z6NHK=pSG5|CK5pRW+KqRO5 z3pXXiZ-(FD6G7mB6Z3;|xOD8nu@XazO?*t~9t)8#k$SUloea2`Gs~cFP!$(@BK-{P zT_^Uh>;OSR`Cy3ef0k)E7(OYYCGGukWHv0>yJ8@w60z&)2CL=kHOpV6IJwD^?<3@q zG7J+}C+P4NWY~rzE-*WKfzCt9iyCtr=RhjaAn!1))@BR^Mv~{gl)CZ7Y?QP(uDfig z^lLrqGe~0T7(p^>EGzx-;2~^9agpvD%Yi%_8Hh@5Lb=(TCh|f&K=4f_d_aifWCQ}z zt9al?imVJq(P2Z&Dh1R|PC+on{#PjKaIh=*O$6rZ=4C9KnH#fHf7$Ap1N}TXOHY<< zi(Gm6m1D9UBKoq2M}tBS3nAmG?ZtWz3t^e0D1#g*sm;XjI28}i5w!qa!1F~+AoC;n z^WTQlOG0&)U(=izEBSS9n=1xJJZJCZO9KOhf#U~g*?4n2;MJ(XD(eDzU8Q;)q+a?% z$G3bXt8wSAw&cA@kwY|KOokonS?E$nbG%J1lpM(a$Qy?ROz2_?b($IfN9p`O zOBI~;c%cmA)YSH(4H*KQJerKEp&f^BO%%Rpx(qlLAFMn_eOsyZe7nw)5PzQwiiVR* zZH2u*3zyu_RWi}sn`9?Z>bHbNQbdu-`ZABuN@L$GEi6^OAiq!OdY>)6xE$2hDKu+L zDqDDA;aYYv#iZZOfb)J~1gN*ZtV5 z+%0ru`9Wc!7kZ3e?Ub93_w%J!`Sl4GN*w2%KSQIdLsVsx#=U>jnvU*SWi)+#vzx;U z0qyKvX~|~YKR!(`{J!ho6RD$%T9}dX&8hOSU3V&dk&}+cL0kL5L%!DEQ3^+x4J>j zb(nB~1GrI9veEOI0A%RM!@(1@+Q5&y7g%6|R^K-YDgakVFik$A2whCxFbP=JPMISn k<{9 literal 0 HcmV?d00001 diff --git a/bsp/renesas/ra6m3-ek/docs/picture/lvgl/16.png b/bsp/renesas/ra6m3-ek/docs/picture/lvgl/16.png new file mode 100644 index 0000000000000000000000000000000000000000..2a11ac9060bfef18624be4c9b2a87faa12560fdf GIT binary patch literal 4849 zcmZ`-WmMGN*Byp%D5(*Ll9BE%!Jvm`U}%Jq?h=rYp}UckZfT@Tx*36?pCwMLCW-1fSBMwtmE@s7BZK@jikJ6RB-;-+slV8!6+eq~4jnpTm zOqT|au`NuejEOy*RoUmm*3M6IEPW-P_O4Lrf!{2S`EYcue>!v0zY=p%rupofqmDL@ z)i_L>6I$B%;>L2m{c_25yyf!rNaFX(%{v)Ib#;zMn>^>%YSsE;Piw zxJa`|Abt1l9bS-BDQQ$c2Pdb64igLPWGD~>@%PuoAw_>-z?Xo7!1Ca5UU`P0AP~e; zE_a$!<})@JzJ>tTj|;pV<>|gV8tM+QAcYO$eD0KpRp)8N1HXj(pC^#0k*7nz9q@P+ z7UILcyDBV5Es!glOw#9=h?yt=1c5=|9WZ}5A&`s;tMBnRvWFC?8z9O4!dxIc9v6!g zgryEi($UuTGKI)Xf^Z@uB@u`)Grwb>1u`<|37oIl)8)wm@?1Ee(vU7A?)EW4774;4 zbyfr&_cM!WVFMu(&<7&}Tk}(7t6yh^ty$YxUL48oT~_5GU0B?9TqiZOvHt4hN&ThtU z1M|O4d6?gzoHk&}7gZ*hq%0C8DSwLGwUglpv+}Ce$Vr;2C|~cN^y)dc_5>2B7j|1l zy4<-8njm&T;KG6w3X8`lj!|U;RvDp36e43bwUSd@0Z|E+ZBWE#&)> zxwx6t7|TvknP&LMmbF#smtJ3s3X4-1+V@we!g*nM@HG|qg-x92IrCpF2Lk@{rtty> z@{4}K{J1im%-!VicN^ClF4^8^;w0ct?9OL~gRyf9#&$~=;`4tG+j+(!D~e;%%x!q*(pl6p8E$A>4`6#>G?G?jOX@>#qMJgz>Rl1%2N4i4Nr7;lGy7kyv#Y@XbRq%# zv+>2_V0qw(x7kw1IriN%S>}grBUqS7J=NhgE~gX4)0?91gT?dZUpzFLe4oZKQ&>!i0h{9z!`J|?!jvB|Q!U!AkgyH+&2 zGQG`Uo=cNzJqjBReJl^JIUYA66x;%%JSsS=dA{w0&sA})A~UQV#xHw#z8GQ3n=UO> zvTUuIc!mGf);jYRSYhoKOqdx(XN%t$=wF{U62_cK=X%dSKa^%r)IG0NRDFMy<1!hN zBxE;vd$H49R5yQ-SSf_bO_QTw##j~_<$EU|hA9UJhL8=qnx5ptOjOYOE^`sYrS3UL zbB(u~gqLo|o9eA5-hvC>Ug!#!qS4~plL44BapA0v&Bkt|`1otvT~&@hf)Us_Ul^T_ zLTUOqElz?c4m>_B$ZegXX7*p1|8qBeka|{SaIZ17ozJy$)<&X>fd|vqI<&w3dL9y3 z#8RD~!Eop~C9+KROtmH6GQ^@z;t26dL0?y)O~f-V#YeB5HM@*~&IJ>uR%Cbl)wb8| z{;YIevyYmSvokY~D%Ez0Jj<+-Xf-tYc|RhSc30=2j84*cOe^eviIgxUr%$n2Ptihy zJ&Ep+fpP;Vvu&wNr^(A8DekhaF+^g{+% z#jvtO9%Vzwh*uf?*_5qr7_ZF2nT*N|RDkJgPHpx?`|+uuy`2Us)exYU3Z=Vm(0qfO8~g&XV%M;tf}}`le}L6_#cd zRg3b=?>76krl{XqGM|c4iA+}I^^MY1Syh@B)K0b$vA$E30w}5~eUYJ$=U={>+^s)S z{87V#Yh8DsEC-3cfxSI>gAjbWg;n9_gmw019KQ^EwDcX)Rty{yHwd!15}L0r(bi;- za}D%wBQL@|Jle-fibNEJvz%IkMVwA5u`#5d8R7S*r!Lp`i;L$56+>s+h(?2r0?F+4 z0>dV}pxu5GE=p8gew`kDOk85Dai%)hM^1-I&+}ylpFGA4BP6d*l)gyT-B3hHfc^Oq<7lXoB3-t3sRT6r7+n--@MAO>>0{nwQ(x=8Mh@2D@&;!s1bbzD* zAe94vX@1m6;Y0i-O#l@(guR+>d9tg!goI$q>fICQz{wygc%P*%EkkR>VpHig7F<^ z#jkGNTw)%bQR191BaMyKP-#AP_!v?C;Vjl^Fc#?_#(=7-st5|!R=a2GQ4)?NxIhOg zKnEYmgn+V3WL9ZRWnk_ET&U82XET^GOgYK`i*79a;~8Oq7*TYs)yr&WTuIYiZ7ul0S|^Ub#_dcq^ua#sS#JK4OVXsQgDE4Af?=5{ zOFnh?0%=@S#Se}ZjWLr4VQ=HjEu#>} zA>Qgwx6UieojR-#EcmV7`{@OFp_m(LX>Y~Cmp^DValNHFa?IHtQT1@wq&RoXj0bhR zrW}?{k%#e&oC-`OIEeAC)OGPcCVr?2Q>%4oTODzA-b)d9P|8C`l5-c%+jQg;-|P7P zyz6#xeLGZ{Ez4Y{*M3%)er=fPX6;W?bKou{OlI_a)P%VwP3twp)7gZ(21ddO?cZZG ziR62pDMvG4T|t&=ZLDk0qbp4HO>Pv|bf#8iB$zOW(O`4W;uRgWsRwVT_^{rSAXKN| zglAbfNz6nZ3MS^)_jqrkYLTVdhuJs3E{qb9gt=M!RJ+kM!%fPMP@0TzmchmaIWejT zDb+Dx#-y9FQ|cuO<^8)LA)k8;so(F+p)`E;X(9-)w7*HJ=Z}`w$Z|j}y6?T`X9-LJJMY>2kW1ff0 z2`e0V)g{FQ%}XWabHg`@JX$Wlj1u|NB}y9DIGF^biWsZdzK*bUbsgQG#hC z7wP9Yv1!%`ILs!=%~L>;l)l#s0qiwD&GFlHb9_J=^0xi1q9s4=#5Qb7DRN+iL+W|`(y2QwEL&2SKG_uzLx}h=QWOv7Q;kJOE*h3 z6j{rA|2AnvztO|ir!@D25NQfV7z~pcSSiVdm4$NSQ}WvBwGDQE3>$7bX_RcfTStQ% z6FGj!<#1wk3#6$&I0(v-w)8%)@1+GsmNB$C$CLXc@$D#TA{6sW-iejO_8(s={YhBxKAyE@QlyXeSF~bBW zd=ukp_$WZH;ZuK-M@}>;8Qx&s+xV=ZL#V}blS#G5QfP34l0a>3ROQx3hUY9 zOi;xk`4(6+QbZD!j*sUgZ5;Vw6ORvBgyV3xI8}|qwitdc@@S?RT3SiXK#$%=6V~R> z*IFm*^OPf{Q!HIR?M1o$Nys^0erxBn+J0qKxlTa^ayPK0x*4E9< z8{ql~-~PF$sjoaw`3>mYJ?Q_AX4K!G%$G)AkEo(E{2YHcVwm3Sl7*UvJ>N-!@p=&O zolmf32@t+*l<8T9f{Z zrCy=GAbk=`dyqkOJde9jCqEzni_>LAz$WVZXX)L2YR=D97ek+M6mhP@(e-DU&b{}$ z*nxw+=hHD?eea*$gfvjY^lVJd3a6!N8{GM6J0;qX87W^3Z5 zt+`a0rq)k$dgyq_5s?zPpIIg8CH$2kA^z=0KDMC>t$2KWb3Tjs za{Up#HzI67Nd~&As7I4zVH_SRmq#l`FFfv!uXR)8M5f-4E+NN{I<-^OQyCMNX0(78j}M=Ose4KchBo}TcQ;oKC|=I#zsa;+0do(@!X z?V~lmddn#*ydPpxRxU^G;4a6BKD?75sq9;bQbrLYUl4@-#YCtFOKWM*e^;EDnBDSm z__dC#7HajJuIQ#tre;dgK9_SZEw&7yRt!d3j^Y*d<(7&I?1XdeD!16KzSd|^(KeT3 zPbIfOYNJA03O`lqO>~@APi>ViW(1=86?U~C!DU$bglclhOt z@bGKB$bQVUh9ui}uL;SVj{fUka#H|YhJ2X+{&m!=3lGEthA)6|@>B*4h++0;zzxN- z_+Ndn41fZ@r|G}J$|k-YFbuH)jFSze0${BEzpYB#Iri`Ov1ftok%^!!-hQu@2|H34 zF>tUzit43gt{8q&$7fd$mkZi9sKl9dq{4nv!V>&S&C5)IdRAh^O#j*WaPX zpJ-xmu+V@RJKnUBDUj-V40Ph-lKx$R^ba#Ik3eUO2vOtsNUhzM=J5w7UjI7)Mp+<5 MIW^fT={JG@1KK4CHUIzs literal 0 HcmV?d00001 From 08c2a651360899ca59e90433dfb78579a642fd75 Mon Sep 17 00:00:00 2001 From: Yifang <2053731441@qq.com> Date: Fri, 24 Feb 2023 02:47:55 +0800 Subject: [PATCH 09/23] =?UTF-8?q?[rtduino][lpc55s69]=20=E4=BF=AE=E6=AD=A3R?= =?UTF-8?q?Tduino=E6=A1=86=E6=9E=B6=E4=B8=8B=E7=9A=84=E5=BC=95=E8=84=9A?= =?UTF-8?q?=E9=94=99=E8=AF=AF=20(#6963)?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit 1.修正RTduino框架下的引脚错误 2.Kconfig新增RTduino依赖项 3.README文档完善 4.上传LPC55s69兼容arduino部分引脚图 --- .../applications/arduino_main.cpp | 23 +++---- .../LPC55s69-nxp-evk-pinout1.png | Bin 0 -> 935884 bytes .../LPC55s69-nxp-evk-pinout2.png | Bin 0 -> 991985 bytes .../applications/arduino_pinout/README.md | 59 +++++++++++------- .../arduino_pinout/pins_arduino.c | 47 +++++++------- .../arduino_pinout/pins_arduino.h | 22 ++++--- bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig | 32 ++++++++++ 7 files changed, 113 insertions(+), 70 deletions(-) create mode 100644 bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/LPC55s69-nxp-evk-pinout1.png create mode 100644 bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/LPC55s69-nxp-evk-pinout2.png diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_main.cpp b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_main.cpp index 07b2e47ee3..1323d585ab 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_main.cpp +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_main.cpp @@ -6,27 +6,20 @@ * Change Logs: * Date Author Notes * 2023-02-22 ChuShicheng first version + * 2023-02-23 Wangyuqiang second version */ #include -int led = 37; /* LED pin */ -int brightness = 0; -int fadeAmount = 5; - -void setup() +void setup(void) { - /* Do not invoke pinMode for the PWM IO! */ + /* put your setup code here, to run once: */ + Serial.begin(); } -void loop() +void loop(void) { - analogWrite(led, brightness); - brightness = brightness + fadeAmount; - if (brightness <= 0 || brightness >= 255) - { - fadeAmount = -fadeAmount; - } - - delay(100); + /* put your main code here, to run repeatedly: */ + Serial.println("Hello Arduino!"); + delay(800); } diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/LPC55s69-nxp-evk-pinout1.png b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/LPC55s69-nxp-evk-pinout1.png new file mode 100644 index 0000000000000000000000000000000000000000..1fb2f2b3b4298d68ef5fb64a9070f94de114d2fb GIT binary patch literal 935884 zcmeFacT`i|w(z}yh@l9fNEbq=DgvQNCqQTdQWOLfDWOGrCm;~2K{_bCs33>}3W)S3 zNRcK*L_m6#ru5DmeV%g;=bYyr-}`;{{_&1s#>mLb+H=me)^Ey12R*2yfNE~1m z453E63&D}<)1IYDQ`Kt<{79;rj+=bMTW&3XA7>PUX^<3KA zK^LZ*5=%wR9XtEv4j`c$A}u+{7Okb))K-HfJgYhmfHP;SOfIDo@aU8XT_#Z}{@0k=Sy2W?){&$10a&4Gq3#LLh7RlcBaFTk# zU+6nq!XZh#=NTYBRIC2#o71K3cJ+QM1cc!f2E85t!f*Xj1QS^-0I?Pk!fXRTeBEv| z;vxainV3BV0M+vhx1K$q*JuU<0P<15g;(;Y$s1_%>nYM2&Q;gb6Pght5#*fp(hvpW zX)FurV}=BI#_RQxgP_t^L`;vUQYIj;8{pz+=EkXS1uQzz6Pq$*yk)2kB>~B|f@#dy zC2>^dQG>89Fnw|q2xg`#5{J!(F5qZ&VDDO8vI+AP?!+3aa;#l)p_Pcdh1iQ3ZiNcn zKe%750)G%ttV7gK=#Hp(#>RBN`H^dnn+T;bHsOhF57TQ_8SI-UxjpLh?rwnZ{U{W+ z2ToxX@!9NF#62a`Fasv;7CO|7fvA48DC(TSWskGW^%-WXU{1ntEX@-5b};0vU0_U% zih+iK;xn!#j@Q(c;2R`C!SJ^{u=r#xNh@M(3r%l za#FO#O7psmr{HUbN7l_Xz+Sd|h#B=*|QpI(?-2-@%@KNET;s72MBm(iBd zhcTC-j8Pz2Bj;3KVsh(s!B0ZW$<|4fNsf937q%|kJsbO;`k~}Q{fB-J=bm5JbJdgk zhRoi`)9XIm&t^+EaNX{d6xr=AF~4$`dQ>()HAh*6!9Z$*MIu4BSJVDGm)$ zeiW*jbsbgK%oxc|*DPfD-9TZ$MW1Mq&o)WZ{ATg)l7`2reP#~;>zsmNZ7BLGXo9{O%7QXbTY}#*RZPI^T9a!yQyDTUI z7K>rDgNviSb;O|NZIjUDJ>P;So>8^iRK_;hWc8?J@sx9~+_Rn%^`e)x%6DWj&lefB z9I=%)Ge-*zXE$ZPo31_I`M@^CsO)VF`K+wK*xasD2O}me&q^ykPUe^MVY_lw3rg!GO+MHV0m`p8)-+*7T-|2&leW|_n z!|0v53CtSZ_p7H&2^t7y2xkI&CEl@~ zevNq-B|Kuym(p|gJvjZW5%Zp42e$_60990IH+T%<=a{prvC#1bj2l<6!gek(2U#H9 z-I|zJ+U2iG2Ftw+zmLP}l&a`B!mrVL^23dFD=oax-+F!9ZQ6?y4z(u@boJKmU`E!W zbc1@IK2^LJcthl2-h;Mwhr$h`3e;J%hZcIPcM?C|)c3zY|Ij4gDgRmF&MW2e*~uGM zO+Mb;#MloFjb15xzr3irn7!zbzin9e@=DDe`Hvq$znv*y{vJ8QY+`?9)a|kNoz1Au z*PZV>iA2prmv45w$sb;OES9z9o$hbku76nYqOA5a_s~Gy7f+vcilB36rAAjDELE3Y z^txeNqvX5k(7O`&C7Q{cxw3B7?@PIgr`EfSXrHG(r?-?=7w@<^+Ks%dt1Bk4*A4e3I+4b4TVp4C48y*u?ET|e!7;y2F3)T}lyF_$@Te_<)-s@&Z1*jlFdBwf)M zG4_u3dU;Sgboll39_3g6*GEN`_4eaCruC-XjfRw~6eRaDjvgHM-J9rD=}`H|q0A8( zozBL_e1@rJ&7v!QBDXk~ru)60{xN3J^~K!S;pD!0x52^SH?rOE?z%!u-nz@`i@cYT zEgUUu)m<2w?>PqrUBkYs<*SurEj4V(ya$LQ?e(5LR7>s$t!>%4yGI8C+rjJmT)mmX z03hywzHZ=Vps68=a|2ov_?C~z*ej3U{JJ$ z4D5!erl6*iqNNR5)!W7Ln)lV~C~sSogau4amQ>nH67Rs?(#;I&WpC%;D(NKy`{`E_ zFaIbOfI)w%xY^3UkUs zOFO5(E&cy){!dl@{qTQTF+L`mntyHlxAxlG|7F##Zb}|_1b-s^r)vLZ>3SXGWGSF) z>FVh2g0fWdz;}h?uX^u>w)#hK{>jsiBmZUFExpkHh1riIf0+GDg+C%Bjqj_ZqKl=O zo1@EhM@Ku^KeFr}XHWQ(Cwx|2hVtG(J6Je+x}KL7_*avE#QPuKERkkzma=$DiA#dQ zm*67T;lh%l5|YB=mjo|M3JRWZ@`vWX_|SB;KwDw{&4;L@5L{AN_@s{$n*ZtpKYlFC z+|2&3yqwfM;l~0cY31l*Z{{Y8wl}l36mW8|mKOL&;R(&ZXO*O)qn)D*zFC&C!qNhN zRs5G$__I{)KTc9*U3FCyplV8rVsHsDu}eby@RM#%=$-KMGh-#y99-SZ98i{ONLhRV zKN@WzDS8=YVJ<3UcF95zC2~nrNW|=txQM05C2f7A5|^M7-#<$_(D~8&|85n3;pX3}QboJs^Bi*`|M8>X=bU)W z()piD|8~F*{c~<}GIMdY{4sK6V1FCBf1eEhKG*%Q`8fkfnxTHoin6F51IrQ*_@7Gu z(X78){%MB({~EwQ{GTxYuj}x%v2^%P1M?48KehgAC$5fGZk}c?mh#s4ocO<)Gyd@U z&u0JXURvPCr-&W;p9Aqn=|9KkZyEdV@s$2CIZEPl0pBjOpE1CXo_{O*N6mle8~li= zo%>IHF~Oe?0r-!XKW$GQ|5sbXU)Y{J{;#(GFx7KFyU7auS>3-BpRoEdhyO9bT*EIn zEM@VX``4m>)z(IPS=t#O(fHBp`XeXda3Qfjt^W1UUz!@8G!^+L(-VjOH2ou3Cl&@j zWBErZe%XOv@d*5V$@9-){`*k)9~S=6r~hHcC*A$7%D-{_646P8-?&cF_@(?C z*Dn#BRQQeSB#mFnzj6H%(Mg5hxK7gerTiP$FA<$o_>Jo%jbF;Yas3j}Nrm6IPSW_L z{2SLV5uH@{jq4m-d|%D-{_646P8-?&cF z_@(?C*Dn#BRQQeSB#mFnzj6H%(Mg5hxK7gerTiP$FA<$o_>Jo%jbF;Yas3j}Nrm6I zPSW_L{2SLV5uH@{jq4m-d|%D-{_646P8 z-?&cF_@(?C*Dn#BRQQeSB#mFnzj6H%(Mg5hxK7gerTiapk^bXLgq9BYZw`9mzapq% zDzAtCdLb00s;dbA-dq5H4FZ7OWBls^0JsYRz*kcMkW2yqddE1Ecgg?-$X^X9f8A?n z>F`FGWs5tHcb5c*d9r=<<%M(9B&#+^+@ptix_Q^LuIo*WdY5nK$*KBA`Yo_}My%+R zskm=GG`gX8NhcJhq~ivaXCPFy;O?FKZk=hL5QdZ3H(T~yvw#HJf{ zfA$#1D6EY{oPYX2_saRF{aG=xApGmkH?eAe3L-*(3J;XI|2XuZw>A5x&I4VhAKS}NBF}F`mWKI)t>_&ri)*hQ^%PN!BYf0uFy2!oH zbhA+CDS!HBh96SbXYR>OsQAU*HMrfYvO~Xy{@dif$4UHsu!)giys<~ZZ^VL=EjIYu zypiadH!I0EJOg0U!Fa|BRpSTWJ+eg?gsokdSwu z+&BD$n8<{ws1OhmSg4h)CX5h92qg?g&PQ+w;TTY0_F^Oz0716(zW#W}($E2X#t5LG zCc*jnQfi~0Q+QGkH#QJJN!QJEQ|QEDu<=7Ns?H$MeGYcm6Rb$MI*eQu+Q|kW@{104 z9TKtw?dnA^VYnp2Yw>@k&}Nu|h1Kbt$(`tze*RM{+>CtTuEvK|3V&pK*z*|_b*>mKIe{C%dl>S09W^IW4IPunXL2OyC5Nu;3qf+0vvTff#$7A zWW7WSOVFGtpoS&UW_Y^gu0tOpKs+t-g@Dk-LMD+;!-@v+8nK-Hu}i9B z3Cq!RIB`2)F@X~fBC|&z71%`*6pWj%0QIm#Cb{O$y<~X~b0iD(Bm9q;2|b3Z4j3eI ztA^s%M8Zo2?gyfvwtNy$3kd3rIynpIb6f*B7{(jQE6k`af^2WH5yLJBZ6(Yhd4}VSfDKx(C~%@$!6bxmfQr%f1BCGk zHP2ftb$UTNAde*=(a~mrfP1iyxIS5Mp(1J{9{!Pyd71Q7R#cqT{)99zGNP6yu7-OP zHvR8i=UCW34m7v+rp_lQzl?;$ppJ}ua zIXYCaF*ty|2_g=AOh5$Xi(`uC4s#8IH~X*|-aUIN)xn1lOQ`wm6b$(CHv7jEC}EWC%_W_6SPW0(oC-VP#eL9RFzTkTzDQ zLLZ&Bz9-%0*r7<;!3PDq)vfsqFjG>+f&ml`uFMG&k?jZB6o@w8$HMu8Tb+mGs>9Nu z+gfEXAyuypwf>!-lY(EfGa!6f#vnCRp(K_7B6FP)cD!-G3H6%x!yLD>i!Gb@yK8mt z;8KfNcJ(ObaxiIfvoAm2HCT72kXxLeV?BDBA@iian}|$qCyJJe4nW?Adl(%$)KS6% z5#MBqGj8*wE;SYLshi~my?h^sBWGMyx9ci=H`}U5Wd?a{vS_TqR?RU|_Y@2c#DX6e z5km;Hffxvh1SysQWnn~u24M{$XUK%e*p(2FWf2$fjol($jHyu;02r?ncYMNkwF4H2Y&HOiG+P?lDk!qS zV4a2OtuS7@2qjJ{?&ZTrKl9k?Ue=w}#p37WI^;#kT^yW?OJ=bdfS_vM2QUEs{*4Sd z%P{4}ONr}Dm|vI+D4G>y@31Jc&{e_L?eEUkOlPusAL5M>JcYJs-9T3a_4#__SU>-fw{W-NpFZxj-X{;PZt2fhE?_O>%R?}&M z#Bq|qak$b#Mhp=m?kS+H_po$JP>>M?WbbhC6U7JQL<~(486m0&e7m4fQ8uekj zV{6{TKI2ru*dvwLmBi@)sAM9;90Pl&P+~Uj zx@sGoR9N^GBEjm5!)3L;SreZ4;^*&Y{gAklw`%fK2xp{f6Ku~#@5h>6?h>&nK=^1@ zj3#KLx=2Fy8*}PDCifxt!faOn&aLvxVNlS}zW%5~X|WIU&5qvHZ4Zw(HI)2C9dS8m zL%Q^g9)_>OSHo2Gp~~ zNFQeZ9f9NV5bKPOiB-CCUznLwpHzKD+_pNFBNbMGfMU@U3W$7^Dtm#V3_p)d+D+Lv zvehn@j@IIzmYr$Insh=fKQDAX#pvs~TW}Y%H!%z{Fh5eT0Lcf>%Zwhs*|23x7gr9m zOWC3a5t^rkQ9TYFRqz+OP)ujEm_`ONNPG%zf-c_#)Y4jHV z<916hqM-TwqCB#M-bR<1&l>cNhaTU5E@KZV9gW`VWcVK?hexN^m6i6SuHIY}TN#Bn zmkwd{60n14&qz@zshiD%A-lUl69F%4T%(lo;d;jIRp$~pZ!)LiS4(nrkic!dPFU8& zv*Be+Lw$Ys2tN#_Vi=7PVE4AwNa517{>%VlL_vVtYkaau6wc56%_J0Y*8Gm9-otc{ z#iEj26ntf?QdL}>LBEz8#WN!wJbx?hbN?rIy-${gocsj9stnL!>8eBMYH;foQ&ec} znrdg4D<}r?Zm3D(#wSr2w;_|FO$w3<+4nyD`2`-Of%i1F!if*Qgnb0~Y6!p&zo{0KP^c1?116@W-3M)9 zn2I36w%S>}*!xM?x1*|mBMJr+d+ax~(p~x~THvz3|K85fX}P(jyr~j7R{v>w8Q00C zmfg`Vjj@uBk=LI%eEgkO!HpBI3vsvu>Klog%$EcKc2I15i&om+cCL_h`4&I=4im~y zk6)8J{_SbU2sJyS{pU#<_lvL4Sm)cruBC9g8ynh26|j-PBUGloTSW9JYu(bj4a9Sb zTJ(w(4V-<)*))GkffqBmVmA^FQ;Q3R^olH$`P4X+ou`++TUg2-tea_YhZ+DB6cn42 zD*PPL_6z+*sMQ*O`@&C=?(XY{^5*FP0cq^_Qnq$+wK#6ar)X~S+CoU~+)J@jesy^- zSo;EzW?SqGiR7V;rBjQGEd$%zr{mvdL5ai{A=!mBFBR)DNriC+s2qFZf>`lRb#YrW z$>sB;DpGfNf9%9;_Dw%scs3@&jAjS`DM851UG+mdauCTJP{t>aC-8d&#D-0fViB%+ zUMP5Qnh8NWV4AVXA}ZE`GzzYAwoFQ**IudGM7?g7l@|M&h*<&=hN=Qa zdA2KUnzyNmZ8clo0MKRk=(|^lT@NV_UHG~NEByQm$BuEULyOWMIH!ubLhjXF9fuG; zZBxvZIRDhI`Qq&9Q3TEg*UKlsJ@wqi-qDV)WApUs#-N}8cVc{s*^n1~xnpRHieLwU zoCZENcMm+&#>!(km~H*yM{`@rI~0PUywGMzE4nE4i|u$Y#nM{$dsp`u@MmCxf=vu0 zJxr)Hi8r;iH8sM?8m$aw$|)YfDhPx_C0z&uBLkK^IV%M7M{X_cpS?<^5Wxp1e zs%k_T^A=>S{XV~FhfqDM*bYKkAw_VoJ{ z@X9uto9{fbA)9RcdeF#o6*$BATJ!dW`!F@?W#Y&E-QVbWN~y+;YAcV3AOsUEC!2N5zQCHq@&h`bTHS5fuYvEQ4nDuaWaaW zAd2PmrtQ?XZ1H4!5KZg?%gAf{AP}3~ouF+@O7M`+;_3Z;_vI4Khpk0ha4%~)Y-uYzwUID<)eqrCYc?i95;R;+VfKVweM(9o8I1mPr!7QMtpgB4NpHQpbW z6O>=OxcV*0(aOpUgd&$*1Z&1wuoK^z9mSFBPL6ENZmoR|%jT5?zP4;!dB`Sz0mVCsu)<>~FcNGpFpnP}hU+q~}C9?RX zt3)k+Z)}E>bn{FJ7 zP|6v;2w0cy-!IN5I*8WuN?mSeIWzIk7qzsLmU5;=XF20ysB%|w{E)bQtig7Af!e5m*FvWdvidc#8yN7!AD22^~|F_|6UdOyiCk>!To!zGAu38>t|&x zvITbL9|S;~0ECU5Ib)NNZNx;*%dMB=8(&|yQe}T0MFcLF#W)%NyT@n+;?*xTWp#3t_dcJ35*CIx$WjJH1(TcX6>`=e-YNasmXsuL|#1KKGSiqV&x-G8|~C zKb`>1sYv6Z4@9U)sLxOX7*WsmC#BM@*>!ADR8?FKIx5eU>nWQ2ei zz;u1DiR0z+{z7DKOD|5te`f#qKHJ44vb!Uc`#66Dz_!cA0PGSSFSj!5v7Vi>d~?N9 z+;;rb-D!ly_`KqU57o91tOP&`6GX;>op$U~J!QyT*WF5x@(vl{v+97@i##^E7`Y>& z@9hU#*9M!#eP`HKI>G^+;OKr}J*&|1o`4+jHx>R|wykQmnrYVC?%(GhN&>0#_?-o$ z!&SvQesA}?jZCA2zQp3d!8qjD&Vbyl8gF+DI^T;S>uZ@TGZf*%yQ1I&T zI#ft{kC4R7!yog^YX`-G>_6!0EK^AN(lbw#c{X>Imag9Gm?TYeR8W;xwtArRPF<12 z?)Y+h;_=e)K7B#{#|4keEA~5(_$I?dk>ML*F)<8HF`~^|m0$D+p4)0!*bG2$hQKL# zjQL1xYX`$#K1)EX7&Z+)>`8cQbo5wD6$l_N( zV^4Y`o2SCHywf&j8GD8~HH1PRS*{hosc;(tVj<-9;ye9>1U;usj48+5qK?QKj-Nfz zz=DEVk1ou{nyl!QWU^h9Q^Hc$V;n)^?WwV*X*GI;01Qcx=5hW}PKqDOc5eUW(fCUG z@UjP;!bh}w?M8^eB|bjq1GcUF#>~k&C~yT=T_Ngq7+L0buxI<_Y84;P@Xecb#~;VK zjafM^>sfRPdU&%DrODFt1Qp?bmi}g;ZA{z{qk_99yF*#C$|X;GFXFR zS-}+>0`u2<2v#r+O9cIZ)5cM3Pu2cGrs2xRbnz1c~!p|9h;HZU99tLp8 zh?7S2B~xfb-7Rm@2ABenAQE^mIJThZ-dtr28~{OMv)F_BJii~>TZp%>;Z(*pJA=4) z9#fJY4v~jgJ$#G>cgT`r8yIZWP2qBDQoSm*wBY(9a&!Vi=k*H6a_qO6Qd z`0k42kPe}%ZT(zez&H0xEW|!idU_`7??4pC1f=$ zpK{?+K(IrI+(|nf*n^UYu>g7OnODL#Sc>(Ti6BKNaXIKdp#)0!CW!d`ys#p9K=7Fy zzdi0Sy1Rtr<9Fq48gwDbkRa1w#xo%dwj|9-vx9O}A_!S81r6gsAeLP%ZaF>OP1>W2 z@NGkbsTo?L-N;f$nS)Ba`= za-B~pd>i&n*Kc zD5v&guUL`$G-1>q@Si3t{Y9?p>vEOeQ~cP>2LLXx_Bl3LyFNy4&`~cw(d6jXS3C0B z;RQe;0s#(c7=QopMbIGuJF@!*zsaut)^?`%QD5_r)*Buos#9!*W7S8^GnYNzyfG@U zbF{u3T6a9@=#MU}gP7w8zQQ$#q_eD;M!IJdk|(?yAc5TOXgveTOXhV!WUzuC1IDryrxmr z*74Y$7$qnI_jWoT?3GM0nyR&-qY%-R(|Lok2osZIOqu%e4zXbL&N=^*W|<(JhKBC$ zO@>D}t0~jhB~@Yl_m{8;L6Ov;C|Su)@>UU-`@YbNY=%7f9c~HJK>`Ay&bjw9p3&Ka zpTBj>;Lhf-@mszC%JeTT;Ir+Icxg~CO=^Y~H_JTBUf!xv7~tpcn!|*0SNM9Oq-Ezl zs`_5YT=g+|ZznI?gyZWAzeq*;-Yzn-^}62Kz%nGFL@be-OEnG(Ok-rF#dqT6cH{Yq z)Fwf%F3W9)bl3GBc%7Fdz;6}Cw58)JT&A|l{5L#?R+s?}xYe>6#8!Mq(gjpJASslm z-TQ_>@odkUS;9RWOj%*si0aynhh{>~d6xoOI%@KnZB^fL3hSNWk0Zd2t1~aUh*UdG z9OPzr=YPI0s!j_A1{lbQ0pgkL^isaJH*dVe*3X-|&8>V12??q4TmhQ(FIH?cP4QgK z5Cpxf!|bMe3h;!95(i6k3sK1{5JAjeb#Zl(B8)!~HyE|BD8z88rIOyCZ+}kS{Hmxw zO~n^ZFzKoX2U|p<-;`-Ge`kl9y1F#SUhJI+I|nN%XuySil9l0 zWT&YB3NxgA{Ok*@DJgdmxShUR0Y9#I_-#!{Vd=Zhd|^Fzu(>_Dd>vj`x?KMCRa^0q zdS;EAz3trBkIdlmvNDzCj{6w=wG5Hr{ZnB8IT=in%7PscnDcR=hXkLU+|)q$BvQ3p zBD$4G@cx`tFb^*97t%P5z!#=X*zQDf?dvjl(Y9aWdPTE9i%BXbn~+- zJ*#JzafSOF^Vp7TqF~#rv*qvJ6;zc`jqmI|$N%4oEgxZHR~J8wTkR_~(OcAIS}Q;> z)I8#w+G`6O{Jv@&XS}%(3&qU>4{|->#`{?O^&s-(#-=u)s&R5{zf2Knc3-D;@P4n< z_6onp7czP_SM<@!=9l#Ee#3E!Y~}zG;^$^RwPd9zZtN!?i*3cBX*puy{kKwrtH@mE z=w{)z{_CkPTg>CCKoX&qZw7F|HhgD;rqm9nE{_=*CDl1?kwZgT2YR=5ghF>h*VWOR(!<&J(H-5sU$(+*$t@BtSWSFerETs-U= zNv!>L7DSCh1cS*rxj%p29>W}ZCzNK*VV7do#&LEJzlkpi%*+;{S^}G@r2OXi2SK2$ zM}RUFJHWxqTN=v||Dw~dsZT-T;&;I(P#KqpXm92ISflftcVE?HO21NupOa$S9kw!k z%NIML8dTqCel`r$!$fP&o@T{P@QplxAwKCU52Fdetx4eb} z4ipRQ1cU)rY!NIuI{Av&*=4y)xd)}?9apaT=uB7BSzcb2STz4uTlh-iIsyBh|7!IOe2Px;Bw22*?d zZDBWL{mp%Ac59B-7RSo(?mlM+cYqPl5teenMOD`1R2Qjak7i-~sq%QPj7X`0}=)jQc@yiS_a9>TLnyH)D-Zq5$b)qSphTwY8dq4pE5_si9y#;10I9 zkjnI~(>O>!Az`cK<80TX%%`&B2X9B5ZjF|^Z=2p?U0Qap+Fd;urQiQjG5h`QUb1@P zSk5Za_b!+dxb&q`ePt6VvtoxdQ=~b0GbE5*D3lyl z!I^g_vuxNM&U&ULUah)Hr$eAC(Q>mXUdswSORzFpGmA1?JBwOstB?Q&nP}xO$B$CQ zRYq^hH=s9d;ytZo)IxA6Z_f3lNy|`>QYFpAItE%rsz>Q(vC=g+9zMJP8lM$WKq9FT zQ(r4GT+7Oa(3OL>n(EQHGz!^2_b2LbsTHw6lEoqa=1~0dV*y2>?|!;Op^m=$rLE55 ze7z#}rQYmfi@Q+Zya9cH4&=PD@@pi&7qp}G0s9gos%;Q**R|jw_qh1C!Uv{;-qtLn zp$0@45!Mf3e4WHjn$LjZKGr-|5C|8O+!OOH7M>lvFc4uSOnDzmU^?5%eHlGWBve27 z^~#X#jdA;nBvURzquYMcEEG2~s(}*1AS*FH=?mhpk_Sr3IbzLTUdv@$G+v49Ph1Ok z@jEcAlr1$5pAwZ^1@4qd8paU}$aFupRcBb&wAnp(-6k_aC`(K?ND#n*%7mC%EgkNjWTRImDNH zd&r%*2p=oPsm3$ZCn3w9hesaow3ukVpJc23UI6L$jW|xk0B09x*i=$wQ^4r-&+h?Y~ZlqT@!t1nMA z`yQr_Ss@V5?`RD;bNfhn3Vk{rd1~n-wz%DUH8q&Lmqj@$B*G(8wq#A9Zi5lnSzN8z z?PRO&TYQb9y3#>yZOkNo^?onYmHZioYrJBYM|Z!j`tHu~2ppIaBt)N+`gmtG%>=W5 znpt@%<{fg(e)Gd?!j$^KuV*nAp7EXzBKAN-^4yFS$64A&9TxQPDtxQY`1f(ATwtUKhgwA0HpJ z6i&5X`%><#?_NS`aZM7;D4SB3+47na#bB^0`BSt)a~zxb0BQGKbmi@!6lKX;J4`D?CI??ukqB+`x^$ zGLvN2v~^1DBV&`@Gq57N(s@*F#cqU9osL~inVqGCbx@-=noAQuk(pd)7f~Zqjk5dD z_W^&~L|&#a($36vC3o6zl1jnY`fY_$bTP)0LsMh8g5eI}CUmh>o_4~S);2~PhC^mD zsSmt*u}2)mF|^Zn-UKj4s?iKDWC!_FiCWI-56G^s3FF`(5*RU@ z_zf{5T-51ne_Lb~vw=cN%Hd&9X(_5(W;?C5d**JYuyxn1x>7jPxh4ozMd6}#rk|B1 zhLLq%4679LCH?Rkk5;D7($dkV>y}HS=R3Yuos~@Opx1wBs z@7!0bxz@>^3t)*`Lx?cQ)ay5KM5b=|8{q(heBY!rS0_dtVw+;H-)A zM2lLAjvmH~e3%R(fI5%?AR1TB`o288eKlR0YTDtPZ$iDfW5$6~u_z;}hB*EEimkpg z6wLvgr+BpGBXkLsY$`pH0sB(K0;P(@^%7s-6hrF1y^ zb-o@3qR>Eapzt*441@VAD)aNxYXi%ji;nm+Q2ztZ<5A}0W+}(pwcdxG`1^9AGwZbP zG2Go**&bc-&_yo2iJ!}q-8Sp~WQZNAa`c~5S>9ry!h)Kh3NT)wOR#hF@79LABg{NK z$6^?0cik&GUUaeZD@3Pr!oq zW=n!M@Tv~O(;vST-)%oq%gm#=+H0L5m9FkG^W|oSt?Cd(td7ww!us+x$LjUlB-pGg z0Pd;1v1zTdZP1#2oJG{q)=EZ`DJ1`~Gu+V75Hq_V%o|bi@%rMeX)h;1yHZIOUqk&a zE|a}A`kp~4&lPI;%kIpW1#z85Je zx)!LflEftPPM+oM3I*Br%L-@E@Xy0zV?@BLbG3B)jMyuuM*f+HJi{+3h~xy#TeVuL&lTP;wJ$}O#-*l1DIpTCvS49T zEKS1BAi`>@8^YP2J_5Hi-x-p9VMVjgnVamL0Z*_TLKA*L~}F*Kjo6eLQu*JfoIoIn{AF)1L67N|42Y&$H#to2-ZEM^I5;T1Sw`9v z-){Q?y%#O#wfWU&)mYq@G$pPR-25Rvk+rI!&ovvr#=w0CHiIvqZ4HpM}#y9kv2v`s0nE~ z36apXhRX{iX9!DN&#FrgMXwx<9`93L)})LgOsVlpy=wW*?EHl4@^L;HvtS^R+N%HO z&oQUuVa&ruk&lPYQKoTla>Cuu4P&|ugbYHp_nBa>;na#@Mf#j2p$dZqq;Du9g_k{e z)SWp6FMpE4?1=+zmCK1H-Waq4E1-*lioR&yJu-D-0L$%6xmv)&!OP+SC<=rL7%j#^4KxW0iS$bQdRh=Ww- z`kv3u)r_qMMY}sA6qj?upoSfLr?c8@SR|Lpo0Jqd$@!FwbGqgto&rx|1YebP0rlBw z5A+_+=!={8!E`~^#u6ZMCer}0IpDeN(VZ^0J>uFuP~_GudUG}7?qr+iSd|!46wMqt z;+*aKwVB-z{4EL6tn`*<1!pV9B6B|5FmWL7SAjejuyzmGxs*G%?->!#@}B#+Lo(!wnt@^4Q57MxS0qDMobo8&9KsmF@!2pn@#7Z z7dykuTdA1jMZmTEoI5Q7w+#$4cu)}D;xdalsdR^2pT*g8K~Y@6^90kg1yapPZ5FSq zt3@u?K@9H~KU<8kEGXvdZL(bM_{8p&IagpV{$$}vnV`A_H>%B`TPT^fmO`xE;1#bl z2oyhP@{`AH;pl6ct`zV z;)p9YCyp2bXUA;@ysBQy;}N>3mLd_jczN};F8(%`iq+~;QC13FYYO7iEm|4k{w@lmh9-(j~poGdL{caOqtYcY&q|{r*`U|Z*+{@DgFA~sf&-F9{^Nrn2w$>fWYnsX{r7P)&BdXs~)$# zyxqSKzP)wqS-UYTSK*C$3kKHlTL|AjroJ?`FTF+(MmcbC{LrT-=rX5tFXI^{C@BNv zK9(vDpneA+zPczBhQmX4ra$)q+VAIgz6Pp!Qvd|~x5evxR~>XT*7&3jCUdXmr%U;) ztSsN;!=G8cUVF!>2Gm2p^AveFdGTiB$~iFy-&+Jss;CqY0Z(`n6B34(DO?dv3W)79zi2^)gMi0dEN4KegB=D6 zL3&9Lo+64^i8gauN}TFEJWxGJ@m=j~%&EDYp2LQY;Cq_NPD2Y`k}X%DI9@^HbZ?|h z$~!L1_@F5jNSQ77DZs`_~2I*2Mk&dMsq(h{0>0TO^=GpJ>`5&%p zUNdLT`%DT&NtF5faDLgh8m$n%V?yAi$RSlatIZH(f&4;EeNVIDFz7YEEE!c7RjKpPhg2+tk0@~jnl zC=!)vJ3B^Xe)%SwdnPkr#bcc9K|?xoco0P#`K^SpJn7_y{3PM0-)@@V>;Vhimb~yU ze;9&(q(8&@y#5s6RQ!$o<4L!dm#E@@(avZ1;7+sEPYMQA_xt^Ywi}RI3>pR=U z1{JycGsM@OHhxS3IfBZ=xktWOvNo9*rdjSC`6F>3MkvNK}R2H$PZgLHr z+8P^MJ9u=}z7Ou1rUT^DiPmy%LuVV-FDp&a2a7}Sf$6j ze^*i|DK7`dve3z5jb}=@lBa8A<$LLW;3`_`?(I{N!u+N0ayi2=(CAi*i}W^dXhWz_G_tg_MgFpHW_-X@`G zz~&h_7Q7n*E2Q?Oqe_d(e8s10F59gHjUnHpb=UdvVc@t0&1K-T%fYQrSp8*{EP%e* zYi*L=&{EUy+(o_RXr_{8`DA1u7TMs3zA$))iVd3_k9~1Bi1a@8b~wC8A=~0Ndq^)~ z5G@lcbBVa+y??WWZ-u=CMofO~lC%fwV^ooLNQx4z&9CtRU#MyRZ-y^0**~0S&{^0P z^ifE3D45fmxNQ|h|NFOn3>n7#%8$($27UK@NTLA(?SBL!D0s$TcTpvkS#xx>%m4=2 zdj&8JTf~Qlgk{vxx4B**Tb);Ir0T1DPl4{FwbczuI`4xt7ytWdDVirYX7eO}8_9XS zZ{~)PZJ+Pk6B)2+Uai)gHbI0+t1{$1#haWla?<2$(_!`5Qlw=xQnCzqTq|%Z z>~V5g3SOoF?Vr*|-Nb;S#Wsxim~nX5D|Jm=E0Gc!=X6AqErShC4#f`TvAWg#l z^El*-_)2_P`e(B=NJdYlK#Rai*C{qJz1%-rD}{tGb$k(9qCny&%%eIA_z+FECZroA z8+$>D9qLB^KbFlekUs}2d?>r<6HC9nU05d-{@VcZ_mq`p4xGHQJeQaMS#I8BO_w9- z^s?4blP=s6`q=V^NU52|9t3}uDc;F)M zw+ir?yC=Hwc`eb%?Ae0XA=+=Ra=6>p)}iIEetdBMn}lXWWrpVEP}->wx_AE7#uP>3 z#KGe1P7r5~Ub8RHubwsjtozWUC3dyLrQZj32V0RXE``ZtN9Io}e>))Q4hov-Wn%;n zIr+>31AU|wxzu9HOPe3=UvkR|ie#d&LNpWvNpRpcu%ZSenKsUSgI-#e@*L_pUpyHP z!)vVJj;HOZI2I}sd*;ExtKY+0G#+M(s)LVPbaCq_#0m{PPa?`47U^z&#FpM=-Ax*l ze`#;8?!THf?h12h9*?gUCr?W^mIz|%nH5QWA|2QX{QYG4uBUYYB#hlH8KklWVyhGM zn;BJS-uc&Kr1j?O`T5%Lj^cPVH{KxNMjq8sFEDbk`_z(ThfKRXktvKIw)Z>_Vm4v8{Vz@fPjb@X(0D@)1m`q}J!^Cra6$rj)+7+{2{JZ5(!*ab`{dcBy(4Y0WMfd@r+<*WUIder;;4M}Z zJe+$<|0ao2{bO#E$zBS*m^*OKs?NoELGOJv`*2H%Z0`S)zs6zcb7exkqKan~Hv{Gp#wUq$J==Q8c@e~8 zaK?9>9Ko{N0oFQ^k+MsvUS3HhwRW!(B5ikdp`@iV;$#ky4v7h+IDHDLBE&yy7;L-LrIdO& zo5f0vRDSghkJ+TTd<*_^9@BTC{b}~?HnKESS*AMRa|QouaE$mcvlfJ>h(mzcWQ^If zSS(vr-lTBxu>mJTzQwvCla!9_aCsRmwMX?LYH?4~K8lz%h7`KW4zY@UWCWHWH8S30 zfB#X9|HJqMM>?#Y6T5ntkCDu0NDNgXRVVc4+l@MMd1fWmD zi9%F683=cso2%luHi}WjH&#kUNetAS9%y`eamG+N^SDzf?etT5M{<6O=IOgE0YE z?_khCLM$=`0vc2HMCQ`lZiHA?C`R0d@0g>#M^>TN_4UIzv zie!!E3=ayxp<;i!2L17i6>Chpm*FchMUjbZdKszm`rm>1QjO`xsK|fV(sh)3aI=!( zM>G&B8F?8&PLVlPp5txcJEWsGPAqaPqkuUZsAyn-{&>X`Ah2hx9Oiozo4Gi_Su<$c zyBTkvZ9gH2mVhjm*nvOxa&IyLp+JX3R za38@sVAKxjt>RKMDdo-Zo|nArRd024YTYP#=xI9KDqRXq+=IlCzHq;mYP`Mh@V`Wv zUR}F9?4ANu^>LmdHCZo2vEugXglaDo1(j7`B=~@9^wo8fkl&>T^2V_3tih#sQ-sFn z>S|3gTX?PD)XvcRre`U-)E_NIxwM#l`$wwz{tDUnx$5wKwCy&VyZ2#pQ2mgoCpdU) z7V#%(NFm_F^K#zdVS8Zeg5GzBgI4890QTjm|8XvTch+mhbXRLn2`rMJmk||pQ)k|b zWNdG~nP2^F{{Z}yPth{9i=y!XUy3B-Mn@he*=Ux!{3v^VSh%=ufzqSq)YC|cT~5$Q zc7JV!+NWps94i}kF0YP5+uI&KtY>iy+85!I$kQy1zWV$y{pmpZc4e)t;G-Z}MF)f? z1GHx)przB_Q$ij`ZW~K;D*mOrvGMWdHmjFSgQQPGgK3#Y5XzmA(=28Pc*HEH*)*{uxRASttgUy|(Up%eg06{fbT9A>w z-^#^7bm*HAw?EaVpVApszU2nrcNq(UAoQLFN7#dboWu&O%LPJ6TXk~DdfsSIni}ad zVo8i|@o?#C&clA@=VfVcO55e1LVABMJ7Ejp^IFg3!(H1$j{j{#xzEll<>tx$_ook| zZKvV@pt{LdS0SMJu_J|%(<+l+uzNspBr)>`j&(W+E1tKpeCPjD|35<79X4 z7c7w^IHVtpfzU_@85kL;y2NSOK&i7-Helj|ZLa8AwDt)ObJ6tA{>ldx z&^FoON0wH~>_>7--d(v!0Ka@3|JB&Ue$;+Ngc2|6%|&w~_dHHx27f;nW;Xn|ZfnLf z#W2$jdp`O@HVBF(qo-r==JdAwe$yd_-tb|9fcxd$U&ii@$xze&xx^H%VMHUr;s`P3 zEgxh(UPKxZ=z*7RSxE_05*f?AKDtVJQv_n&C7x}mD!-?YKCf@%p1ipt~UQP|ET zQ2^~u6e$%=E{kalmlwbXYm*YaTx}R-nTjF$%12a(>t}6lU6hn9?Bwj>3IL_{VyM(j zvyI^Xf-aT-^P1V?)0DZ$NUXOk;(?Wwn~NET*&^Pb&M$rTURL4NR+9t2#|$jK&*wC` zTi&#w+a#wm94vR1jWR9mwp2fAhDbBQhu%R;WNfmEemjdlqfFT{xSl9Pgi{;4D= z%*ZDVUVfB3ox;#Vnd9fYaY+g^-;c~o`K@I!SF1762b(}l2{B+yb1VefeMp)3`*-@s zfgLO;K8coGcsnn&v7I!UBn)0qR8P@S;6#h}1IiB)ts(*#k4mg9QW`s#lo)TYIqER{(V zVHD#+)Qru4?|RHdQdQ>t&n8D}_(jJC(>C&x{`^5clF$_lqnRa<>H7{_@hgqa`Ec_)RUu1IBSf5JO+L&HC48GSQy_C z8+zW~p)&C3ftoO=PFy*?u9J}aGe#GESwyBgQ;?&)3L`X>l7hl<${V%47SCEHveQpf zq%7T`?!!a|)KuA)O=l4jx5q6U3Wtn4t?n;pS}?i2o6MqsnZ8J$cuL>d*~u4BX_UN4 zaRtO-`s1_XzF4m_V&ZS{YfJ|p#!-Zd^NARxJzE^%e0|0XNUv|(xGuwo_1d1poGV6L zy-Ew#H;<~{#>qu@_81Mzm|ZhTxHcZM{eh_x@`j0C!n#)KtSFq*4Ow{w10pza;8nuR zn~g!r+Acw!_G$?hk&%Pa-HfUXaAI)lY0t=TamKm}-D9b{*?j+-P=0@pjk%oLtKv3a zr*pKS2s(G~-2ekHG4_=gaNL`sReXbm{ok@tG>LV3WM3Hh;$ZyZZLFU&o%X5}M{UT> zD;~zME=ur!l`@e)oXLJ#vf7PW%57-qn#0mUYn4B0;6|viQV5xa9bk}+s52J|QP$4d zUT?zP=y0s6uYf*c6iNwkwb`P8Y!tIaSqnPz2QXmqoyA86YP$^lo7;7++xrLR*7I4N zq;CbKnmwDGyvoSh6I?Rpm(!CM8yT8p> zp=>)}oURgo6c6=@BEbuxs+_HwaBYagUBYElGE*ge1!YPzD-$|Q3&U*Mg%4_*Mp!x7 zO{Bv?mcz#xGS_3Rwv6p+;)IgxsEg|&e&7h>D@UV>9RgA1Wm#9m57!%Hy)ly>12QkQ z^tW$sq^`3F_V$1{9o-u|K>Ti|-!eyd+C~K6dE2W6v`3d_xY!VIXKG#epEV?+C^ytXBaC`Y+^!VBtTzAMLYk*3K`2XR{RlE=Et{j!Lu)-PvUl!T|8V43 zy(u@@L*F37OIH+ZBqB~KCl7uMQCaQ_E7trUch`2*4esL{;#7Q-^8|!b74w~I(AnA6 z&IysF0HT-O++Sl$@#VM*qkp*EFEVxGD0aWd7V}$8J1yi^7i{&uSyY$sH0Dy1yt~~k zy7&qDtb4hMs+?e`3H>YM!YLfuJEaMH$4Lcv5YEn>6-+DYt*52`6`;BO_ClNI=Lmk_ zHvikn!&=VIuvE_W3?v7%@UgA`k)-ZN@BQ)f^VxyP=vOYVv!#^l&H7o!dAuNlr)Mo@ zWqsP~JmyydSMQ$8O^?hF<1ok>aq8HF+6l{>_b7B(i@OO*wYLV>xNiCYOQ~&MTSu$8sX8q$hM4%)bKQ9rJ8j2w(LI5g z>M>g%Gng@xS&%{6DAD=A_7v1R70at=dO%FCZ{qGz8+pvG?8rxj_O?qc{dz0@KCv7F zni&*k>- z)eZeN55`-?e{mW5Ayf5(_$_0~>%{g52t3>DVz`@zZPr+Cr^c|`8%_(6J~x7jPCfmu z)B`mNkB&OhW^xGvq}H0yN~DRi7l%8?1-$9_kFnbGdy_}>g(C=Qv4Nwd^OaaXSKmRx zxyoC^`{h`!EbVikYsF09?4eHTrfJO%P49n5z%`s|Z0%W;Av9k>2t>23S<4};ugv+2 zVy)ACKa`~=(Toh|`(Ktz-5hK*O_#UTHMKAp>Cg`9iKeh>@5cSCP}OaQfhq9N>TWmT zx0eL1Ms(UUU^swXjZNf8@vpQJH(37*B8K-=qVamE$7)7LyOP%Ca6e|(BB* zhU=?QJ#nY~dJGPj;W$@mIg*279f|#NY$U!=6@mI*>B*t1rQPgyNW_Bol=4w`>g0Xr zu+8*9)zIl#17)`FN<*PNvITWA!HfsMc^EZ5V)(P+W3w99m7-9L50Jf>j|cl_b!`cu zi#ag1d}hWfO!I&>@-*w^jUcwldUy}wgrb_0lapbNTF4<^+n&eH>z%wzTA7CGp!U0k zyWl)a4{n)X9BuW@A>cZ9g}OS0jv6nQVzhg4`NMM9D4p&+b;=cES>3z}>f%iag{s%v zfg8>8v#mE=XfHLPB=7s>FXb`xQY{3|Ve`5vLaj$<3zkz;z_LT@9UA%2VWYxPNKxJD z#Dx)m-TM!{H+x5NWV?^+*#}5LplHOic0{?Ir!Er&2T&i@w{p1M%nU7Gz`#QiX)lAsLv|LClOc%A#ZQIE`t(mkOFMC_C|H zi*>Ez;q>7SQOKQjwQ8C3CaVR3a4Ua0J@Vw^@wt!zecIcFW{>M)f8jd5hkyLscB>ge z<%dIAJM=dHMS|XPIUFpuHZ~X~s7Wbx3!IBTAxHnlOY>u2ja~BO{`#<+-@Dm#b$+)x z+!UQ(vlP5Un;L7{`k)g|na?oE%>q-;8^ zQL}8XW#hKDycWgPsyXc_(o;=cyyc`xl%t*%4+ziKUJNr7usFa$N2XX88-{n8;MFJOj`YQBlVSs`Es&JFjS)KJqA)|HE_K%g5! z(1Q5%9$T9CsSG&~w><*~q7)vD)nw%GCYxp^kxJC)oNNbP-uWkWHAIHtJPC3X{!9`m zobgGjaY7CY`U9UAOB$ctaXPi$unQ5reuHdd`eRV6?tj^!t}j|G3ch@3xjI-HVa`(# zLK9JxJ_1tAJjIZ+ixG}QAyqY~7XQPR!4xh7Q6Hs@?Rjgo{ZhS1OH6lxgRn$BAbh7p zqNTmd$t~f&cQG={AL((h|KVe0WtVr8cf4HFqEk-@EPdRfFR1#%G>ERG{dVgyrdu^N zvygWkk^j*r%P6lDF#IO#_wNCd+r+xE=F1_njc($Lx{<8CEa5RX2v$X7MRTjq{s?0#n4OV)DCS|>4VAB9=s|CA3ru! zR|`$&h`}^6<}Y&|R&TbrN>m5#hH4dGy-aiUKib_qTE6?K>Tr0FBII{8G21Y_c*k+5 zU#E>en_U}~JauJLfi1V)Z6J}C{iP|AcMB;7n5;Kslk@r9d5JVY#x!l9<4L!c2Ya$; z-}Kzt#s=-e-6p_EEa+}uNn3!jKP892GGr?^OQ{g+fiEN;M3=(FMGcOzUE{s?pQd7JI0QIIUO6)wBF9AWdDg}Jypo6(&Fj!(tkNfFp20eb`p( zqG?3WmTT-(fiBAbb9HchuHp52(evaM59k}CvvnB1R{qMXR7r3BhLpOpr+zIAC9WdB z)aG<*(Q6>;CoaPomg`rTquZ4$dXNIAFus&{rrx^&CoalSQ`F4M=|lZd-?EgL^GkA>gVsW7h{~+c>i3-Uz^cAR_xJ2 z4o3`~oSa-53D6LGwHW-T@a|jd@4c7|G6+vrYA>;F%H^+HobmqxW3w5)v6hO}UD#(Y z3AZ)kZ$q~?7pa|G9@eRX{|+v^PG+gIKgbmJyWQ@BKyq$|B41s(mQM%mP%)4Y7iLIV zd+y#(9{M9nitkz$P52~zch~O>zfzIx%}q0e6eMOd07})aPJWWBsVs0oZ@kWyd5@{- zm|Se>aH<7rjq;Y8gDE3!4|mM?$Zx{|c}pK9WWVs)c!L>$iu}Y#tl{lg(ovjHIkO<^ zQ)y^At-t$BgN$TFs~O#pxMCQIPYoxkk3Cm}Cc%;^QoD{A{$*P=J>Bn=ufA5~YVWYQ z|A}t67^3?*SIsI%j^8f-R%|p*SKHk&HS)IK+md30ZPAURK?NJQgbn@{_WiU7eEUe% zqS*br1li`dHdGV|IdD|$-|QKocDQAB{Jb;HjnG9wJLNDdWD9CXMmc#Nwpi|L@m9!a zj%C22%++Sbp+SSQn?r@}N4L6ZHa<9`yzDyZe-ufGT9NPjIp!r3gu93If|z#=hw#Jk z7;p_04?%g)*{svg=dUW0$VR1HB4+Tr*||<6LSLt zo#&|E8R)k^A&|eef!0$$6Aw~u@ty*t@>`r?^-?pQx0RE4A@>)<=)D}Tt>Y^|xO7l09moBwD^-AZ#4?B;n& zRL#uW(_KI!6UDdqHB=rFGPJ-c%wLVbdHb`wk9V-zy-D}uM&2)IHyoHQ9g=5eHM1wm zOqFCG_Zwf3XXW>ek(Z4^X`HP8NvyMvazJLAS>vRlQ0lF>p_C)sH4kTdm>E&g<3xaU zgi2M=omG2D&HK)Yz4c~}E8^T~rhmS)#CvPAW#}!(k9w`+9rg=ra~TYujyO-D_hne*264EWA#ot%;%yI<-C+4t4I8qiUcX8=>| z)SPZE@HJCf>XufLCoH7c`LRR{ByJXrt}9zu67~1FGL$?u(Z9+| z!EQ%o)NS;1_?h%V=l^1N+9mh5$k=bjsb&{s=msnQxBG>5jpD#gZ?E@_m@ZE?vdsxS zG`q$|dTl8AFzcQUNN?_Mk6c{rZe9;OpSVYHXiA>W@Usq+R%kA@*6s-U?L`z~_RyP~ z7w-C3Z^VSYNPi0OS(-s`M!#Bs5gyn%(CO+Tk;pxF(J57L4o@OR49Yl#&rd^i0!=kH z_PZZ6yk1=ic-CVT$1Go!bJSFl=4e-UvQsZLxI0Hi!cRtebk>miEuZ+u$??f>M%OV4 zYKpNA8{1oN4Q%GI190Vk&69Yzgjh<ej0V70?gkJ$dJO>0A^$4dgEro)8Ltloz|$zQ)Du|7`Uq9~@(TCCv+&DEa{g4h{yQn+m=9eFhIKOEWUoILdvl z`P+O~n0b(mzL6$(_MY+-oA zt+%s>1!J?8~>40O0>@*ZD) z69BR_mQXdD*BC5Y%=cnKXO#x%1{O8OZFu1yaKLZ|oRpc;6|RDdyi7G7<*KL#EE)22 zHwrQFo6A0p&zW>NQ_U|!L*MqS1t+p)d)=EM{WsEvrhzzS!JrRAZc%IOgl|m+xlGe7 zbF;FX*qAH5_V@EcM-l)ZbQZmSo5$J}Th0&}CDTtQu!6J+=OdJ*!Dn~RGMClM{){?% ziwKpzypfOQV=AYcJiEzi+}UY$z_gHqw*0m%%C`=Qp3M7gW313Fpe(0<1>$EEv!PgDuHku8$ZiFyeFxOO$%1_)E^tyqF;xu*D0$kXLbkpW^=23j#x- z*5YZIBEGAUqpg=7=aWLn+m&lsQ; zZpx6_m89+$Xl!S}>ADY!&p6m^?KczGmVWeV4gQ^e3BaD=7mSLd*ZD0+v3~$Gy4oU@ zRalr!AXcW7)9voC_sB}xe=2J~(+4pQWh9mQ?G;(Ehl0muQx=68Kq$`{-x1LMdXsgY z_XFtUpyTNK1;WLeeQ;Us$Zed&AXP4k;S2L{6(??cyA1e5!$6G{)ttp#R<_$mDksra z@nPDpqAf*7pq-&dTv@bb#8FtrI3f_w;zd)Tq6w9__`BdEtMkRPGHJC>39e`e#ma-y1Z9cX+t~^G-eOF&Ow|mIQ$0@S#QvxH zaYpds>Z@{JwBj9iOMPoI7|?}FHTwCYPd4>zk(v9q8wjBX)GVb3V6ccJ&UJo2`7b#r zSNGrnQ`B=r5LStNnS<~cFogr*`vR=K#ld;WYGs5a2U-Gj(|O{&%9#;~M> zh-H4R44H{+s;_M&*;qth)b_!=m;X8&8hjYtd45xz3bY%H z3q+YV`yL)R^4Xtz$uP#t1ZXUY{=zk*WACe#2cRZaF%VlFZpWwq_D*72nq&3o-@_IT zBqSKCw`oE*-l?m7M*h_-r*Yw;j$s;dA<{!A(!CHBrQD7}9Zr6H1eo=im+pSIATq;~ zw72l8a2YdxEelV#tHh}w(rNiOgNH%%86$|(l!#gAW7no14$4Qe#L%6m+?{gK0^rn) z1cBq$su3tD!(B5@Go-^?UVuXGY)Tj~Q?M0OgHQ#7gzYT#rZ6!ilWT=BZQfftbAQC6 zW9j{WUqyIpdz)MTi35Sw@)M0ld)?tZgr#C+N%;~GvBpdFT zVdrHfKVilO#@|$^r+#>!G8w2Vadq2JNbm6PD4&g6-|GYqn305`Y!5Dci+@uA*I6Z# z!%Gb(2Zxd^3)Xe|Ca*sGp06(~c>7-=+OT-h2TK{MpRlT%E8agzFfZMNuMulUO40wI0!U&1qa)g}C{i~g7EFy(f>prx|I3p$rG&hbq{;#d2;oR0oYPGNX^+nK8wk)%GXw{G9n5cd#Mh%3 z8&srLhtt&5b@$(1p@zoP3l&etqr|$Uof5jyYQG~t@u%rK%hZGnJo2(~X3Ji74WKZ1X1UJq?Pi;@%6l)(UanqX6B`B_gU2yI<)Jyzbq}(7(s7d3|_Z*Pg;@w zgebt>nQ=N4|C|0M&lglXegFbIhxG9QzxSvE;t@m9{{0r7w7e6Nzvz;Pa`E5dTaZNfIh$_lH+5AG zwODVx0JL z5`fPz5QgyoJP{}r6wt1Q_z5t`5}Lft*v_c-<-%b{G`mN)|EZ(0 zwWz*%M^@CgxD?wYhTfY*jlo_=qdc5L0DvwKq`H$Z_v*}|x#gdehv32ej>iIq^^`5v z?!jm zNh{J_6jV;SDhDwPy%=7c!>sU2>&j59rA|=#(!3oLiH_;=MRygIAsTjzZDuU+&Za%X z=)mgo)aFP9VM{arEb^r^q^hazH%9fHfG;|Od4z<4QCc$W~`*j4(;oCyQ{jZ!!7P{9J<9d1>klm|JobQ>PHk$4>UfF zWnBw4W`R+G+O;D?h7XDgR#m|9QI)JcaJ4#akrIK>0y|TF#jy_<+4M25mj%Tq!FGZ$ zSPO>d*XkO=1mz5!nE5%Cja!>*d)eMyR<5~ZiCZ_^aPMqtuR}w|go&YGTf$+@m(`NA zAhMv;n)w&T4L+!mihzj?7!w3U1#fIqvuvb%s8Cs|xxtY&Gv+I3t2nyTMB>NiNL6y` zAsd}edls=RPX!qG+iEH`W6BJWi}de941#P?kRf zvcE&wsW!9l{J?@_QQ2})tC2PdLB-JhGa^8R!5nsmcCAd&!G<0fCepY;>u?M z_Z|{iLQp>(51*QE>ZxTZqTnk-NI(b#Do0L{Ay#&4R6#FS;?pl!jDji1hk6kG7OYV^&RL+HD5X_dttV!e{k> zu07`twHYyP)An#1YC*kSU2$J3iK2w5f0=UPaN>;1uV@h>jALPSnoX}r?86*Hve>Rghv5-TrD%eN zHi{34dvjmfP?;>fPIS#L8~FYFww@82U8x6Z{BioDHb{nJba1Ti=B+C0qCI*)jeqT@ zI4r8JPDnA=DT=|Z7N)kjMOoe5{np~yA9y8B1bcuHU9-7SVr0qp21A(miwIktmhrKX zEaG7~n1%2^5XC`V5OmZeN@k@`H5{kX`b9WImZ1X9+!-$a1-TrQ@V}MouRGu?@NDGbuq3F6*Q!K)b1Kl=rvf1pfzx z&^mizI000gNH;O_GmGBsg)TO1>GG_)IQC(wFeueL#&5sP#q?ob2yIXAN{Rci*foob zt}nGkZo0wI{feXQZU}*DdARS%fF(#a&ZVX{a9%I&b)hPz`*roVpBz38l1X7DU@f(| z?eVZDS+xIR)sm-wb2OzZbTU!PNiv%+b8_d$qzgWG;Mw;liR{l_sGP9NAO#*0D zaB|o{j~&}z2WwoembWk^`*NjNs@e5ofS+;{yFF4p6F=9o z?HF|^A6J;;`FmV^r{RQW&n6~k2|HN?=e zg{K$Hv$uO5*NIKpRdacvm!h2y=hTL53T5M(NN>b%8=LRHe9B)Qawxz902tVWpI$QZ zCA(tGhfdrK8k7HE{C0W~j20R>d>P4El3&ztPp!{iXC9bkNY)|tni2iw$c7~0-8p%9 zG(7cl!ZqR$>HFA05O1*|B#Hr)vVmPz9rti(*o5E1-17p8Fa1s}9F|b>fyyug4tBtWUQuVPv!;ZzjaIPPA=NwIYEG#{T)h~vwx(fZRokF*rj?lLac8r zSq7cZUama@ZwF|ndolu()OLVA`JYZ1{L*tr4gdXOMQJI@W9`zg^t1buUJd~#CJL%jG

70TQq6GIyB_bHf-WA&zZ&8M&Ej~X-y^*`?^a%sR9Y%n018oW zoiq0GG_r&6m9g=xy60rcfq*hLRPxu7@B_pzZtpkP(l196d2k`POIu57U}HThI`M*5 z*OLIOdS}Z&l@gT>==g@I&}xt7N9I7Es5HkrES(#lnXjhiK)V9;EAHPBShxfLJjLNr zV&#Q&GPcNm(3-@j4UmD&4O!?5Sxs3uwcBV!aPdE@g_Cw1i$vr z(gD0M3xS>HK6PmB2_zMi^*xgR0cU`FF+Mwq;>1_7b$v$;a+2I8ZsDJUh>c3B?*35L zOZ$cORQc6A&XFH5<1z8eh4J3D%b^G(UDtl07?hx9ilN_{v$vg9Cy$CFn7r_Sn?*>mLMDkpXSKz4U{}wNSRY+V+uv&RaOMwyHJ+ z{?oBhlTNDV8~cQB1bzP!uao_gppEhO+TVYOxi9pb^>Si#D0p==Ii4K;H!2yirpA`7 zO?H(4{eyHOc*bPRLMYco;&TL4pI`ZwSgM>BQqy{P$lXoC3I>{jL*HpsNP_ z&3_S5VM+Y!HI9twcQ^?T@coJGcai{kl26iMo%nx&qF;QowxD*@{PdF8&Aq%^xhZ$& zJ6Jd{`0HLH_q*-ch9iT~`y%<4`sux=m zTFd#h3kn_s0*IPVV?depz**{@G?)kc z^)H;~GiX95>cY4k_(xy1^P0AjRc{R9A=V{>e8Ii6gQn1!o}NcV_eT_6^eE71cEYvh zXSUH_*iE9oII)LM{njbPk+CYJJ;M#;Wqd7PB`_!`HsbPLIrY^u{n8IDr`pMQ!MMFs zqQIUU@b2q3R^s;kH1mawgRhfgyH(W_#cj9sg{C?&8*1PbI7;fJ zzeltnT>W4l$uvkN@X?qG)(OkJ*7aJlr4B`JRmhhY@MA?G0b;D1X$puM^8ylsK(6N1ZecdEHSj zZYUI~>mESXVmXFbGNupQr$jS$P>f~0(XW}_U3X5Z{N4MxjUjo@10|X;VRzghEAZt# zheL}e;z1F4dt3b&h$Eb0)L*>m4&1f9)0ApU(w-c@12cn8f(a|ftZ0l3XAsdoF1cTh z*P3)mI*@*cS)c55-r|`=>`V&dIaA+v#7YVXJ9|^M$MTxf-?;h=6(L?iu-U6hGoRs_ zlE3;ym{b2Po0Ng{YlTO?MzjR)uO%LC8cPwRw%(G|n3j$~?Alk2<4X=pV;1I12XSpU zV}3ChOUFI0J%I0ZVCUC&WRnmh=lOE_+oNY}z?59JE(K8(?-!__W@azeNF~=W!2otA z6ZEKva?DW}9*W4@%yb*k9t3`VJHcYS)h&Cx@LRjrSdS4R|GGm0#0IbftRI4yrC&cY z$0D}(`ZRDu2uw|D>>{2;1D!ZOLIy+7w%OQk;qR=oOuiA5CtZ+X(yxDgcye?n7J1lu zet@g2rt9r;+|!=#trPK&nTk4>z~&D>X_6qZeE#Zm;QM?DoB(!4SyGZi?Ufb@A2W8f zfmDD>yZJQWISlpp^XN~V9VlF3_W1kQ|4>cDn`n>AUn@yBl28P>i zQ$Ig-1wHNv=n)rAnxyLd)orAN0UQV*-u~mN zhnmtMKUlo1ul2Rt^V*Ph11q~_==oiv_xXJ?u^9o5|Dt~Bc+ zUtcokhdiTQ7ll|9co;S~Z#|rs(m&AOUl%`|OWpMvwqES2NcmnNrVSj_1hYMN@dCXc zU;Yaznck~BnzTu|X<6FeS0!hWCkKyBOnl?L_8VUrtuLv@6vw3|gTf|ELVrzF=H~4B z`b4~3Sf&U2FC{#0*-)TpLtxl@@!q2F#Zau&^*+CPPP&b%zoX4ge#$78M&@)H!b!r( zsf+cdP%pViFkVdqrro$B#9;uHSx2!zW+zlSvWNLhwc8MQy2n@oZH#8{VzBdfY~S}f?a9P=H&;Mco9%$8Tnx!KKq zW(5BofBY)|M~eT#w@a?YrT|PImu5syZs*y}>S!8L3$2GxF%n52#PSNVRUcJXbNKYs zUD?`mHMVY`6_pcM|EA8qUJ9^fC?-z^c2B z?IxS{!s4-IY_p|7T47LhTM|dc(XZQ%04!TjP=z;qsTe!Rw!)1Zvj8^?Hb{NY%3sB0} zJ!4bc^`oApFngCA9YEDNXA+(jhCufnfBtIwh4@3{5`&i}v8WPJq9)N&zj|4ER}i9!Yz=eSu|1!*t_OYCRQx|tAMXv4}g zw5LkfipCcJY$E2J8x3^OxZf~iYm!hnMYF44h)#GEeZmo!HziM;|EBOFLV7QY&Z;g8 zl-_osMucI$pT#T{Y7mB@xk#L-ReZ^RMlhAUjj91nq?=ww;=ys!^rxvcTt1mLn$x2% z@#{3E7K+KK#xev4<9A`>Yvl=o^a|St3we_{Uh)~J^JnVk_`&h?>P0e*Cbi!=>K2SH z$Bki3*Gcka;PLu4Hv3rAAeuwJba%Mg6x-PxLyNPo?mz69^c>->xTN>G4Oh9O%-H^3 zVWt*)+~1DRJl~ngPA;uBb-0TO^ zKCdq?SX9=37sr3blVYi@fqhfSXTsIZ8HtKAfJ*${W5H$pF*E4ts`I|T4rxkjRx*M; z&Mg$MoLpngDbYc3iO?5grnSp;;Oy+O<`1U_5pYhfwPuf%bk~WK_H_|*MkM8Nx9);= zwEHFV8FJ*`?1@m%5p8a3X=!VjcI4-K$-AlZYj-bxA)ii6`Qf<*7h5v)?yZyUG`AJ76{o)v(~D1W@&1GPZ|{7yng78a`> z4`?3F)|+pi&vTzgyq}&1l*>oFUoD$^)U*kYu4EfuwAS+&n!h!~SQ}pccXhbXpzB7$ zoT~J#ST<2)?+cZX@Z7hXwA>co97BgFTPxq@8TdkGTt3UUE6iX9MJ;6C>j9LKhJe7J zM9@2fx+Z5XbRzs#-@H(kQn82CgU*LLJrppLRHoQP;~Zf0FRcQR%%` zem64?RbSx*buMkEi@>!G3h2*f4=nI{7X~+Jzm}iq^zp1kZW_6zeDY2L9GGEp<&7%BzKSxtP>a0+%!ZZ5(jnUrQrPemWRk_&m|Td zPwha`u-HNnj@4gDcEpNPtR`lV)&u|msnb>$UHh&NG6a+KFCB5-&XqJCp0BeNf!r41 z>T0Ut`YygZx|H&oB+!ZI8U%h})c$tvUC-us&xvyvnKsA!G#F%rg=e`JAlD$WBZ3UC zc6eO1dryd;{heVHrvsS3$fELK(^({n5~2Mv^yY+gBWXxyOau;jsUG13`SvN=1t0V0 zwZQAI@%fKmM1N+K1#eaMOif`Gq)`2)HkKnrtJ7`Cz3zs9=_V@VY``@LI-@}2;QcmB zOycxzp*@Ze^83c0hKtb!N1V&Jy)f*7N$Y?lotStL-x_ zO6!v8`_cl)(0{jP>2_9aSDF=y+1Tu@`hrFWr`0m07RMOvDq zs)_Tj3tU; zYJMwn$$_=r4sOrcTRIn$Cwh!}>!tl`wNCl^p4qT>oXv?UK{w|O>D#>SWgc|tljduG z&-brz(lyDlcMdX|k;!XE-){&vZo0P#bLoB`E>sJAYhZ{XdCOVO9S4TW++QDKF1`Tn z5mc+4@IU|lt^Edb+^-rq>}7eV1r?_k*M7kd1>=WUSDHL+A6;IKUS3v)hnwdxnrlZQ zj#MG%jTVWww+D!c+}zAe&a>&OxrW@w^M&?6YQ4S~bc3JRsH}XYai6x%+v@W$9&YFy zGg*uP6jI#ZWbt2y&Ew*RjFGkTb{t`H7b9`EB~x&o7IYTp^4KF$UE$3Y=qin)Q$ELy z7eW_U5hIO~{w<4=08&$0K|IlSZ$J9Oc1X<4vt%g4;5ZN@Jk z0Iy;faX^Ok=#^_XDUgPv0D>-fHlH-iON@tq67UOr&3#<8H1$0xt1Z17s^&6nS+07w z+ZJ85*8X@m_ouAqVt{<}GVQwPjZvrPy-jt;^=O$8Dglb#e?9&Q@XQ>af0v2L5ndAw z24Y+b)zvghq)dt&O{>aItN6oERuK4)d)ilyXm<)OX2QQh>uG;IJ3l^)i@aO<&&OQk zn_oiZ9pp6zU%z4z(qU`O;jI4^Ph?NZX-gvp;YP_TwK;5Af|RP$XE>1zwDbCxV~B5Q zSH1`_Q#L|ytu@GrQmkX4!X?HeiiNZ-fBPO+e|rQ@r}!hvV(e72g+^ZFxZjCtej?99ZcdkTr?xe?q?c|FY3L3fj%c>xUj z>>Xd1y6oO40(>AWPt$0#tw<`0eA62K=CeXnEL122Oo!@c&43*O0Aviaa&K8Dy7`pD zWgXskbDVX7aq(9@l-@Q}4HDpD^@lME^l901XtF)7R~jnPJJ+bpvPzbch6xB$yzM3p z_I9qOT5k<PE2vH7KY5R?=otK51}lN6Q3%Ry?R@ zF&LApBuA67pqqH=kIUqJ4-??O-?)o-Zg1}>(*|L=iL+z&uxl6)^(!iTLmaPM4AM8? zy{@WYZwv_BnNDS!ftjD|dkXzK^nadC#p?#4lM6OrfbI4~={}?pM)YhC#J>&~3)=KA zq?Z-`Vp+t3jVd#_EVx(R@S|yI|B?Z{i*5u1j7<;E#${2hW5GtB6qYYu-PHs|ma?#i zea~l6#RF=>1(;@+eKLC@1t_?`@?3YoP8m|;f8~S75=nh_vtrhz2N0qcy#-ec%dg7+ zgo4?f%nzkYvPc_1m%5#77*h8CUB1wV(7Ur&XCWpHCh{UkI8x~KXMvVX6;ySKKsp4wr^@3%rztXFMnXkzkortbWFK=U{>I-BBMMUsIQ z!bFbWN=)1!KSB9A_+sI!iSKdT&Xt;_g?K{cV8x;njWkfMQ zH<@0ED8mDuhSn-s9A(d{cwvTEPdC?dBkRg}`>QKy>kohCO&|O8;#G8hm}3LVRJSXp zMuBJP{s-ZEN%pJh>4%0z3dulJio8YU9^Jach^Oh4n`sKp@QrOinB>FbA`X0FxbL3r z=(sZJ9?eVH0^|G96fZU|3e3Dj$%zGq%;y5>Xq#t4BZPDdvng2Y@|q{;zK3Bknp;%z z_LEsQ=9@i_@z-*&9`K4~iGP23kAQ5JYJa3o{?JM9L&y3ao~KpWadFa`YF!IkaCYDT zyDmMwz9rc{LY%&gBNSc>nj9Gte+31$^^ItA+BI<@?%|z2n_Ih+@>h2gLANoZA{q>I znv`r|j_C~x%NHJ%EV`XfV-EV}hYe!u_i+tZBWW&28!)XhXz$b3P8w%h(Al5FWcI&V zq`>&z0p5P=uBDm4J=ufsE z$OfD#c!Xh^nF{ZJ)zgHkC< zSJl;mly2*;x2fqLhqTu%*_`HP9;W#B=)$;`=7fLlLy2`#BXd4m{S63s1=pppnRX}@2Cf)5&%%X@mv_L;f3w?HN@;75)UiIgJ0ZM%k9{P& z&Q~*qa*c(QA~7Kgp-E|Ih>wXz^+vm8=71?xiDW>{{V&lJ1K7YW0$1jp{U<9^ww>{%LOLt4P-20w3MA4fxec)|9U=aFX=x=(JBkgkD}?<)nZm;hmYcIz z6}@PFeZt9U(sp-f+$#0nuEY04jzJMK1Ls^C%Zpi2P<+k~i~WDQrwJ8e)Lmc2>k5-nt7#gJ2wCIu$HZMVLC!QhO7K8*enl?8d&rVvmY9mB%Lw|MW^ z)M~;o{a-fyFPEnN`0a_|akg`XXPM|OumXwv9v55jtBdebMTm8t^&gI~1w;(VwGx_ROp?lS zYnH*{LudWyNzmmE*(Xvx%sqi%n?#dHXt^y3ygFMiPxQz} z(w`kuavU2uOQny=ZAl7ZpWwVxQnLbWg;vc3{JMY2*g~+Q}0<* z(BtoC_ba2ruD?Upm*Eiv**_MF<8#3pT_-1UBGvpl9B=c$P2aCB-M?4urpniG0)n*t zgE34HR28tjHk0ES2_Z6@2HI?NE{%9WyC%?3`}o6rxXpjHR9F`Ry;YB`J?THY#|wd?N9O~ZO4=_9651_a5e;2*^X3W# zxNmfb!Q1YivNM}d&8TE#6l7Sm4GbK#WcwA3>iD0p5rMOC0*AR`n?8jD@QQKe^Ja{C z2jXcA`Jkb_`_o;T$3yz5*4*W7*0&CK-dx@9ie52V4u@6orED;U&9rFQE95m+PRCkM=p|=aR5xG_j3ReAE$LE&1IV`%L(B3rqhRi-}BQf;n3P!vczK0lc zVI&5#q#d{e_JXIryTcqgk)STyPmkAI4twIII@_iE%~Nj{8=PMwXXd) zMS?46L-aWX6Xfqo4pZi!ABV~Md8VN(9$otNw9UNHEpL$HJzY0#Z;nKlv;`CsS)+M2 zq@y6I7SvobS3`ZNRw%2dZ7okPD_6Jsx;}SQi^1B6{!6u= zY&uYC^1i+6UMu=C&+%VZfmR=s)@FE?eD^!=3qsDBexiKq4~|?}hBVqgSncWs=C8*V z)W+tyj9aq_(8~R6TjZ^;11|7Ng3kUaKO>&6JD&z5ZsS{vi=R&kb8cJV&ogFaulrkB z8-{=7_f#I~tYd=O@;=ELm4z9=J`P|&1^a&>4zliK)x#XjseqOC7lyz5Dv2;?W3VB# zzHpKQwWhkp#=x7&?=N$rY|KsV<;yii#e_&PzDI(9f78n$L__lh-%76}gP!(Js{J=T zfJu*s0@F6HlZUI_Sy~XvQSw%t^DdP{?epSh*}(ICJeRR=P*GsbiFzGsWWa_HpSh>w zbA^G?3dI(fqWHk9>YYHw-x9AE1k~x6z-GnZ3Da2xl8KPak_1YWvn?LgT-)Vm46YfA zdCuYf_J==l@k2+0Q`nB=o$U&*XacXVsnmj)x#}&9eh)xlnS{{SqPgW~&G1~#qhRG5 zB~DCe)DlQXl_iguXLxES{D3x_hAq#UmW!<3K|go##^>gbqDXIt?nCXPU=YI1N%=@IqZok|J8a6&s{OE%FnL&}JaMl_%hk}1Z=vlc2*!rl@IpUgzD>2plrB(S(V_MHs$*9FJw&!QX0Ku+=sKf> z26ki)EVeozeKXho(sEs!%zoRME;cFK8s=ry8h-ROd7(LE# zn7b>9Z})R@184SXt|$JJsadwlR;o1kiLZ3fE$jlx*NB0T3P;5WFqu`ps6Fpssn*BJ zZiU*7=a*Oti-*qk*!YnbUUt4q zGMXfeg=K3?X8$piDciOa&KOY;M}k)xHIEoCzsjyEcpp;6kQLx4QU+U~P#61xWaQoq zI7)?rg{OF+A79c%)4y7xBp?AB_-+oBT#t^Sc3sLiob6T`#>7-+*zx?V@s`C>>CWf# zO%Ahh;k+pqkQ^XQzUdY*wdG|<1;S0b(1?8ihAFVpiNl_w;*Jd4F*+}22z2`T{34#x zck^d5FxpKZ^^!G}W9Le`P>CLyK?9N^!Bc>6`)V^+Ifz8WPaD9qyU(K5OlJX96G05c zV5kCn-a3k!h{ONv$2JCDnh~0~DQAXWNG>B+Cp5zEp2;-W@$D-pO9+ST_B+0?J6Bs+ zm){M3Uovc(uXtbG{z>eRFju_8JJ1UkSO%(C*8QKmTVGchuWbZ94b3#V9G05g&Xqq7 zsFf(`CN29nG&VO%k>!1P*JYp6;dk=5ZjDYCJ!$)Ho+Kct*G3z^R>~X&(=AdZ`tuuT za<5ena=u7X5><*K;ZiX84%YdmYEx;&r>UCJ%WH$7u<_MEfNby6SIzY9z^p;v>J&Fe z(gSz)j(R5ME-khhn&Of2!v=#9p;wr4_*>CZr$%8+i=8gdN0%!4GI(DwE3!C#1>4Vl zP`~KjmbRf5=GR9v!`op|^!L;&$Xv&X6ALKC_~Y(Hti2+jD}c;i92t7fjgXY>U;!jUwT@22SnkGD2oBt)rbgdi74Gy(SCj+#|0c3}H$pHdJY9P?-uH>VSqWbkW<( z;EEFb1rIL7mk88E){XlvG(9%N&BYaEmP4%XQ%tyJ;dYEHcl7-BD0`&@32$BC^~{TPS~g3AHq;3i?}c4v&ZWz6FdJQoktz1^g&OyG?f~5dD6-{e8;D@KVv3u?_xf^SZe>J}7yo zv6fV=hh6D=o%8m|a&J{sq3#O?fUx7VMM}CajI}m}IOxGfg9ZanIseych*FhSKc=-p zBnIIWVWKu=1YK}m`h~n&_B!lz(xJLt*DEE`)+wM}(?t-Ty<<=yi;w=x<+%eqnZ{+T zYk-#IU0N`BgD&5~stNqa(j?qUd1`cM-{&{xYjOXsQRp2YqkM_so5XoU`Gf)R>5Jd|@ z*#(+dqJmkl<2=G&$FqzZn$J3B2})95Mi8goje2^NkMT%IwAcUCBk-3)UncHjvv7+K;Z_nIv3H=}=~`5=$ zP7;Lv`Ah!keB}xS#j)U*7&^jOO#|X?*Gc`h*0x{ujoLvc7OMdDipIHq=xN*W`QRM(j_b1 z)yVvzGwIfj>xY=0*=LuH=Yyc9x@s|=And0bb(f6}K*hqKzgwJw>bz3lc6sETbhfOiUmJ)W zKu@!!J!M5q+f=Xtq+bUt>C~Q1@sM`MxhD{CvfA9UzPF^2kwBsj?JDc|cIdb^P9Rje zY>E!{DYdDu`|fuC9X<5^`{(X58FUsikt5{e+I||!r~F<-M8BHcZ?F4>*4<5_SW%({ zP}8#Rys;1bnIjx;3fZ5?dA2yP5&~}2)oGr;n}{QROOdb=#uj3idUjK*UU0`tmza+z zQ-6jEk$x5|H!@Lt$vIUf1u*|%Dj^R#ZQ%NJ-`+IQ(c0MfUtv3&nuI^QYTZs>gJ1ir zFebHp`vz+7h|J6Ys<3gtzgk?;bmFn~^FM0@_b@}hb6d)h6V1*ClgEI4beC;qix4ez zKw=lVoE`CW-Uc3(O?wszrW|5A?qd}-18q!`8v0qU^Z?vTqzTUcpZU#X8NFLxeyK2f z%~GjKy}fTD%qAS;Gaorh;MH0kp7(Mot5Vs|u{}YV8~O=F8Mqh=6;{gzjrjSfWf=OF zoWSP|_s?hhdSp;;fa%9e6sioL^K1$mfurFk+vge4yju!FZ_r{N$v-w$c4;cn~7Q=uSZ zE9K@@3c<=)Kr*T$$=9f=G5f`e6LCK?L_;Q?fb;r41XjCq^~}NLomku?{Kba<<8k}_ zHTIy{qziwhIT9$?0 z#j47?=h$E{^_@qKO4R3HpG_`@GR=fmhf_GcqkNbfWl6Ylx2wl5&H3)K2IUbp*`^oj z;+%jY$w}@8MsTG@Zcb)9n$Pv4$O2b|su~Rd46yH~c>ISMCTs8!=u+zR-`u50bEaMv zxCnX}b~Hv=T#rJVTl-{;OEFU)uk-2eq9pL)q=f5nSFf!W&K7iTC+yKhJIHCtE*foPKp`s(N30%y3Wchws}V%>912vas;Tt5g#@=h ztL*kBN{BkSp*mPgLUjdh}G5!{}Vf|Kqsg6nbyGb-l%cw0WvX> zIy{uxHg#c{*>96|3raX&(HF@0kXgrHN!gUk)g|r+&$efpcoB7`9gQ6=W&e=d-(JN& z10r)GN!0Mto>H;;jpv=EAw0>tDQ%KU_R6LO0aKrA1{9L@&QDLcheIPx0?u5VjRr6m zkT;lg9LyZzu zKyC+(6XLl}g9858JS=QLB~nVSG@rsku-Pl1ID>b0yI62zG2in)52F?Qg$Xhb{6VJ^Z9Wud z?|70yyMRi%ws23Xhn|a=`$src5rY&5*?%;CSPY@Da#i)_@LjYR-MS$(GWh>{|cjy3#P~( zf=)+Z`w=_@&NiP2^Lgks=Q^N|&k+nb%>z_0?RiR}mY0`xW6?M&7{9-mmgqz|YGDNX zFIFbi1Gsz7XSqRjE0TY^yh z^<`xLt@2@<7#G@Zuo86oXZQ{iMVsOUmF!QRi1=~v@T{kUm%By6o}SApIX>14;+Ods zIkpTC!q_tHAM5v?>2%+1{=8A#IgX zR!P)xJ07`u8>rU&?X(Q-m6V)q37bV-o8R{DX3D9pa!}j zFH!a=pVVAbK%Y^5^1)F+Zrzc2A1NyYh2Di1OxYz)<6$F(CSlU|-Zaad_y6L;O{#PE zmJX{s?b>IQHrhO32}OmG?IMV$duFw)^o&f{4bkWfDo%KYf)HFLPfJla6G^4#%J|Wec&t8x~0ejpS0D8%9-KO_DMY@RC2C zMljpE`~7`9g9b|QP;*91nzQk*z`ex|XI~?RMUw1 zK)!4XtouCPC=%v7um0lU7Lr7#bA~7!Uj3tR>EPZcJmuu|Oua`<1_~%JS zOH~WwelVn3H>lZ@xV{e&G$%B9QzRv+DFm$QuY7R!wr_YF^^%C30F$XSy-Uyg3c(_ z;@F7xMF~oR-mk9e^k)F_f@W%_E8bN$F<$Lk0LUh86sr+;WqK)G4yP||`S|6sHuil#PLJR)olNi#AO)?y;4C5(VDtNUc9$3Vr(l)8L+ydW(gul|Ps z(`bKfFs2M9r=xBZE4{e?A;rV2(c)LA9iD6qfc4ou)FNTWT+ILCQ5kL`RK0Z1=dfW+ z*sE>W;AO*$jml6TuA0=#9pfGBd2y$T+TkDIlDKyz*Hag3Bd@%w9r%vLP}&S#i`+31 za$jkbbF9nQO)cl9Wl>2lOGk&T^p=wyx)YuZ%@wRq6QKt1@%~B!`&M3?yJH#Fn5PLAW~YTD*u!G? zH)lbHwxCA*mngy6Ha1K9iwW%OE$*<1;$A-wOGrIM&ckkTT{vUW$|2QZ%9OCfo&Y@S z-LQ7SkBgJVpP~KfYztK{FyH2#j~Y5cXjv^C%>|CF$-*MZ9TngD_(# zh)HJ8vC}1{%{5k1!}73CI?Y8gQ+2+Csa z(}VoX%vdt>my?w`i7%{xwh7mx8Z&MzUHyE#?LGH1Qtc8O6G``Ow3Z=+cYvT??%Q!? zl;X1?NbNR73DEdJo0LCj_NhZZ;A+9e(TF$bkvXVThwn+1`o+yLMD_nKtSo>Kh(=}M zIyMD>i;)mKwPhn*_CXX(E#dX?lWX0IM`V&=d}%EIV2%*h^!Q%nurdluVft_Sq_63H z8DO`Z4WGRS5gH?}W;$mK$s=PI5{LaGX2#m?N3pAE4i_U@+I*W+YJddw=M5NgWx$iu zBSnq}4(p4mQOKM9{MZWTtR}z;75suzlcm45dXyvu577L@rdJhspls^5|FsZs`coI%hx%;D_hnNljbDGC}QHh{#C8e#?3D zdh?p}?!AdbkGww|J73_he4MIY=P|$R-3@o-oAUV^g@)IM72|N6z!clt zTzwOqVH_r@h@Q1HBUlF?I#CIbCcI4Gu9BWSe%u78V%k$p}P4uT*7kD|GjgNFbkVZ0Sjvn3HLrX>4PlE1Up6@N= z#qUPzat%$4%@bHE2AH-qi7Aymj!+%*Qhm6YVlngt=GD7$1{1zG@O?ZC$40Y6PhTQ> z^A?XABEym29s2%zK9oqKlBd08>UMo%;>1}^W3pmCwJ7@aPP=X7pwGubupATEWFUD$A0y6`boiU|t{p2C7m9(-66iS;3D$h`M~k2YLvp_z z4Jc}`scs-*i&0j-zowRh?$&M|qkp(ODE2w7Wi?yFwOQZNycv+#F-cF|wVmqyY$| z#Stbe_fw(Vz(H*m1sbD`suTE@B&=XNWOTh?n!KS7m9IE(r(-%undxmeeS{}YKy-8{ zS}RS9ug8U6+B#c{ZbqO1e)n*_*0Lc%D48OT4JzGBzk+E0beo=4lfb=pM2!Cj#UzHZ zXdouV>s^?Pj4;pmEzd}_@6kKIc+QmR91NoR`K|UmGM?@b+-;WOn2IdFbCawR7Ux&K z-1~c9q>)iS+g&?e5TQAx`<|H+HRNE>r*X2+zJ)l>c`TQ>C;qzXvH6gIfrHwG4X`Ro zO*YE@_tAAEgUGEOvC-L+B0^kelj!%(Z+{V4Y`YCP)b2hqKe`{ik9%-IT5#*X0G@1x zCF(R$o95ErceE{o`5JN7ek=cPm039-KgrINe-rxSFQm2PP_QF` z(&0zWQe8T)wgo&cABSS?d5@`~g&7iRuk6l}c2_kYTzM@z@`DCp3x}WT*!7fXQIbwP z{cJYGnrs$U52bxWGKU$GtA6Z9q+vBlTUlC z8+5w6g0cVXyHRBq?6tlP7SPdcESYwW?7w4GSojPC&$QF_zmhr>;Gc)-y#HGbl=q}Vw*pZ+c~|ncll&hWRP9KFt#eWi3>KA+x25uk2WON6GCONYK;Fg zu+VWMB3)Xmow~uvM|>kg{G|T0FeNHqRx5?1N{_#Yu+#r%xL2Q4xqHTvoT4wsPncjO zL==KOWm93R1n--+M^4SS(q!aN*KxKYnGbK@k?(2z&B6x?8=4yC z(1|`JV}yOiXoEOt3;!U%%5FA>bv|u*RJWha&#`%Lu@DhyGqz!&T;d1Yb}#yiF++Y1`O6h@QJ;MAH~E!9;X`toVN1SpbVVtaxvzwPMLM>tFPiTv zR7MLs{t`~1GflYD@iV1xoLkQ>cM%4ZqjnW)P!wo)<)k@twffU@H!Od|eubbB`nCQu zd(4?kFH8QT7GqDWhM+vEW)TuOtfWZB#Pf~6_ssPe+YbhBM__*-!N9h)%<_ihsP>-e zaR5ft*npI_l7lL)Vmi|6p2y28c3)KO|1RS6=zoq@ox(?j5d^tFSMU_!J;un#fPDSx zXy`x3!qggbOd<&(Av`d9fE0Oy8UW-C^1_`y6yJWuryt&5kEZFo0BdhSV=y4y)FeaL zTxZO+Dt>=aH0SAd6q!&H3bxc}&mkbbcDGl2W?-Bs#N zE3lOfu64Bw{wSNC@fuzgIhZ=4r>lFidwW{B5D^hi`7P(0+x%<&pT9htW*h|my^sC>V5ze6>&Az+T_x+-v2R6W$s_b zOBPL20le!s0B8>>L9K0U^EcaA?};Et(9IsZ&KAKN_(H?Wv`GF@f%i~9A8FKEG{nmn zGc?yE&me*tcX(dGpEh$luIISqXwLu#b|UKr~)qR!s_CP{qP1sxNKKC1b6$| zk3w|Lo|JEFJWp&cYuffCyBV7{%qrRc`x*|q=k3&1qz(%{3%(Q*^AtwU$Q&$rDwD~M z8SsKpQe~#TWQdGZcgvb-i*ai-#v2na-v~+;%ff1PJ($-oCBSL3C9&<)UV;A*VZ0)P zF44WcNuMiuLdvt<62Mo)WjNnu<-~%x)DB_MZm|U?VEe#Fyu<-A%a5X+`3=qu-z=GI z&pTQpN@u;9oV^alUE;V%~4 zZZIHYz0}~)vF41o9b@YAStDRiWaE0Bf|#Y~$K0YY#lTt48cZB9%1hX`zVZBsaF`oD z+a3r!4W$usiJLbEC8Ji3A)T001rbPvzWdHUQa~X|sZzJqcYAmBVf2hxeud)Ld)=3L zL<{iQzdX3Qx@x$J3%y4?-lgj0`2QOcgCV}e9SwWM0<2v9X@$fPdipb$%=3f|6q=2T zJQ_xM93U7i;!m*tBG!L==}XPG0dY5v)=mkeZraK2r>rvhv5 zHUK6IjW$_Zcmf=qDcLSbXA-Jt^sb3|JJU55=WqP z-G=nNA&~(yaD_m%x}p7qW1Wg`B`%Y249{^|vr-5xj>W1gDn${Cn_O)-vxI>c*Z=O^ z3#F|k!3-F5v2=sqwq8ElzEEI9<89fp%~H`!@`icA*B5O?;Bnl(IWNB}_-4dQt&G^! za;%{fy{T;Trl44Rs&UC)5cD}elZNftECVI>@kg*5Y^z85d#_Meb6CR%bpa-KYiV+_ zgvf*h1$3Fv*G!k#a&n(7=?g}{lZqr*N;W1H4J0hV_dLxK(KObFMVc{e{m1|g`xO$K zp^(7UP-P{MXbQPnft-h1Gl>)5OcxY2FZ5Uju14DQ|3vDK98wG#-CmHR#(x0*I@;lc z+z}8%IHjV(Uwd(APLdiai-Ve?E91xTCh3?FoZ2Cc9TGxU3PG1is_Y=~ZxryTmN4b- z!zP*%i7}v+1j$O-*R4F>j&w?$t<$@j#f&$wojzgh$=1lo>pO42p8ZMW=kH9amjk$ks z9cOYq{u2ngdf*MZ9XzXaG25Q^>)8CMWj+Dzx9A_HwMKeCr4(AyYM+cvO9HT|%9aHq zMQc78sd3H{x3_++;Hbtwb}i0%xXpctDq{o4@U?ap@c^jp==~lS`OOn2CxTa4hj2slPrk5s1vi21GyNr?7nuMU9VIQA;o=g&PwA}AK!(C zoOakXIqCKN<6P=ogC}plg8RkwvElTI*mWN>5G<3$p%5NezFg?`$c%0ltkuhZj(&%8 zM*hhN2d%femD55&+l`u}6-pl>cn!ra*gf?6MlAQEQ1c&l0dwJ2dQuJ?Uzr>jMnTsv z)>=of5H!+-?u}SLG#y2Gt@wyq2)04_+*|`ZaIbaEgexj}sd>pHNKl{&1|bVe6~ym3 zTyQyBYc;ep(AUQ+_?OC-MicZfB^^QVjOe`0lz5zx5cjkV`nqc{pzQs+yK5Bwt^L#9 zc-5_OiJDk_ZEedtxOSCZ(8ccX`M>RWv826QvxG*0m5YlDQ@_pTpr>_0CMJUkF@y@} z$Ii~q)s^Qg z$Idlmly1;n?z2OY7O|J5-SpsmLVwWPZLGi*q0_Sd^~S+ZTy&@eUHLwJUClO!26G^Q z=UQ!8**wN=Mafg@)uaJMrD9mS^s?3_Er>P|vhiHQk(vTZ)QXN&l8-odt%Zm%6*TBB zvq-HT)yGqbxj*b9L92sKB?92YH5h_sH7HN?mLy3Ln>_~1S~H%cTa>(fGu}sMsR+=~ zeRW~I;=H;n7ucXOXpDs$bzYvNs;cjf8|;OSq*ZY&LhTBOl=VJz2)GGiR-NaCGUi+E z84&@t?(?K7)V-wQPJC?SpM_{Xw)+)Ohb_b4;Une(3>Kf+xf1w7o5r1P3&CBbRJ0l$ z$68SaB(gYwCb|`S0wZO334IDKs7UcG<0vYK87H)&s!CP;T|CVO+n;;iK-jHU`MRI~ zLLfRQEkaH`JzC*&EVdjmY8PZrgBUF|MQvlW3ml0WNv(;~6*D1z#d|-Wdgx%@AQ#q+ z9}Hek)`Mt`u1_q{fj>{6iSb0q^5oiYC&M`Db~ZSmpLxhXqDNRAb$hjS)OpPld|cM= zj1Nbr>+TlYU}k~h26y3+SfD;ECJ&?G0Vqy8oX!Wzk$o(Kcs3}2Bq=2-PP(L-XvnUX z?q8yj)>B5!~drW;b{7T^^>LgHv!TGbsr##l@ zZQ#>g>pfzOy{Ko@mRRkxcegGKZ>!waD5t2*&SjjZXC~Z>rR# zaiv>)+L|s(0EddDXi(q#<}`|Z1ewN9e)TG*_q(P>MMWhtEj8Az!9XrEZthbN+OQ&O_zhwhl5HSNW>*AtJ<&^dt zUPh_zio@3@=Wc`n+`{-Lt8I@n0-OP7Wiwd227s%B)9LP^O@Xfe)7@A>IkO>gYOD%R z!@`cg(_4%aoI>;e12{p)zP)zo+SMDEu7e^b71ye$XrtFLii{={XL%^r#&OJ2U^y0n zltjtu@*0t7rB>E%Ip#QyggFdCbUr3k7|hv*WPIU-nT!r1(@i^IO3+#A z-oCu}a`Ee=T4TV$px3j)K^&PNsn&XgtE+uD395i_u*PvsAOZwLgJ8VZAS8%@9wcCx z1|-n^Q72e5vl3uo0c1@GnvVJ81LP7bY+IYlBmGQ?TxsK5I zDZGp;MM6RpVn7rDbf|IMQ@A&cje>$GN~jQYEJPHN4sDug$%U9vlb8r2@3A1ureN

S`UEODPV&BU{K_RuIcjf73MTeJr~AA>;XX7b6$*cT1jmwS__Q~9>vQi*7u*p zt7KUk1i|#woHD^ME1DC#jvPI6<@)=7@U`cQJlO30@ZbH1zYdLh_4W7PJ$qS)$*#S7 zdVQ%hl7lB&05Hsl`wyJnx9{QiK6s-(QE&Hpt;xCl2ag^(c490S6aZxAyDKYS|Hjwm z=I5?ly*fQVRj`|$pWDBG*X{#H_V1kwLInV*byTT3kztx2ICSVgo;X4P05UZ_b>#Tz zcg}uLO)8nwNu_?`*a1M;weQfitCzanZlzKi<6aU`Og@xuwQO6ki8#oWCImunl}1({ zLP{eW2^1bzi3qqovvy4G37`l9BQmgOvBVy|D|Bwehb~A_Tfed=CIGO?*pW_fJ6$vy zR1s8G7`)+9{KauC<4E?#3;+IR^sci=RNG;s%Bb0B{s2P$WR3+c^EUsXSKT z6&P^}N+U_^16gNk3N5KMglTaaG$6ThL)_t+cGeDL+F8H9<&mzf+)W+T!w8@N6Bq&~ zpB#5U+k28QN{;{mMnV8K#%Qg)D~J>@Gm3nYNPp`&$8hIC_tN_uOt-6tK7nB>9jgQo zrL^}c`+6!2!?Wi;c>m&M0!+e?fIK4-0-$$=4onn8-Z}5{VVWtWNt>jSthYCaf@*CN z2?b<&c2}d>x^d-75QPU19u%baE}YMcJdDlcRFjYZU6y5nuzT-*qp;H-f}%#N6;&$U zITe^9FN9Pjt}y$JjkSaO_RP-C-MW2isxdh;JALiu?WHw~qydElqa;+MfAX_82uXym z-@XzCVH7l(g|ueCAWU)xKYi(S5Eq4E?l?HgeCt?5v5^c$!tn~<} zkQ69D!jI%&M{2v#u!n$QBo!8Dq53Md1)N z3(ibWU%Gr{Vd-|YT3yeIqel;iMghRg^mLLeMR9Q9;+12EpFVK#kj))bA-8Tg95(6= zWw0pHD2!_LS{&&IHX>$Uuh*-U%F4>>;lqap>G1NEYb=s`n4X?KdFp8SYpZMP&57pY zPds}4=FOG0_0NC)xn?cN?I1K&Al$uY@9fOX^mOaW<#)3@2Y|dNlu~8V+C7I)kx{e* z-bV#)+s@=8v|;z1T^|Z7-p;DSy;HcffiC^+Mmjx2KoMW6p42^~i}5KtPA?y8HDzRm zLZf^JRHKk3d5{+v%k)6Nmnq#34(H=&DpkZfr$|*RmGc)qc=+`3YPB^?2OFJEQfn-) zUcGwb>cb}*A1kutXTgNl_Hm zW`L-a3d2wY$~isH9*IU?c_Pd(deKpG26->uGa@p3Yl~W~K0Q4n#X1N9g3pVSM~=+R z%no|JW*ngifD%MRMWDAicOU^6j7AyBLU}G}f4y3(2TBj~L0}9(`0$AX3me(3g;n-G z)*6r)wTE&LR6xe`*Le3MA|d6h8#jI&fEn+xzg6vjFC1bd6Gd;?0x-h>aRb#P0*&WR zN1f9h)U>lcwYqBOE7)0|iDm*swYZwTfA#KCw`kh|5U}TvKgq`Xqg;y_#G`mXbb!N@ zv;KfUNTEkB=n*`A5;?y$fRAG}(*y3WxHVUQ|G#_^gN8_m1f&27kN}kcGU`gDPNVO(pZYrza*_L72?W?3nYhv!roAkE=DDZ$?B7+`ER0Q73{O0C?2*UM#MMXzILwC=GxG1vaTG--PMkP(>Y*2& zd+xx&11d1hR&!=<_V}seaZ(!&U86Dil`p^e(CO28o-3oKXQ!uTr@|;q2YsdJ*s0@B zKJ#RyR_S!xpL_a=7e4o_2`ZOvt}d-_N@%K+&EscI*Qckug%qmJIesLY%E935+ld#PmfrV%wm4YWGEXBy=dCR=L>q)^e!f|Y?VfqsJD&$(CQy(#U{_?l zV%S!`M}D9rn9pX0N{mNt?Ix3_g|fwY*}lAAn5lpqiO(9 ztyWP4fxVx5_R0MR4@OCR{?cUt2u&3L(&6B_=bkutaJREX633;V_}bdq z{{8!>re?N7XwM}cr;*NRoT2q0$Se03@iLMGAl^I2Q11Qr0DjqqVMev3Y$1RV8(G>Q z0*=iE?)~tgeHBNNW+Wt~$F$775xCK7$|&L1dB@&wwZFFhBqUPgnTNyFd8f2a(~L{} zX7sbqKJ~&2PpCjU9zD{DvZ4XTaY?fM22u{Zh>XMp1c>9y6}12|dS($JBCRzlRjLiy zdl)(WZ@;^2FQRyxOS=egiIo66$S9{*%K1dt@{AdMo$!Ez9R3znx%bXF>zv>6;vak0 zBhkpZ1`ybL=UgcWFW;C_$~kMTC8B!0QK?h`WO`5dv>D$#I0m5 zLJZ=yZehz_zBmv!J{#2UFnc*-0ayVjC}c>aVwzx(f`@_w&;rQg&3b3;tR0qVXZ^mG zpeV$^Of9Znt4_M*wA+YUfS`PEuk`x3UeJ9vu#xE#ATeOKpB015APG1@FZb@Q_&WeG zfd@FO`+BTfBE8>MbB%8PG|pdyk=2ASnJ@`sV9axCR9r^6ASxZ=ja$plJ^lFXRHOF! z7Yp}TEo^qP>?c3{O`|b&@bIZiS8qFKqolsHvKc1TN^5F!ef8$j@+)t?Q>{-`o71_M z<@L_F^Oub=gUl0|wRSIv)`pfbj8iA1fI*q04rUOB>dwOIsZ;K+|KcxSdF`dt4(Dd} z1Hi52mD`JJVN@d(2#Wx)pa7a6D9cF1D9zckiYgUU2vQU##(76tTkjb`1zOyQJ46J}V00kD-ik0Q9cxkwv`T91ymbs@3M)ED z5GBtAK@n+YuLDCEh&R2p-jfgQKY994qg9I{GZ^-}?e*!Y=I5S%EQ*5*m(D--*kkp2 zGty*5E?mBf+Vs+V-=PET_4Mw_*)->0zxM89kDq3CFaP2t>l`Y2;>pL)e(=G=k3KTL zch6hr&h6f}Z*h6ivmDreY+`!POTT)1Y9c=I(BWECWl#r?c7FKdHyJ7*E**A(v==A} z(Lp6Pl;xT8MhE02EA8L_NbUu2e!3g+gU-_>r8+KE%D>|88o>Nf7wO3N-ve?|3vJx#~B*d@@b*BrsEoetG%sPhP%MYc-4lQr6~O zwwZIpf?7*RpoxH)y;H=5l;w_4DW%-DsSFVy4JHc0xY6(Dm#^O5zjsf!pE95c!_VfJ zS5i`lq)0_kbmPX&cDok@djI}C=P#bkvdnoeECAreHya56c=mCe+_-UTX<@~)27ry# zb{w0jsn#2BcfRn&XLrvZe&^izx8Hty;m+;DhYvpX$eFE|v(_)%Svq*&sdl&j)?05U zNo+zBYG}18|NUFv1r#Lg_xgtp9XfmVT&+=0D#_V*-+lIpN6LriMLrnzS69}&pHoVg z>`fR(S}X6JQu@Bn1%Nb?6S2sHU%mn-0@6 z4?kRQOo`ZCyZ2aD2j`l55A3G#iRDxR4D)?gwA_FHDsd|F$HXy18UMA} z-YkI-Gna-A z5+bxtggwzV&bc&!4B}gh?RKv}Q>%&$5G??fb7=J2IiV3R&jbQ#NY##Ae)H^Wd-ohT zG}mM%0oFtUTRs{)B-75?flNE=_rx*@0G>L0{Kopm>u;Z1+t{3YalT1a$Us1ZfB;3r zIaex7?t9!eyyP7dA(D0geSo!7+LOYF9ALV zeci9<8+8q_tU0N)7z08mye%9jQKGaer*HwakPCE_b=%+i+aG-6>z_ZgcMhNl0LzPa z*Eah>Sns9YabVfGls5<6+e>f7u?Z`!+-ARiM{cb8sydF{gXEof5wA6l zX4A_2Kn4U-T8prAUa0^?tY@UadQWId6IekYMIzpNR-}NG_e`J!2z5|t&aQRV9Q$3n zr_;1&9sl)Tf3v(n&+ndHTv=V;9G-peauf#L!4P$H{r2LWmG#}b=2telm0EL<+h6|r z&D{IBxyh*7&{5^Ri3OckL7(Ia|vvxwqV?YU>!*AQyl`61H}{5RcFI~XOO2B#EdRQf%~Ul?q&5L zAh0Mx=Zad@PXpv}ICRBwFMayx+pI*eEG_)>(_4!7e*oyFDluJb^o^CI2e!uWJ35zEm;3<9&d zw(;({4~`u>CQ_!>DC=EbWB{O1r8&1Z2EE>3W@c)7qMj9pFI~LxR{z}#=P&Qycd%MD zaU4Bz=8S^iJKy~~BAA+dNw= zg9rD`&%E-=tH+NYnxC6{r9b@1PhZkR{k*W&-np}|w6yf}Q;&L^zWnklcb7M`QmZSg z-OgrfVrmQZP#FMUz#3xZv~|ErWcW2Gm{&=d~=xX+BFkb0swFhjE+SdOHLTDIQF8IhAs62A_(Y` zEzypGKmZUtfXchsu%DBTNCn>_{?C3n?5Uah5t^F^3Y`ZJ z2qSUpj?4JY+JQ_v>-V?{>l;S>@pI2Rkh|*(=WZ-KyYHxusMJZ9JDgJLBPv83b<{iy zD-r}vur{=BURk+yV>!eiK#l19sNqgD@(uf_{cTHd0;4F*vg8|u$dBSDKjrRtZ>U!Q z%e)5!6c$M;5rD9lYQ5U)4ZN^cp@zVgiDr;Z;xdSmJK&tH07nR@2b zrE9m0sW?#VnRG0mhSqD0Q3p{?c^_s@h)4jUY8^lX$qJ~b8V5nA+YhU)shOGO^+9{1 z-KYg8PM^GfeR*+ldC+hF;ODPiTUhw~v(K(=ZodA;d;LC>sd^wG5J2`!#%LWd^C(x- zqW}^CvmfP#cn?V8frt^C%=#x*KIdSxFzV&yT zz2zWIdc(ArZ-#NSbn!+lir#wX;+|dm_wAhr0CuT!xiX$<^xg$w5Jpj+Ia1`kN03i%A4dYtbKyC) zMSk?ezQyHbA(`DZy|i*WR2ATH_X0o6yOw!S0-%|}d7DI(=Xod3>tT@kOh7__C{*ci z`1xl}?4JnsOx6Hu0C4i~p4Z;K`0L;N8UaPvvnUhXo8{Uzn!zH3=)HK4?1eDMbD#Dz z5L8-0?mwHSRT&W*1c4$|I2!~eN#bg)c6VucV`JTW+icXA(+mIzX=&;1*>|p3>zd8V zbI+YzSi14buU?PiWH`*DI9^=5I~Zik%d3OF+qZx3AAkKTKmO@US(a^oxg<`A^wq1^ zZrr%~2Vei{&D*#8{T={l9X-7cz*uoC`gSn~6UGp=qy!`6?{M_>T z>Nmdehnv05ojdu=M5EPs0kw8nQ5##-zX?!hlpt2?4| zuE4Pa`>Fv0I3i6zkxB-Gm2{9!OwItHbE?-Ldh0?HMJCkP*GbDm5!539Z6P-x0Lu1< zw(us1SjxoQQk$(931uq>8Sf{T%J#%)!;HcpiS^pXdSEo76t*xr6n9_GkD0f4Ijszl z20-tekZdzvzwZ`jtxZBR_QzwbwUhv3`%M)~cryl}%%d-P+(K0^`^`5*#}i$v8-U^e5r( zX1!ZR1}Y>1&_YU3GbmDe&)z*c2)q3u5&;xzN^8UB|Vc+GA`(ip&_0(%5X5080FT zK!l|m8fhQ}M1qQmKxsfNh(N>yItmz3NTV{0##E+%{L-tvbO>MoG(ZHP-n)AFrI%k{ z-z*kZIwoqywMhyaIR|2DXzCO5dm7UdUMn`bmlsj3ML``*H42T6C!3S|K-J^g?985H z7_@4WdnjlQGWGJW-s@}*0DyFC!m3j7dOv&ny=xm?`^p>dds1XVAkCx%%X7d8X$C?a zPE5>3NiC^1tJQ{}_GK?#^k?2tdF0Rtp1E4DR%^Aq$OIT@B+B}@^K{&kru#W7R-{Cf z(%OVU5CtX*qKM{Zn*A(CrS7+X*cxOS|0e{Zfr&s7P!yWYPWQ&z8Ui-LV6N7D^VY%} zufNl3)&s2xd{*?F-(-;PX0N@zjzr$GpaP{WOBs~pyt9RcdmiejHRWkxb55dA7;Nsd zG-vNMfia&kmI=q`7Ks2b&$5FD4}SRz&ph2Ln#+pR{Uz1bNI20<8X2MP6hjYt`-o_hMJ z=b!!Do;`amU%q_q{G~VFdOaQVHNu|x*$eM~@SX2|&mc9bO#o3Y4=ny4ieW33-~kr91ZD2iLCNrc9`y0sn&wnA@5HYW&xP&iwyRrc)JLrNKKMtMyD z+d_fvT^&3!NPv;M1C35(M524MLP(@YQI@5{!B88GfX0~3&Gu`ry$%2h3;@&-ssXvQ z56pysmR(^PBuOGgVr?H)(b>Gw@84Npzi?;iE$4b9Y>)@**H-U-puqy90OIr1dsZeE zB&0y;0I<}cm0@Xg9~oQzASh6#MX|MoHs<8XJ&Jr%sZ=USQRL3qu^3&pv!){{AQ2HU zi}zk+Ys7}Pqb3m~BIjI|XId#D63=4-@7`oCvoJGz&))kF%?*~fW5kiPPehC{h`6${ zObAh!{QPGxIakgQ5deB`MY!C;ivk2NG+`KMrKLA$-@I|@&ELH8!+-wozkd1q*Dl}i ze)H&&iSBxLd2wxZzS1}sR^juI$PvKXpEte&p4OFqf%rv z5}BdXKY00a9{@o+Qi>4#M|`5~OKBy5fFuAZ*f-C<_wLzSfB5zP6bBV9+pak3B#r9; z`OJdnowdUkY4DPO00s(yhd^O7N|In^d6@tFwKtVpefY>@qqGaekx=Hr<;zWs z(RT`5>G;L9-uW9VT%f@^XDZOzqICcOTCo8Tq|$r*fys_ivt9Pr1V=IVj3bvNka8M- zKK0V+Z3FdO;qA;`9YeCOFX4LT}3FdD|tdRr8f+0gr< zm**y^dcj6(GD}k=sx11dlzBFF%a(R&d9qEdDtcqxo=UadK(?9?E3-xNOl)iN1=8t~zDpI4La^{?l>PQ4i zWrekU8&#_hpE+~&gEyoxF;!zkTuxX-QCW&38Z>5gZ7VSP zP-Hn1Ma(#A-9Kj_nBG_CD`+WwagpuydxZ~atrmPaDkgozew_eVVGp*Tj8rE`1SpF6 z>Ww?cPad;2y0+Y(nOX9KB1YbYQg^?rtdI?0P(Xr+6j8!3>n$Bk&mKGo0PF=YG@_{0 zsQY2|x8M8ev&Ze9eDehbB-8%zqw{b4;`O;h3ko1gf`(O?#TpV7@BR3V5~6i(Fz6R~ zR!h>519MPERKm|86aBS(FBAZwv(wFn!z4{Z2-ebtOII&kxHvmM6(=@!w7h)#KYaJ% z!hxwPm)1fN8Ams7UHSQ&lokCy{LXh47p6b@c=`3$-def0nnVplX`=-neDu*<@4UUe zwfW?eXD2_kt*wqP{L!OF&z?K|^Vi?JcI|4b-TdqC{cZ5RIB@VU{=;7tKHu5y^!xo* zvvK>z&CRv-{!ZtA_-B8H&hB)#|F{46-Mg!|YxU?qe)s=aU)lJ-{LlYWy)pHF|6l)~ zgMQZQ_gn4h$&Uu9x_6+Gx{Yxfo%j%S7n@SuyVZ~!4f4U|t2d3Ko$jF18AK5gRt9$? z`OTP?sinPl^#~;+q5u#^#sCjmO+>Nnc6&GSoKymPYl%$R1c)fy$5_=dg>`gLlpgnr z6Vb_t1laHOr)H)X=jK*dR|Rx#ZcaJ>;KL6(+pB-@^{@5|TLg~kL*l$$UxFOs#GHTs zlLHG&2Nw?k^j%~P<;PDP1{f-=d0#kND{Uaj1kU;Z1CRjJ==TS`!Ek1J4k^j$dtZ3rxvA;Yy4Xc+)lf!1WrMudj{C)qv3%m-iOc-)y_>g=9a_40?fvIo z{Nj5g=9b;XKzPD9D)EkGV42AADn;x{FSTLwy)jTSvb?6 zQo0EqfI!WN!tC}Drv0_wGVQP5#zF)_kiZZbMC&Xx(q_%p`rYim{P3NvdxNK+c%s>E zMN#~qhf=xg3V^jicJb!&>Q2`0`;GOj?OX#z?X=5Bc#SDnOqAG7>|f{531EG z$!pa;Rh+u9&6A88B%DaXEtRXH50+YGKZgdYI(4@yEhnvUoNjG*BA2+xW_e!rBwCv1 zq5(9S7b2H7r-=+IdkM^nWO3BSnW{Oe7!Os?H>Mr9ccMf~;y36ho24CNYR!GL48iHE67OAM$_(U5qLK1p;F=;3Gn#AiT$A zN&&ziF|$EKXjuS}@`1Fcme#k{zWcp5YAIRgHnsBe+zcG`Cb-SwTn z{QEb~obk2B%rD>mD6q^PIG8aE0uY)AEAC5a!&ZLQh~z`2k_8WjoV70a9MOQH0a74% zoMD4L;J8&)MFeHD35KjMf`**Pa$x5iDwe*$4@ak}*Q3cGGDKwYCC{=La>0D(>M9Ek z2f^8x1%TY>#rL4DiUObrmK0TeP)(D#=ov(p5)9__j!5!?d$ECDYaWJ zbp$m4UGHop?J1&~1Vqb`C00Tr7E#40iiVhtUl#$C)fa^bi>f7~5=2DHJt@CV%ftf4 zz{d6t3m6v<2KlF-T>9X{^VUXbGPSckY%Br*ZLW3KRySs+mySMh>dMti+uNJ3z4lhS zdEjS1d((UF_XjI0%d>N{OH0!L5ZGo}KQhi4mkskh4>IS-noF0iUc7we?%m~?*{LM0 z&9vhrO|#zM_MN*)k|ecyqt#~S3zx2LZf+bqdi37f>cOSO&CRX%-u>{v!tB!G(W{ql zX2X1^Gu-YB2t`;bNzeErHD#pt*mZy z$i^*Qu}e9>5K)q*Q&UsDe!tt@>Gy}iXehpV_0Hpp#>eGMtoj)o2uC{3xMF0$B0bJ_4Jlqj;JT`;{vjk(x zQHYK&;oeIvP>qTJ!3GwQV2p7#Eka?*l7SEecP(2Hi8KT>NQM9)ND%RWV8}A6$V2ox zh?wUE08G!!a8c~+bewa0r;%f02>@jkA$S0hQ59u_W+Z&mEE}e28plzV4TpK&nx6jj z!lj$nuco!w`{LDCzxdn>F9Xt`D0*R#l6&v{ch8+YUT;S$x9@J;TiwRNi9-jD9I63q ziN8nAzWUV{-hcP}RQq^j<^Vt=5<&z6O3Y9ofr3fYk$SenqHr#XjUfjD#HxsdiV|`~ zaA}+*(ba2rSMJ{Y`U_9KeD*ll3EHSsIyz9%(z>0jvDN^r_qsoN?U%QgSL1Z9RAi+HaZm*Ke(BQh+F4?{|l0IADt*PXTRofO+Qq zPnNIWxL2#!7ZzrZ9zWEoHNapLMUjoOyuaD)taXd^zTe8B+s_*|gly~7f<1cf*+G)v zaP7*48&}@Fv(P>nIT_>|U}9B+)w6!ou}u=nb+0W{*)atLP^HRm2T*Zr=UTDScLPtx zMtc=|9>xS1+&%3ouOkMPBKLr343F+T6t1(Gi4V2d`aB48WFiM96gie&OoXaI84QpiQY2MUB_QKH zJ4=?(8j|1v3o%eK^sJ%{7`vzwGKt9;@}3o$j6vk8ohbn*XG+Th3zj`9QXHi$EF>yf zp%mWUy^=sJogt0pL*hx-wDL zIueKFP*dk@bB&P!YqLwkP`r0-z*?k@xt7gC=n%v)wNOBjD}WL~fJ+dDwWKvd6ep-w zSP2CnAeI@FB#)$&RjN7!0x(#ya72}>4ap#Yh&CcCsuI*1qZ~>Xlt=u;NuzK(v4v0| z1M3M10wvJQHd$310m>jTiAYj%qX1ySJp}{<8;yL;h^Wcg@_;31z3>n~tw6n9k0sjD zVztwc8&gl7INNr&cLqfSt)vE8vye7>J+SH`EJy-Ezp6X~y4&X5A&PH#X_LM8^(W}1?*XF4ZC@nL#V3wGy|uOd zr+@OTgA3FDkN@>b?B)(1K0FuFmixs01A9zM<`6aus5F)9CP$_c+ z3{;7A3J^vZ2m=H`ibAjenFVEVp35rVqBUrBkC;bCwSAd$YRkC{jx?y1+h6H0Q9WjN zeId)12?1qdd;R)_pUuo)Yt5aq$vl}@HBVftmO_#j zb*q_jnR|fbDkQ9QWvKE5Chq3y0t7@-ASAWq0HhrK1ZGuIWkkQrpCc3%G(?rkHz;_m z?&IogD&>Vbaw-y0LQ}7&8|#~f&{-$SRURaFz9x#cjeZ#4?n)t>$@mEBkE8% zN%PmQTmZ<{Z?FEdKlsi<;wIW8-ILt7zxLOD%e24#5tkw&EZ@ERi}ybk+sd*?fShv? zX`rc%JlkPj8?L{1`F0dJYs+lL*pO~+tdonuMy=`DZ@>8D$*J1iVS%8FX$%0+KJvB2 zBOlD){@KrO_P2~}9)Tz=ih+T_UX{qBt#fwqCfrS|yMOZf@bBC;r-HFj*Zo)&f*EmM z_L8A-H&zE=kBc8nHg7NeD5@e58BvIwi6XnXv#mbZD6tXk^m<^(5O~fduq8__ z=0G8kqE#>mhSabqIjkEaN(juVK%`nS20_Xp|HKYLR6s#6AWZ;U=0Acu08t>V9;e|k z@a))S3q~|PL;~Q6-Ki7QsL77zv+v3Kx(5JP)y@cH2*3c8kRt46Za#1~VJYsf+ENW1 z8m&fe=k`XCWu3wDy_;Wt<_m9q^w9@z+&D0IVz`z+_w1Q=48HIL(JRkB+u8VF?amev zM3F1U>IguHgMP2G(}_$3V>4iYyeN>s8dKz9Y}1CQ`0G|ODgXw~oI3vYFW>HVx09sS zAA~qgtud+KZmxa){KfzJ!@GCy-C0;%6WzyQHvrAp(x*nsHzAOA);3m5ddIB4#*)y*0?AV zX79bR7RfOO5sk-U(d1nJJe7c(ZVV>vPn}Y-M_5N^x zQGVgl&3ns-qkBz{J+Xz2tRhGut@OGd_~zV__?*#H){7%_Xm4;CJV30)33?=qF6sSh znbI537$Ypqqd^IjMC!*+C`&mR5g`kX;;d9v3Ck=dVh+B{0v=ypoJf2_Af(chP(@0@ zv9gG$_nwf1IjPs@=jQTZe`aR-;DPxlNr9P&nrJ|IZFS@3^{ebdlGKo5Av3@OA75~r zgKGQSWZ^m(ggh2xsR1s7wTn7n>!!%7gosK9Fpffg(T%P55 zo>6Q@E_@`Sk+Z?mt?T#vu(;e-=giTghi2Q2wlxeU7`L<2y|=!8^-OTwF)&}cM? zLy-?NiK2QNjSjMbpeq7s02LL<5UE~U2tM1qbNA(^PM@5r4SaDhjQ}7>P@v82?(|gi zxu*`BTJ*yo-vCH`kr`89Rp%k-gF&=oj>5S9lLvA$@bP6Pj=P*m62(L6(9%-^_XgKb z_CflY<*Ytv0{qZ%IUoR7YdM508&*s`;D>*`-gi@%mc(ETlA$8+r>*qKCr<5znm17) z1t7+1BZY3Szr49wpPfE=tX&K{*Kcg5>5QRB1P~dK0Wu*AXj1E@>Sn!*oxHGQ27}&# znfg+_vAmXv0iSgu9Lyc4A8JewImx%O&8;1X>WUzxR6!LXD2Sp*r84%aq%`hcRA2@O zXo<+83tTWWsTB}IXsrROMPLy@A|N6}W32e1P=4--(-$sXzjJ3Nveu<@(+BFytDDV6 z5@bl$02oEy+39a=_Kd3`M5+cAL=zDrjZqDNC^-dDFd#7^0GO)uD0weLB3jwMfl*a5 zq>8Gg%&Gij`LX@{ZhkFRl+v;QB2~tb`PMFeqzb?0BvdPWwTcNHH)sYx9_vvtuKcv* zwYu2#w|3?a)TUkZ9_Jt48b19rEVX85k~Qo7^-G_8_4${KX`3_w0HeHq?@ldl5(p?Z znynz}gYWiwb8}BlwWo&tVHq1!s^#)LLjYq<7!0ddtg1Z1U+dQ{!@(BjXTI~D-~ai~ z-%y1(O00EcU}k2j(Ts<~tkGy>*+4{AS5^jt;i;1+k~ArN5kdi=X_8cTk#i!<%u~}- zSvFLaFMs7*M~)tze7P51cyeQ7wE*Cm5dqK^ zMJ6mF5;zP7JrOa+4hH=wioW=zFOeY)0j-5m-jaaA*fk6%XXT0|W$(U(x<_N3l}lpP zp-h(exaYCD`nwmDhe00JW>S=5fUN#0KV{`N|j9#5=>6{JatC!ASX}4QccUb}bp_s^St?>Dq9W+SG zE39{oMQ6|wfX{Lz8@0(f7FA+JQXvr|U=6ThK?Y)%1n)sqQ4!S{OELNqYgAMvB4QRp zQIwjktr;Zyy?FWGgN_>A%Y z=efSBiq1GBn`K#&rbMI~R8^Hf%a+ZQ@&QC;2?`-E1}?6D>xGjKd1pL*`IgKaT$qj1 z4#3afzVX((w;GKm8K;^lszM+P-HnZN=jQ&!*Ppw(lYQ@J@2_lkn~ms?zw-3yxyD=9 zHvZNN-oUXYYQ^CFZT8md}{2$XxV22?W! z4&tqinvEGiDvCf>h!FMh$2WBo zEt3L~h~~kcIC)&bT)MX1?cm$*U;6zQ&TPHN|Mlk|*J}9>-~G`yUVNT9b`XY;#IrNg z+v{B-C1bKYj}v39RRCj*F-AndR5~R@48coTA>Y@q;jhWd6A=Luz;lKw$0Ot&%Awn{ws=JDV}Usg2SX0QS?g>>VpKT*bvlE4%PWhA zPFQ1A8?kHioB@T>O|D<_YEN^taUcueDm#RpL-S+&wu*q>Ub+43lc#29W_rDykawL;k1Z@Lw&$j6Ngu+t9|D50QR%`^ zA?o+VV(8=;Z8W-u2SaIbq(9aX1~n1hiFn_+G}bPSV$`eYppJ~r?;j*0U`dq&E=Ptq z_CQm3(CZA5Di(j)GvEWATQzAH00^Fwv{A3uvSJ57RarHNmgZR_;nsacy5b~tFp3?A zX!-8Fzj*D#KYjUlvlH{0!2yWY4hXpKnyB^ zBKRzle%rJF;AA^Fd3bh^5B}vJzI?Kg0Kn3r>A>5kPd@eJ(mVjXvwVw8{KCS4fBny1 z{SQC9xwgI?#e{~6e2`d(00~f;4dp6jERzB=Dn?O*HIQOI>xA42lLh0FgoqFv8WB|~ zc$gJHrq-y5fH5@e4~E0x;idVkyS=t@6Hy~)i(+tZrK^ILj3vhkfMkvHxqvzX0WAPD zsX^Vs=5PlCOEz4XpDT=6@2uD2WU6L*{od^S)am1k3J_bnw$;CU<=&A)3kPOgH`8wB z7Z=lhzmv1Odacv#WX)DHKtBXFP=7>|Tz=$;;}Um7>A^98IiC1W(1Ly~Gh9V9ptRSr zaZ)zOJn<5aYs-giZ<#v!~9Y0u>JOKdDg-M-h*Dh@=-~PKF&u>SC$(GKZ zZcI(DtZbnn0QE%?#h?I@bH-5Ni}E*PVPi-E1GD#qs+75(I$ENvql$bFo#r#oGecuS zSY#<`)gOZ28n87tVl7tRm&C2d{MaaVkHPYe96tD)pGgr}=Sqj^vd(qZ08s_;5RNdS z5!|i#KP|5Rs}s){fo8Zrn#gspa)a}NL_P)I0!&yn1vOIO!7wt#hQ zWi?KtI8K9L@aom5afLTSlS2wBocqDt?Cf)gPpsVQt*x#B#Ar+?0@}*b1`)`BB?w`t zh>Qk}$pFvhq% znhyzZpJ)YOtt!LnYlCsDl18{Xe@HE$6^2<#q>i|M(-s}o67vlH{N~W%)x_m&7WSn zclKobY(36X7l1O=UtG9(tX_Za$YEiq)onKmUUC9KKvYXe7KK6;;z<%-efgP_jRYV7 zn9kN_t638?0iY-O4}SFhBS%iZuyA0mVgK28PQLTrrJ1=|7e`mG-tKH{L6K=*Si?op z$5GsAWKH7Y;5*HFYku)S9)__?2Q;1YU*z%Hho?!C?RN9lPTbtK)nsj(+icsmZQC|B zo13wj8#mi}=Df~%{)GAAGv6EU`?_G&G>+kPa_f+{g9L@yI40Pwr^atXmYquw^-Wg7 zGOBEBY$h7fy>`Z?vbvp*N25HltntOobl9*(kqo7HqF^8aaN8WHIp16!PPXg%Ib6(4 z4oZBkUmHpYwBN22VNJZR77%q@ug1gF?JW!pwysMsCf%mL*PHzK-uZGOv~3C8g0Z$X zK`f4k+XrpgxINCvwx0<5lE%qNlI^iYCurE6D85W1UE4f=uQ3M75vP*?L|KO9vMnJA+-b>h4^Cq#z>5xH*D7f!! z9^KzB3Ta(FfmzseA0rCBB;x`&B+$uy{U@z%ze0noXMU}Zr&)}6PId4KaR6UKI#ZUB zN+HY^KtT(;03yK2Kt`{sCM*^XVXQsHB$2%M>&NNSVv5tpB-&JV}y)c<20{W*09-=^6kfYp%{cXZ&< zK2=yE|LD38b3WY)0sC&^PAL|wrY%Sms0;^#l*$HKTV8hGYo*$Bz1n)OyEQ9Wv})WB zT*fS=WWgj-wnY${xfD(QLjtROtL}<7LnR(_^Oe`4LZeQ`qKVzo2!XLQQAT%}ISfci zgojzCl)INkTMkB$_rnWBHRa$$16YEvLlAVy(^vh%NGGGsuKz zQ4Jf!0w}{rQG$?9K24?1&V~IUxwNbWR8Ek&J&(GFPUY}?6%Y^z5G);8KKzla(|OFS z{hN*1lrLN{3ebS0@-cd{P&|>%Ks0o=K)kD=GvEMzLC z$Skpm4WgQwnm5~**ZDJKksJhdLCWIsmbKK+_~oZf=+w|l3jrzSrH=Iu3+{TP88V~(s?lI1C<;X^+%2HMClL`yuxsnO;Zny=v zC__uFIF9dCYTiq7x^Ks42H7Q>J)L(Z?g`Zk1iqG@8 zqp2t(^OqtJ;aSB319@C+MhN>bg^C`B*W<6rYUUqhesOgln*Av_F;f*)l}?mY1syI1 zkO{oEFM^G}|4!wO9^>)KCNPrl<3F3n!}SAO;H=Sboi964wTB=M%HRL?O-zzk>L{-d zC!|!6!}F6{ISDgWut7*(9iuNivaB?PWn;r60<-PFg*NIk=k0eMJGa?_*x^u1^&3%b zv*k$6ei186gCQ(up!*FKV_dsmD67eRw1Ha2Kp12NPjZo zbBAM5dF?2unl7RzJ5!p;5z&E z{wK|3lA+_>tmdE5=F?C_6ZO+!48NAfX0(jR5Fs!b+kr~?6P@GD^T}TmI>5l*BDpUJ zUvQgSl%ZO)>v7&;m?~VL_3ig>;bCGsFV7;g?(kKeXU4g=r_+hTK^(}Z$4>vv*MI6D zZ4ouxL?W~V7*LTJ38hN%s5#Xs6-Mv;v!F4)i7crH>sb5PYvmWM${WL~3=Va7E2HNH znUy8F;`H8B88E8p`uWx31y;4oLr=F?WdBBuocTYI#X#i{*miB>f}T>Toj@9+x_H5; z_(D_ad=+Yi4}-0gnDO-xBpE*;niEVIj-kN519;{?8KH>;@RWoaVBzwa!g5!#4igI* zZ1Ey(ou(T%Dj+gHc{vP@r)~8{dio>`)24P_qg^G(?R5;Z*TrA)>){yBS7~N}=RQ5mC1F^nHAn$@FLG`m;%-VZxf zaNc%vJdgiqq5xEqYosHq3Z^i~k5Lg)m^>{V=lmq8sHtU*rh_SguyC>LGQT{QA7eI? z{@&uyPRh(16C9Wq2KAOwZ=#HDdkTTZNX7s+1GALfu@k>E;cT}@zB4A1zb)J?{G9sm zyw|jmMbeC>t|V)&3Q+d#CC{_3h}-R;$zN=)ei_txdDt4q*?j6NTL}vQPm{OYTsCE- z)V!?4xDBBM`z`1;Ky6xPPdsYKlhp5qJVL+jxXyVxQZmyLG2mF|qZFp<#6 zn_X_m)DYE2c~XHTW~39e7_bXFMHC>^z6}Nj6vrScN+DS|#s_8j4IpshVWBP}R4w1AHzS*L(wF6`> z!9LH_vQ2C51{IZE!9*od=($i@AQ7^lf~b6>VUz>F5FQe@49)LynIgrej$ns!)7Wu* zT0L|cRiuP`VlgbxcL*fpaM#rgR=>qfxLd!cdEB;UV`asjy`+N^_x+F`ikbJNcpw^5 zg!}uf&b;M(K?T6bXW9r{FHaEx=;~n+eV~Wl*?_wR2kor${6|G_xb(K=)kGunVd5g2 z3@d?#YSp3s19&l-xGh(lL4E&}L>M=9q6$VCp`??c27GcFakjRr-TT#+T(W z*?j=Qwy=(;`E?O(2?J{n{Zux$TdkXYaU75#N3+Apghooif@b|agoF}i zZ0+`6oOnM3y7GE|!pKTH;*Nr^aIcroHUq_~o$YqEZ@N_0N?&=_xyJwZXswv^EOt;9 zZM^)(7NIk^QSkv;0>u_Z$a^%*SHmolIX>6Gs>`RwS?i(2$9Y0WGusr=S{==+r zxU4cf`Fa58uPJh=T$Xh>a0Jh&W1Dq`Eb-VHnya$~OB|s8LyU8^b8H9a;E$f9Q5DB;oxs zMkl*^5ykg9+vno#^t|JV0ifM!|N45raS5vvK@1{}B)|*?iZfY<7E;D5(uaGwToj!9 z*qZ?-^of+fTJ4&Z)43!n(_OB114v*S!dMTfIo6?26};7J}r9{q_Kg)&fFXLs`CO7=on~X*aqMzWh0yt% zqEbs{z7*?`zl6NTZc4@70%RNN`9;DF#&!c-;Q{rPrqjsz({xVHSOVzut6xbyLS%n^ zqG5{a0aZ3WmpbNu??E>6{oYiOcQg1a`e7z}Ng{W&vJ!8gFt-#~2|-T4SVs;oVfDjQu$nQ@O{LkVUb@hV*OVbkeOA_*;U*Rqe+z8;*&{(!r*<2(XA$>V zuM|7LE)Ev*nc^cJT+>2%c{xQSL=FxqGabO$PvI6TSP1A5f|=z8nMdQx!w*u~6B;Xi z@jTw6Mag+T_j&DHS^GOj8~rP6b9L#f-OY$l!{uEOJ@{h0cQAD+w`~alB1jJuo6oNU zQTnyktThosl+sYu$gysP*8%nD2D7-o>-Y8jX1QYy`z=yzTV9(?w6m+5dsDPajEINn z%I$oh!}$L+*#$W#Lx8QD@sr6xjaP>6MQDFeJt5OelqWMCD&tgrJ^oSytlzkQ3cU9| zr&^I|t7n=m_=ZOPR+9{Ah$`jS1Z(rW1>~$VaVNwta5(g?vU^}0dp z7Y|eNQm1$FEPq!W1*n6Rou^xl2Z@Njt|+d$;r-I za0z0_h*9}GPEebFY^7tzQ~vsN$*re?nDqdANnFrSP});gM)N-LTo)!QE~J-7mtx1ip{s^hBLL^Rs>bt` z#747Y#0*uJHYJw?>q0Pf2+j?yRWE&1guC<<@KU0T_&kI*t6tS}0_fEmM@Whw6;eG8!%e$?VN z%9Ivsd)7h@RmIDriKL#lk__BgX@mmz>A+G(KbXwrM(7-%rYa)xxVqd>AXqmNKA;kY zd@O{gETR%c!Iy=Cf_Pr;hd)e@I!Q+;fQXVDf=b~cmKCvNA^!k-eNA%QyuhhaX%~EA z12lSyYW(^hbySX2=m#bh628dK&y^@<|L{&~W6dlTTySUh6Ii5dClHsZiwpGC{cQr9 zC%^QI?s%teqP|!>9~qUdAcP-fb+bQZ<9V+X838LU@(ZaDNKkqcmV^7#Y@8r)K38H0 zQxC!(Dh9w)E%RI;gcFWen;akqaDO)F#KM)7tg}=T`|P1yEJ|bS9(ZAk(SP@ayab%M z5F&~XPO#g-M1$;A*HwIT_eB$A*S9$i)go2YRv5DoCS;FxvT#f<@r~RlZ46n*9xU!?1OpzQIX}KcFK@?G@E6cnY z8qGCYG436mT??CpPh$v4l}UnEcPp_S1S$kjsJUJMpHa@F;kq4*|>_SAz~yLIAYLI3kYL2TZ(+ zx0U}k2g7RA`!9W=Ia)RW2jXri4<(x z1v=~fX7|Yqu@Frk3d!8PCyP<;+0E`(bcu*UVhYZuB<3j1HlnG%gkmA)2g`bMsGgK|@Lt&h8sLcZSQa z?S*d;H~^W>=27LwH?KRC_Zuj*`JnsJwWI(uVk;G{kGyN-%9F+QaW7%>ar=-pIX}Tj zBSWJ}-O8-IQ^{rOgWKQkE|0z2n6qCbr;+&ityl5JE5`(#8KpCp3#B0{P8x{|rul{f{S{PxIv!6l5{NFS3yv}HliNx8+5u*zH}530_WK zfdL_nKx`&HJK};c^iYp+GnL}bxACg0vmD1EA4&O0SRw7>+F+72NfNi`A*>&`W3l`4 zrzwdVA(p;H)E8ub82X*i_$Ot4GeLPUkOBxMn!n0ZL}QLMWlv?%Y(oYl7SJfk%OT+F z!n3s*HO@Zg`b#09(eo==h2$KwL2mOflZ*?#@Ips>$)hjeD$h-_YJGpL*^Q6VS9L`d z$?mFaMhIsx9iue{=pfTd#xz%T=dSi`O``6Z89yzv>DqcGYEh~{h%Yc-L5L$1lY2LS zqEHTjlAey<_V!{XezJCXxwWz0%~aiI4)po#8&xsCN{ypbSudC1MLbvcf2f0OX#nb*|EHKL3sBei;aZ z+8Y|c`O6#2;hI>qXueKc%6ft0elWHyDc|2;=5qL)?!pF3yILAs*0sa}{9sYyzsMU> z1XkTf5#;dQitBs}00ao0H|e}!!|`gYhO+wTnp=DChn46&yfS~2Pu*PfUFP0IVX&#c7F7C}81h zfKG=~*XF9eacwY&oemfpxW%)}Tdqxk83v6E;3Fyb5-Yk!7qqM%S~><#ww2#f{}I~o zJQa2YXYl>{LuQzx-O<&mhKl$CeV|=cC0UbIp%{*NqJ3i)UFZD zbhs&U>dITO*Zr&8F)ka9Xfd$6ht*DJ^9GOC(^A60kF57y1(Vp#mexslZc{fK-2N18 zud}9LyOYw z-T=C7T$7Sw?48K`dQ6C!Bzepzulvh_(98S_RUtCsthkFzTSR!`j7obl59L*^L7)o7 zV$6%31ZWhD8eG}5@Ku?DT1DqCgX*iv01ln7P8~8V^RRJfF8k=NDC23E;Wsrp48$2^ za~x>y+qJ+0SwuWA3_17NNv_Y=6LY7iZ$Dja!XH3gg^;Q`C zO@%?PZ@Gm_^wC%OazwFmn7*iRGh}Z!r)dIjD-|ZPFg-)Lsa1mjU9`@t?Fg>q^N$)| zK>%o~gEfJfVApwyPeb_2u`qF!>2)sjb9sQM|Y z8nyrcjXk9~YAu|e^Q4(*E0Yd9F5W$N{O=`*sZEH%rx>dNt+DzL_3a;#rgkUr72z?4 zw=oK0xPy?aYY>O|0tvv@#_6?_OThfQ%Y!Atl%xTf3f@*i1e2MfmzVu!uS zt$CqzNHj7Krf?#?_U9=jK1A@de$0R`x_{urUIYNZf5UO`u+l zgQ4A%hK?IC%rD-4E^QyK=o5l$0fIs2=~egl&X^6igYf%7Hs+3}QAKH&v$eM7Rz=x^ z!X8X&=Z1=k&hDpPS?}{hTJ&+!jYGqlZWoV#@@NFAp0=+FN>fahR*RbUr`zFSjV!_j z3Dq3luiAf*)F9}2$<)yjusHc3lJNAoKbA{$9A{HLnb>Kusys7&rt7tu@6oGU9A^Gr(xaz`Z}R zvL%%#7XLzU1n-Eup#BgWN$Dar-$p_FSb*t^oyHxFtOu#X$1R$v6qOIujVzn|Wc;Re znqr%{1Mde9Bj4z#K4&L)Ho|}6z$qObGiUUd&}<;Y^Pxx}10*oJP_^PlW3Je`4!}$f zV1&b}^0X7n1ySUE?i_*!jY`9n;uI(;cb2NP3c~F6)g&hkIPn1z0Fl8409L*rbIB6|w1W2TZ;B zy3hUn0=QTladiv+tU!f9L`D(i#6m1X3=9m8?d$n;LLk#|rraVb z8`ovgJP4L_2LAeBjUg(8h(y$S4PWIP853(v^D{d)$NI*S=5qyE=jE&-Ef^UaOolO%M0(|e!n{URr!_b4Ztn_k3V^*P|&?%bpuU8GHj(K=~=-iuiyHpt= z{=(ULio!wlVW#H7S?6wEoG=2>H0fXv~5`Nq#TQw+_qyt>&}7j%U#X zk;j*HH?)25djvm)luhe$P}0DZ+0;o#(8hb17vuqe6kLPYVKn(e>Q8x9|X z0-_$&+kds;M`o#D)524@{!RoEu};RN|CQ4WMAi(>-?7f5Oh#yK8Iy9=ZEL*`_5PkY z27B$DT5I+pY0%&k?8zl7knVQ0HI>wA>Ch5L8kYIEvZ(#d+p;Wai8R+3(>I2c_vRXd z>Ey;8vDm^6&6D4h(*Q`0nZ)3PuL-M*(*yHk@E17>AuPZ&QQ;dGUB1QZ-*qPqi|l_j zI(+rEx|dsV_nXfxBdigQce{DG0Kmr6Rzlj~#+4S+@j-@W=iT!4Xzm!)Tr;I)&@T{a%Qw2>R((1Su ze@OZPyRxiqBQpiF6?|T8W5S=Yq1}n7HVjJ`+rBu}9w3bp^_Z&x5l6oJ_r$3RQb&Dm z`a=|@Bri#JXjqaT^YQdJ;JJQ9x?a3QDgS%>{HloDKkGias0W98G#E!NJH#1GEFwzm zJWM4uTwQ_E5teVXaT%2{71)D-&yN-v0Vjh3ai0-~Z-I)_F= zjJQmHRMdOw!}iiC{oK3mFv8M95R=FIfVatgX_tJ{TEAejZdLrz^n7@8h{9DF>7>bX zi|U8EO-grOZR!Z2K`3Y@lnxAMY(R_xj087o z%C*Laagf_)P&r)w}SKaBkdO4r;;kZ z^N>=DKr9%Eulr|0d)UJ#6P0sc)kP;wzhp)uiAL|UVlsmdY+j5-%8#Ip4>dPvu;C6`^-L64kds{ zKN=gox|d}n;-rQJA?(+-b|F8zn!d1tnxd@ zx#BUZvXc4N6%q!d(uD`nGaiX_aw|CY7$khUtrLHv5_6&&oU4)Rr&#)CnKyRK+2wh9 zSJpr~HU1+fEepXHZI7(;Zm434&#CasJk%Z7#Ibr}lBJ0-JoRx!wR2vJXF-$Ow=mwu z`PUg1(t#H{ovv{*CqNxwZBqM@_IF;ynwjh?*N2p|z_YEj?d?3D_W~D{Un((V0uK{7I+I-P0U%Gi`@7+vs}p5?`^{Wu z?D2tYVgU=W4hzJXXRx{ce*uB%#gd#Oom_rI>@D13na60?a)I28^KhVCYPZ@}(Wk+w zjmd(R5xwH|jT5U*@5d|80yR*{m-Z+ z_M{BQVe|dCo1-gs1aN~CzjPat<-MYA%G1)(>U?+ef>UC`q}i5^=f=1_H;ggCzDlwA zbTNwPAj`>YgX&P>wvk~&6IEttVC2EC{m-6IA$Mxa^QAl--P2cuRS)kq_xB1_)Wh&Q zF&;!zBp?(!zBIDmknDMXDBYFNuUW3H02fPMSt&47Ne~`t4M>S1X3-O486w0J%EhF1 zEh{+;H7o>}(q*Uf+deN;arr#1RMdF3jxN7sou*3N3sZ`K$20-8lm4+Puk876cgPTG z_m?xUxI`$Z-0e*Kh;~q%+wJ>`Sf90Qep1}WQlX?QOd(7nD;Bv}EzbOMbqmqJAjJ$Q zoPVm^H+Y(ez38t72Me8AgOZT=S2LbEdTlV-oqrN9va%QM>_>>T?Cq=DFH<))+wp`f zLoUxDmm{i&Sk=1Ov7wrDok)q4{I8F)Lz_6jn##&VieC%q>xd)5ppbI)!$HGLJpoot zuw=N=4t%>xj)Io7f{?tD-W{;cPVBSY-5beKGk@qaIKNe%1#LpE+bSX%ei#^7F z)wo(@PzK42|5^8Kb(QF4U8l3*?k4Z?vFy(vnO4VZFKva_k8baG89!vCzxHByPp4;~6aQ+@;MLGTK=YsooBjHO%u~Q#hM=kWslU~^0mf7B7X6Yh z5CI2af#u)euMG(em!CG3HPu<#Q1{l()#OT4n-^0kvH4fITORd-4)>!0zm5hua|CCm~64o%-tSz5?TrTr@J!Xc1h#SBA z{G7UPgWaQauCuL8#^h$c>W$@GWc`gYkkw~lBNwQfBrj6M0f?hxV|$iswTrP2poW88 zo$~%$*58yCGo|xdW8>{!--?-WmwpcYsUdA2AVu126z4+=Hr^M9^DSnz@;1O+NR2>?f(<+12yg_kUh8syn_c$=Rkb2;J7VVW zU%>$Yl{x%&)diXzm67L~9+B zbtWk&Qc#+MC=Vp^-*UxwF?XPQ2hl)bh@3?vn;l~|a@Cp7BeM?&wAt{uJ2|h9Ei25x z(!=FBu;d}r$AT!qn#Vq{F;Cjm{}u5J6`%BC=JcklFgKD{kisLIa2?WOCI5k58aO6` zh#8kZf)qEJs3^C<7juvOjgl3x6+l2k&U@xCG)Y@70fB=H+#yIXk1R=wqMdH>nw|Yn zU$5R9#_KvD_*^L+L$z!HC2@%Leq&IVyPqcf$TN}wS3W%BtGv^=Xe%rBuuW&_g-iB5 zl^O}VoQ}dch-=|*|0HiIZXe6&5#bjGr9#&H&sO}V%{olXDn!&YK(VMVeF}yhb0nY4 zEG;*VZ?C=2bG#3xsL-Fu%~UYDQFU>Nb!Wljym%a-zq2%hxp!!=5&Xjxs1xugU4zqbnls3baU z=Z}k7vDe*X)}#)z5AJr^{-2p<{uaf4QcthPA=|fIp~WT}&CP$PZQ)DSWVm8Y!2wM~ zHk!*U2v{g7?D%Vbryo(9dtEJ}aCGG>e1ZJ*;oR1Z>QL-Pcy1*CNm8qmDgkuk$3}f> zvyPh6yRt)wbZWejcv|`{Fcj+H;%Jx`zlQ7d?QwUBv#UmrX zl#_!2|CXulx*uNHPz-b2xBnsDw-Ic1U7fVzu$h!>z8;cv_U5WoXzXx=FPk*6W93EN z-WrIQ&s%lE?cKdy~+rf-6V*BE_cD=Vf4Ke&h63BSi}foiVF) z;_$Y8%w^Hpo(A=9ps&)@(ZHjqnQeNU%OWkRfMn77(P|{5m)t4jYUb8x(~-!5ns_ha zCS4NoqLY8C8(q*4LtN?8I`vue;36OA9!46BlA?=p@@TJ)IEBH}^v`*|fM9Ghyrl_- z3OE%wKq2ZVIYi0ZNbMgEmaf{0dGZ0})4}_`4(Cx7m$nD3j5&p&;Wj2h_Pi*5@Y3OfYx!e4ou3bpI{ zW7X)j%SK#mHw#Nhxfa9^j>zVVS;BIwL{1^52}tQy7PX+4c2Gta3?-#ZPTncv*&WGl z+`Ut?=)mZ@SfDWs!XuJ^ul-I%ugqYCL5&Rf4#-u(twoj}S|34HqHA?48W1P*%KcXV znFay}Zb*~T1G6EDTbkZy&}-#SgKpd}kW&U)k2Xg~^fg_Yn#zk9ENmM1-28^9#o(}J zI-tU8R6AXhsx=cE{!894%DnCDJ5)ev-ys+)H8F=I8X;VXk8rfod8S7?0ukCqYp$Nu zxFoNN;~UiGHN*Fx&C#Z4KaSEziFGPI%!QzDsIEU{oOdUD3PXt2!W{;c^BW4Ud!Gf- z9vDX>TZRrS6oTv?i-rCXLKEJ$7t?vG%|8YGVax{($q7gn`8)0Jb48f5B6TYrtJkdW z7XMdK8+|Fp*UiwQno1{LdZ5FN)-pDU^~aLM3uG1{-xjs6)kXH-$Q^n9_3<+seQIOg zR_M4kB(BXN_x-@4ylO&`F z^{n!fL}}zlfTS3WzS#p?zS?p1^}29-@9-bkZ?jS9d~@Eh>$4(AQgbUuiAIw+5nq;^ z$SGGF-5mk_n&Il|A-czgk`6b##C{6|uC;7d?({CaHm2(f_*CiU3&DZ5wsvK!BsU?g z${Myqzs$<;GgfLZxKtuCHa$?#MC8!|LkW$L8Ee}oDpSAP+@k@$UH&29e6n}3+S__@ z?A3_=_7B1#Y_I)Us)`czNxOlNh@2AMW`2OwZ5GB1b=c|hBl>Ir_1BbS%j&IOoC*nl;q5E5ZBKIc|k;1fsTFis2*4=AzvFV$iBc$ zrUXOn+f)ZeyVk^tV-NJD{!|x`*Z%l>FUVw)22jJq$)#?=HaFqW6tMi~g~Wy5SOmXr zAy=|qPBk{Im{fp}>>CTO3ptZ}r@LjU5z7ei!~lT^cChGWL9-1F+4{`4nC}Ikx2S7+ zd8^#qRyD^4L`(S_kBd8!#f4;>`}U0N>m?!^ikJfSZ0YexERwd^iZIhrqQ^ZTL!P|XNIkbnzE?yLk=6^!Rp3~$*?!}8Eg>3xX>Fv<1SPk zR%OY^_QyOR1f2A2KNv#1DFB8jsK@V!{4u`mE6f#}2Ifme=dtw2hxz0J^C{pPC)+{? z6q|Yfp(4B=yzgyh^SQl<3wWl$E@qtS?Q46yCeS&XRV*J^iAZc0J757Y4+BlM&V}mO zx_;r=p$HOvsG!M#r4#4B&qT2I4?^=B`5hQr^#mCvvD!s$CK+Ha50->TFW}|LeKu0m zRidCE?-#L@P!6L%?H1o1PTOE9CkH8?vdU72{j|lVfJvV8P^eb$`noKZ^!~wZ<0lK2 zN-t7*PpBzs*~zB{a~{pO**~&!$VO8msByw$q&TAC@RCfQej{aK09YI;`=T`4KO?Qs z0sQDn0kFu*Gc$$yJtK61{+PDAeU4#qQD9fcwY(jvvBqtBL3^{kKhZ0% zkg&)&%_;^XWmFa>UQxIqtde0776>Gv+mjn!3nW4mA+J=B7!ig6B=;giTK!^Ska{Qp zk|V+E{V0mEIlM3XtLGoJ!n>c=u0boy9nPM2kgIuGmanx7V>X)YvCV`bT8fr~lDsCI z3wba)asnhwS!HCro`76hT`UU#Rw)n{fz_b#LUeaLj7@gEv%T1DQ8zQSrDU{IFAM(z z$22PheajaO6QsV@OuVVn{j@SnbH7;AFlvIvhTA1)q^yEgV5pFmswf1auq79k$CZ^4 zXYRJYTHSQplh$#6BI$k~rLXzMF>k+s5J=%5X0%sg9_k4KELk@p(M=)Z&$S+!0sg_g zFJwh*MbJVIV_yc?TQ%LNX{&LWL3_XRTz%kKpEe&dI#3gQKC;a-&xwv%%2`rNlBy-= zis(`qRDCJTWeSgHZ$cmqawn#dWP{uCJ>4JA%^~Oqn1@oD;GiG`*ivBe6yVl>Gwdx5 zi{jX#a7F7)-e|)Y=yEmlD$%HzFE%0Yewk|qT+w|hq)5xO^n~Vbv$DjhoZ(_sY#HmF4g*T5sA1SHun`ub#5@nkK4#*rXCrfIx(DmBxQ46@rjp z2%%gv)ICw>;{`MTAwC~~yM?=ZeHq60G3c{0OwuT!-!x%Ar93IukriNCpO)kVu;f9q zy7_*YAzz@Cqj3`ESNNsf5l)LrF4C<1iwnrSfwYM|OO`ld5Ta~rV)DGwB>md^DQ(*P z6SO5H@t51>C$Y`0fCEC%3pJ5ka9tuis3F8S9#W?pHK{TI1p>7ep5LBya8@yC<8v?S z94mjHmgDsJ|FDm8w70R~K_Pr+3Y05eOB7^mp$})y0y?8`u>?z9hGD>970{jCKBJ(Z zRH-~83V2@cS7pp8DjI$-Q0sO(=0wT->XbjXZWs6bE%m}@Q+B!TPY@CB`WeeuW`x+c z;meW`3<#{pNAdRd9S4}y2atFRVp(C1iA&Ugq{l`tU5$;+;@e{$*M}n3b(cD+fZ$mn z^kD}0{3T_k>xZ0m^U@u zR$Zs0K)rQtmVX(9fVK1H_j6_ZVtfD{;gy#5x&^W|t<8@l#@K#zuy$Bip{wZVb?SkH zGfHY3|0)F1VH`;$w@HoF2;yc+BVsX2Es>OcLUO2|Ey$pErSDN1pAcBayG9UII;5Z> zAB0@3u_ac_GAR^sVQalFuiFGuExY8tfI>B!R)LcrZqWQs)Lk+1{mjU4*-RYC$q zr%`oyR?}Ev8;A9;R-dkeR1u`}$G?kp-n(7oweQSxB_xzLq0f*%?CrHruFy#VqGG=w z$O~~^sKxP8S_GI*hI{KF6cjgnCdlu*6|+B{3?l1Dc#r9RpCHKLSzW#KdF;%| z;9pK5wjCveCXB z_}rQ_xA&jUZ)n}RoUdhP)k_*CM)bh+5V)C4pMwE}^r(;#VE;!Clo2^sOqk=`txPo{ zC8Uv9|{d7Uv>FuLa^H8 zE+b3^DKR!KKG<(YVGIt?987oo*DA*Zxze7(*R=S-Hq^Q_@`vh{Jd4^nD~fl3Pr9vh z2Z>=mUXQ==N5(|5f9<`g5=2`e)HsUJ#HQoY^trmP9hsvf22|oAch692 zsX@Z{`1c5j$mandTv0vef;7kXFb&+Ga6$tZC3w(%B=27D9d<>GY0TZf zyy!@(5AOp>8`5;Ict_uG}PMUaxpKN;m45QUkK#@e+Bpq%@mBc5^n5P|PyjdrsK zeA9oG;Wj$|@}pE=M;Ylip~L$`t^v^`D3*w#SJfUDY@GLFBpM(m@czaSmb|b;{o_va zFCQ7%x=#TyEQr*VJ&W*uZm(&6>Xbr$rB)H|1`dD>6e=xM<)PfR_GhF7L(%p|jVhmg zE3F@~5jYG#S_s0kvVFc%RK3vY8R1Eb@iXqB*E~RHjEG$I)wSB#t zpuUD9G!oU*I&32t0Ris08~_UP7E&tqa=7p{a)fl1SiaN8P9RUlsKh`z@_b-Y*wAxK z?0@ME?<&&psXe8&_rl4(~E>ElL8|d@;fI0A36MFq%NaJ5)y_<> zYuWdUy=#JM?KW4wi`tD;{h+_O8Zmu8yD#gF|MtgB5@;8jtPCj-&v*2w`&dllUFv5w zVWD65{&{iyaWnI@&*s3k`EWER;1=QYxKT79DsPw(Rra&{rpTEXrpF^^!}Gr2cho8q*#kDxN_{A!1&6GT$oS*8g^(oFEg;W!VZd3#%?@gR6KUu7c0(oHcdY*WDbZ7(>`%>q@smXNFyHXmk^-o zWj}h`EI|k68%KhpV!2HNL!_HZEpx^POXPp5}vGor4! zJG0LYb)%j)J(_pC21;n>&+GyfI_0+3nW4MWqovrAf=x%&PKKg>p;T4{(E@B)F919k zw3+^V?$ON%usBhE<;Cs5!qMT; z>BNY7z;K*&Ch(>bX4+3euo%G?An2#erU2jb!$byZUyJGzza>@0Wk{_PJDK4Lf41;B zg-(W#$uM~2^~gi12zY5{X+)K=jL>^%1b~8p${h`cp@G6~=GHc7N$p)1%jD;dd>+EF zz6zjSOFT&^Fu8sGjio;O)wA>Rh(PCa&Sj@Qi-3U7{`hCuUl_HXR#YVANe~2D^d+rM z#5103JI~6u6-A4IVcY@?B=^}bn`Pq|@b#wkXA1(alLV=|0xuIGQf&=&k5wt?0zO9< zE}Jcv8;|opv-zKDy4-*OcYyyRSNGiuYG2&XoUit#`}1Mf7w0Gx;zJTza1a*uC@vR4%EIIfUBC+Z9E-|xEt<*glW6pt{ikc9(mIK zy?@(1*HnMp-8OFL>+eJ0kPz(2B{v83gvL|I%NIs)*)Wl&xt#8gW3t zlYjxh^y%HfN|Vo{Nq5T0Yi%>|eQVf8t9>RSvOe@nk~wS-J(0-=au(?{dmt1wg#<$u zm98`>t46(3cz>z=G3&@sck*KZZzqO%D%7Y`Q%sbSuUedxnD8(Q7XcVnUaekCiO!6p z4WGxN{WUv+Ns;W1K`IC+)I}RK%O@X)D&;J?Hw8RTBr=zxZqWh|V8R%D11W8q)B^-% zm6ebVUA|djs}&ZeMX|<>Jr7dE*XH(qc}y_1%y^);jU7d$fvfD3uTwCf1m~0cDk5BXGHD3VmSNV=%2$9d-dYI`gyC z>aV)wGEbWYLQ&j(Rzc_-6#n<;+)&VGEK0dOJf7wLsExl8ya$^Pc!y$^rDc;hYjrJicVCPA~!#K*;D?Gl?ovSo1P=Zlp*Cy4WqiWz@X=$Rv zY3s7MX}kn(D_t~?EvPF}3^pHAXr8(Z44b>PWFRN4pPh`=8)`U%Y4+H(M}-!r+GM$l z8CKe+0QDpORu8W{cHyG@i6X_B*4e&(ZiNT^N^qU8wYuDIG$mJYn8b5CQKTj%MHMcP z3mejfld}4!t2m54&kNq0SMeaSD3R}H(!pQ`3Gw90z!bK3=1~f!1|XsO5492|iG|DY z_Oi`*#c%v?th7gs*k}loOP310Iu#x1#30Yp#ljO5%BQRE0+lP6|HcE#N96vdV6w-O z$!`gMtu7U%R>%jFCj_f4oH|1P&V4Q#a=Kq;$A~cYf;*vJAIeu@tL$N zgXhj;1L&gYKz36d%`f80gKApvKwBbLqJ$we;94ffDcFs0zyC3j=xdZuiL z?I%;G$K4H&JsNy=#bRNqOqXEC?GK2O)Oi?TL8hiN7|rOCp^6r;Y) zyo2*3E}QRLZAB`X)UEfXe{vCx)dO- zkE0eCp_lm}V>fnCu6Di&z}f6zn<|j_b~zM7KKZG0+Tmv@O5Be)3fqpk)S=@%WF%bu z@aCkhP+X6~l1aYf#%y8)osxelpM1h5El>50xG3{NN*KE~{h8tWoWJ;eEuR;7RLXL& zA}NmgQLmDu;fr?M-Z4>jTH5kfz!R6+k8p2ufBzM#_NLj8gN{8U{Mh3LQHcU5)e=0m z=Fw&lv$-Tp4@;)E-oIJ8CsoY&8#H{!z39@w>N-_Sd#!c*&phu;8{U&HWE97;jpY_e z+E=f>xHP7E^VolOnd6NPF_KeLE{4j1i;eE{t{&h58b%Y|nWSN_K<<-GJXKVOdV*8m zjOtGEYi{EoAnJbWsuA8a0cO9K-q!JH^hvrf78fo&@d6c>kfBAXO7F?mYsTFe1iiZOa?W(B+$&V-yc15CqQL7s9yF@zFRyF zHHCZ9et{rvLIDstUjar*>}~C8Tt&uOe-Zt)iLt``t)od+i1r(;VN92~LjaN%nW5-W zF__>0^uJ^2 zi%h862?Y@1?paaG_#dCgynbEKvcUEEErsOke&|RkVaa+@#Hk1JesrB3& z+5D;4e03vzHyvnXoS)O#))sVpvRgd0ToM8N_jJRpTrslq6q+EbAcIQ!gl(Rs7D~(n zuEThO^|~xZ7NPg9$M6ABN^pufVeD16k>UOXfcjk<+fvuw&uzGOYE{_|^ZE-y2&5lk znHZCMquXt7w^dg)2KZU)!)3sL3+AXjLxD?!AA6$O=uBAae8#Jy;BFpiVe!|fxux9+ zLYrE1vx=@1%%Ot3DQ>|>b;813Pk9rp_4Vs`z-&i9JA6#g43`lZ!!;#LI8%XAPn+gL zWsw2n#<8N}m#Ze$H* zcQb^%(z8|*jZo0js~8!2v-(EZ1>t)>sBOt*sHNPYfC4QQ#%Yt`?Xol0z;jMHszYP-4+en*=fFTB`w=xE$Qhs5RIp0H&# zd@^n2rt)WTR6t81#6!XH#V;LHu7^1{UK$M!N4-0~50(^xZ|F)VZmVxfF~1+a?9gVo z9XakCrtBEZ;_M~SqmRb%D+O_e2Gsl;4OU?d-i`b#GmrKm@k8MrSkE`f?hCbOD)WTI z&Uln^6g7P@)XK1}x!JM+n<2EB#jf?G8y#Sdcw?x|<#-5^bUlqOhNsBh8|oIs_)6=+ zT}L~ZshRGmyI~UH*)b^ZVHu{QR>8j!>ur^b9DX%SL+i@krAdEY5`PC2crJzf;Z3kh zVEG~Ne%i79lNt}M`3JRI!d$O;H4csMB?-MIr(OGI?^w?HR~6pIp+ExQi0dH?E^68W zq8sd2bhHYR!2(s``b142)(`8Q1m0RqGx8SC5rh4vC_U1ppEt z@;#4*@hT6A!Ei!@-0c6?1-BCj*i*id<${JUh{z@N0XT`|umENW)?|)aAcZLCH;J1< zS$S>T(xo^HAD(e}%~}?6VnQINYX02b9)T6tJNWI)<2tJJ)260Sy{jXiX${@uzlb;O z4G4zqnDyWq-e0U_bx!MwwW5@X9B;(X)wJS0CF)<4{G1L@GnD-R{4#_sDA3~Qi%2wC z)LT>}b%Pjy1sJg8pSa5zddF@0bV(!?6nK5ms_Ns)byIjCu$Kh*@p$>8;Pv4^Lf+`5 znxj~(BvYo(Y2#tmZl+BoK^36%EfycR4@0}eghIfby4|?WSUBd-`H)_BD`d@>J9=QG z8H%kkK<<*QQ_e6J0oGF;=~zj)(P?>x5>BkX<9ztB%>b^;biuo!EXW0&h{Bg``j zV09m&6ZhENc3fR-FfGcFl+9MAXQPa{WR>rOGdVduW`SLByKY=BM=xE>>LWpQUr;L{J-vD@4--kZ``*u zq=F3koS-R2M#wk;po;#0J+YN!kb==FlgMu+iWESb`Crh+g1^kG%1~G&yIpA2KL(N# zfqZ)Y$+tniZzegb;_Q_0={qsWI~(e`=~A%X>xC!6h*95y(PmS?!PF$DzAjM{`;UUEA+aR#vIQ3BOvh$RpH`z@Q}Tbw9p zdSj}Gu4P-6ThmT;45DCSG-3VsCD_*-vFPYkWIZKo0nf3PjfLQq2FXTY8qJLi*u5hBr(>y zKkp;P*00z!=yGx$6tB?ew*wCsGLE*QuF82?9OMBgY+>@nGAWFpRDO_!;p^<6+W-5W z1CyBy&_V-w(27`6u2>s^ggRh8L3~ttnSol`9KC6ZUnW*jZv!h1@Jc>V;x>N_DgH4@ zAIhgt%80d4(rSh)sCM}yR(EyE$@IZ&o1o_^Ab+X%?vSpD&IY%&dRb0pPFK`J9;FpZ z_8Y)h3{;RSV|w2vlTn348N4ipr_z21KhX$e`|@iV#4dz`^9LA6F6sk9d2Viy^s#My zI9m@;z0jR)8wIqo$9p;kyOg-hcI0$ioSOE!Z65S@3;@1$2L{+WX0RW)_~afNRrcy? zI%3)q{55ZsJTmR^dU#cuq6+-;*!nj~`mvGp-B1%mnQHQ~Jw}+jPSGKfPr(L@WEj*D ziG@oLPor8W5+=j0HdMXCi3_GLO$M=7qwr816U2DDngzSL+P<~_o%Jb5^ydEhowON? z6>*LL+JqqBKB4rc-nBp~m;N#lAD1f3Mn-{{0ZNGa-68)K#$0+F5FBq-_8kKtRLAjC z7Q2tI3d%!f?@*#F+-VxQQt~q@3iVaH>)O~o>N{{8*rA-ANjC+TDt1O>N`c20fMJ$_ z)p4>j7|4Ii=ryst@F?6H7@mAm*U;c|p}J7MDbF3;y8d7g@2_oDep zqN|B@HAq^pJzb#kGr<@wiX}(~I+)#4S5tMMJjwH zR-_S=P%G!(Eh#-O8Ity%{P&PS8NR^iHpHsXua}_$3q=cb^grpK9 zK^xiZd`HpL6!Ux^vDtd}+Utk|lkUgr_)_*ZWvXz@P;AeW-UlNkHkdP-8M+Kl zG#6vZXT#dk>UeOt10g7%U*iY;l!%H0jQ&Toa*dwhZ^^5hpg*XmWEW{ReozZ_Eeu-4 zKPF5@Q}Sf@fKWS`VHF|*Cq4bLh1{8>7`)8MuiMAs*VYtQ>LzzF2?*IcpK7DfnS3+P zh6K9)G74*0ZDXt%GsRz>^+0)+Qr0l~oUB;WMek{MA9ZJDPWjy)ZkOxq|X zJK5iZwK5=Y-igSh>V%`>a8Blq$&^O}3_wd}gV{VNp~7YcDiNs^Z^c#gc*x2` zzWKEUdzv52XHB*mN>SJEAZ9E@fp2CB_XE<*J_j=vV_1=95d3>UScLX+c z@q}c@M7+v6rI!4S9Fkm~)6VDWTWNW2_#Qe?~a}a7(Jz0_)a)A{SCvLY-;UP zaHLM8MNmy8qkBFf#_53D$e0y&OoT*xWEC#spZ2ze%F0!=k-m}<%me2!w%x~3UgAf`24e2LGcgkLUBW~xtsPxHX(Ar~Ts9Hgl|5%lc=>M*O&I6p z)i38NghNvc=EJ;_b2HtUtHMRa$jII@Tck6}oq+u0a63?_&}wuheO>`&(e;?96UK7V zDtjKsiGfQy3}3c?8J<271oet%JB+_Fo+qip94oEf@4f#Sb8*@&Oh=9#%R7-!P%6t5 z+409E7-SDA$8Kz9O*~Yn2Q;ovDA0hg&Fn`h=wE;HniO-s_VG5_U;BVWGM+xHs}|t3 zye+enm02bPseHtu{AaTB-pSd8k)dV`uX1M9pu_KQe46R_YnmnRkF&44kBv**KU%Mc z4z7Oj&tl3Livomf+r{4$nHU!q)i+!{`fDz<`PfuA)Gafuu7@YI;**A-$tiI6uPE+N z<9Gb?6Ru;iC$c`G^o0q&4ceCPo4G^LQ+iG%ok0!wR~h@0Sl$~gGT7Da{?c=E;9zL? z)gHcS_xAyGDmB%1@jk#Gph?DufENTyD4>s6JhOQ2o`2EE9sb3~WnKK6=Ul3BZ~I^N zx6-fCbX(P!>i$$Ev;xe4l2w>!j?_w3V5o%&&jJOpVcCyjLKMu?vcWZ@p8Nf%T_kKD zhvQo$6Z*QIYY>$pvV@j@ISlc?*Hh~qDXqoJE z@G`ZzKrsFxGKLF<>8eGM^eS|mMwEyzCZ}}X=-QO5RJkAz6<{njYBOFAu&$j7zJ~7y z05H;zVG+15=o1osGvngpcoXmyhqPKk-Hv&A`8cOV;0+;iMyy#Zr;nEG)BJ<8mH7Dl z;Jv(T1SjW9$o2E#=(i)W3$(1I2k0Bq=!Fy`24WyRF`6L&E0w+m7XP!}=E?w~c{7_m zu_STX%P%A(v_K%apsRc2-~gdlmLpUK#Ln@7qrd!f3z`Q7G_>w+<{xf?H~?AzYyF;- z=!8qR-r!Tv1J_yIhAo-G5-%eRyO|(GkLI%gi6f;1b~ujRipC}bFrC)-d3iOKn;D|3 zO)nC1vb4J})B@g}>yu$>G5q!Dz4TCWx2oi*H;iMZ=&VZygy;$C8$tNc4Xn19Cz@M` z!-qNrUIA<<@U(JeIe7%Uc8G^do9wOAj#~5F@EL4L2-76l-Z{%gJ%mPG^VI8F$ft(x z!YmvNvKrr6yZ)q9+rfeczNIGu!ih?=L2>5NI!ago#xh;Ycu;zu{hQB&uqsT$Tvp^3 zSyGXaDf)jXo;^2Xt3IwDUlw~W9An>Q`9H_^KDI7<>Uehw&TGq6ic@gWPU^2=y7m&m zj+-k#@Xo?uOMG%!J8<^R0mmp!o$I~M^>m<(5#|o#%#d1TDi#X|TdSyG)fV%Lo7AQg zpnn`l?Ym4{8)-E_8wXRQd57c*Tl|=p|}SK%>6o>lR1kcBTs`| z-h*XV$qM|yD$yc@STmAd)G3Aw4(;!Fi@w339FGGTR8?5YXvA{GP3H%#fs2G1^kHqy z-2`s7dpHjvkMJ3(yI*+DV*u*hYw=gEy&gdUdUg1-0jt)OX#t02>xrsWDhJ;-XUY~` z*PlMU{P#{DL%uxFmdYk=f9Pb6cfyA3>wza`DfV4Id}4ohQj%3E|1zMa0q(wCaB~tj zQ-CG5nS{D0e3V`nZ}`KiVPFIJ_&V8Q&gEuw~Ncl==xCQKNQ)uyvUPZVaRRg zUrPH%Fr7cB!+tp#4DFw(6{bCA<(}xINpr-XpeU2m&Mf{nAdFB&UiWs`wXo?EwU;!S zF33YJ?pL_WmvONCuEFd!+;lbva)x!9K7BVj*QK#w0$?9jj>rVZ>{&~s10U8d??ks+ zTxsgJuEwL}Y~7;U&LxI8Ec~$Pk@P(=YQ1!%E8{i2@Pz{X7MT5NdF=e0B|k%3jnk$* zi7-@pJ1Pz~_FonmS*3VW7J(c`v4AbE#AO+Q+NUGD!4}`$4zyo-eFETR1dUhoB>$8o z^4ZQ4WkdYFw}|9AJ#ErGxhFtsElIDcD~sgM>4JSHN_}^yul3oJp;?)kf2159dgI8R zho38}!Z>E~-`E2CCWdpsXoRM@9e!qNFdOMniwE5r84PX891-3taq-zvmlPd*0DemH zQQAjmmqA;A13iNPZx@i4m$yHV$mYv>(WfPXzp6zB9sb4ULOz5JqNvV-PS0q`6e*}% z-H8iv<5aspp2Wa!#s^}gdKq*~F+bZkWMx8nB;!=5`YFb9COJ|TL{v#bnRxhke*0ZO zUK6IEL_U2q#DXT)64A%$S?`bKP%-?Me~|PL;fF>75xhV!RaXPQL9=5$tRBSR0lMn{ zQYha#et!vIQc>Tte-;PNsmbDDC+5UbbqPAtN6C!;m+!-kZT)1H)p*u}VxQ}hNV5>6 zkC#hX!ctA3b8`>R3b`D+zMjw>{(?*X#k4E93udce2oFIfI`^jBR}L%T)PF+mBBYHz zH4xAQ93kMX^1o`7l8QQmp0<#(6iw;RBIj!5p473ZZgoApWQ(P^BOKp@NzHVOaG}W} z8q*yWzbXjg(+PCGMtCLj(bN__l+on*mE4Uum31qzo-X+j=nqo>v<>qV1`)Q}C@8t% z*+3p*CVC*q5-YP&X<+)~`yGv};MDB)F!=>O8(RD;`1DCtTS4)Y z9dmm4P+Fy?i;I)%NkTK?M=h4(zMJ3~BFD&Ze%q)sUX>^coBLC*&;ANr;+H=d!YlvA zupiqGO&7q*dP&xAu^plUP?kv~4#cep$G`|L4y6SVqO0IyY3m&Zvjy$v?7ab@|C3U= z2!>{`4;qMhPm~!X9CiktpYhupJgrK!$N=o9?2G4*Z- zf3;LQF(zhI79w73Rt7r5B=^L`dbzf$?o88lvWs@qNoNMl}K zr#&X?@>`)yvMnJzoe5%WN-|j{Kno`b0quHFAu7wJ(Eu1vh>nZO^R+~8@3>MZgNg66 z-*B*z-Z~=LRP5+l_9uurU(fv95jj`YlsE%hmZ*SVu1=Z(FhCVpOxhJiy#xr*E<+)< z%@KBfqwt{T64EQ#;J$Az*KlxP#=yvkD)XZ-c1{YhK~@cs$}v>MaHV&Yx#;MDYj?G6 zTTSR8BJOS@E;Jt+83Sm4PZWP?Ewpv!GpkGVJM5-n%E_MY5x~9av@iOD<|X|}UNJ`M z*Q_w&``EbsBo1f}D2kdiQJ^-FS6jzxwVGGxT(z#e)@QM|bkz}JSmuv9jBhLCmUxe^S++P_Ey&iVWeAUF>UU27DQ1jMTjM&&~SbCzHYql-`>Y2AxB3OBva-K;e5gp zs1oN3O^ktf7Miy9h9A$$&ib!CXW&7uoj z+FD!hR$jXX{q3+N@&^2y+1?{4lDx4Ed90iEWOK*n6=L}$c;YQ){Mv`jvAsF+?GW=Q zBTTtY$4)P$Z@ffD#*^E*)&{Ep^~_$dZtO$wrGIF7n&=w;j(}XKL5v}IH?8 zk^Ls77}t9B2UUA%OxqJg?a~rr-@4^<)yBo`c&t+Wr!KhX!C-6RvG|AfX==G4?wX(P zNk_zX0%q;3A>QGKo-&VisDcGgzR;74cdC?$vFjz)@Yxrh(hG%0*eg7Z79n4 z(!!Wk7GGBtTUNfsvxhsU;KKn~`F@&Zy=P`-8o|iXnjl1+>Jr@UWNsPQlL2 z-dVdHTTOhOHZ|a`l}_?(@NUZV)&vrH=4&!XzcM@@CVvYi70EEdV5^YzM2|JW@YN&Q{pW$~8ngYY5Qn2t!W$BOXj;PQ`tubwIC{nZp;}lksemdsf zVCcN^1oVMRp^UH1^G<`t#ewqRQ{;@EzAT;>mU@E^ut-o3NW|KM#$_721Pq}+&<1#1 z-bmG$RABJO4PvpvZhEK2`z)a0gk=8Yf=)SYRONgjDSn$WT;x{->=8`^9w<9dMMv$zOwo z=ZNG^W}_jIXz&xa-!U$9GvyyhbTFwB52iIZc4MilN>xtQ*Nuglto?H5r?G&f*?#(y zlLeCJOUrvM>&UhtXsWcHt7v__00No7PPLSa85!X|Q-MI#_)JFpWk6;EiF=yw=tNuY z&mHMPw`QjTZTP4Xhj!CQf0V;Yk)CYFr{J63pfeA@!hnSm58=vrx~Hq8r21=GQFpsG z_}y)X{4oAibi~OS|ErLuJ6NPq`Y6_KTf|SZ*-rgldj0egj0>96PRS+23VUUjp>0zf z4dbwyje}aWQ4L{Ei9a*{!)@VjsqsR=Yc4E2GLtAZP_=f^$tlgWL}T>R)%_jZ@nM46 zc&@x8#}F;4gEE_kxRp!NiT?SYiZ-8Ab|Ga8zFg$U_(*V&NAuz^dC?0sz{)T6?=Jwr z&FYl&{^>EzrRc@sLA7y8yh~wlU3z4Jn+M`-2W*WVvR|0nP49qv*2Fr2_71EadtC16 z3)gZRN-1+gW2-qDQTo@Ghd7ugrGHz03%ZuXa2T^vUh?L$qr;8KebJsh&_Z)k%62+= z6AzU!TQPBk5ln5gL`ph2eSvB3OC8_mP_M6GBv>h1eyYF`N~**# z3I*7$sNtHMVrF?$29Yv_+Fk-e6?suW)fKo%PKXH#7Evp+A~QN4vEcM>Hd8iK44f!N zt7%>`uOI;V314%SMui7`sm`I~6%Ou(#z80VxPAeOx!&*#hF;Ir{E(Qpf9>jQ>0HEq zT6T9v%@(h$w)D}|K`f?+sj4cBh(ApLfn5bt)4+ql5Q~LQ!Kir{^L>-zNz7w1Wz!=1 z#F_ZFlb;^{`Yd=0h1_gB>bL*Ob98(YBs18FYfU-!O~m_XDKUTvs7BP@$}i?^W$k{I zLxAX#sEG$B@aAZ2tvfVxMF0ZMBWVMp=Xll72(>^^W0o2ktTX%t*)h+?=U3nT^L2T( z*8O2bcW(qVz&6LHa@L)$r$JAYy@7b9OiT}x=#X`Ad zE%}v+-chZ}`RYcs!sU)WMzzIa*-!Zl1oymV58gRL1IU<}Imlrt^0bSQIu>^>Fv5P) z$5G1Y#akTdLg>(w?N0%U{EbTzG;`oXD!e{D`SeZ`J2=qQVW7;w3Ezi{vKd}93R_VGe>Ame4@^rUJm zGMt4Gulg$Fxu`ef@A-VxSA{GtJFf61=%Hs906EBmUvKRd{u1>c?)tf-*M4*Q{Fi*u zXZXtV<}!Ak#4D&X%|=h%20-ffia{}wYjfZ3gAJr|wI(oCr>C{23o>Qro@~3^WXZO$ zc$)DyVIH6rJKVe?et`Gzp1zp-%m|wy_`TveeLwA9+5!Hwthl3860XzK{eqNed`Kh5 z??NvHBrUr(puD!|-lb`Q(q{4^w>>XAh~~!+B&7;~F0*3t6pDAXwY}?Xw7nnk_PS&s z{Gy~FW;flfNE0+UWxwj>I=fy}(uyelg|3d98>@xm{2J(AjX9fCnET*7v0z)nYfQA} zn0cJX22ZMumJ|(LZ)b4?N+og(D7~}jPMWT0yKL*-n@?yMq$tp&VJ$PHu>fmadwNQb z1}@OLID$aHAz4%ASaRmXl3Y@BlwFm@&^{}&1(3P9xk#Z}yv58hD+ZC}L|u`;{DzR6 zl~r{aT24s%gG4E2VlAz*om>J0!eEHSkef|KB>Z@mBw@0v@LonY@9;d-EoAZBQuF@N zHTHK)n0HGi@b}J01t+JK;>FyT@$}>w=H(4*ImT*dea+^2C4o>1IY{QL#~!U@uy1wI z9%u$xB2&I!*7?*EW==`oDPOSa>y!0f>}_=US{^^@k{1Ts>~Ce{c{x)Kz+1(WByR?z zK0O~Lh1^80ndW+bD|S0xfDhA&dffgO3+rj(M?eN) zun9S6YK31uIzV~$2$*!9c~Sd#V)xJfW6{g^FpYuYrQtXSxmS8E zk#Bj4Sz0j;9TG4qu+uP8Dc&=tYDF2Kw(Vyy=57|l@nz;n?CUo79Q4>nIJrp01~budzC_q2TO&Yh=fcF8UxWu`*C6ep5HZ?JfEyJ z!Pr61Bjsh&bf^!&LCPvAWVmpW@7H}cBwud%;k42BA5*!ab8(ATC*Hw(y-z16lr-|s zwBA+R-Fpfp!n`E9zXysS`9R~-+bI9eGvcq9%|85;HzaSWous`=q7!ZF?iK?jdcnoV zkj=ot)oWq05Biz-bQ94rwqRrb`);!eCpA50OdMdXx=yt4`Jf; z0jRSb6MAXq{_qyJ&{`J-6R)B^v1xt7B=Pa!n2i88YWh}VxV>FNs*;m&`@rc1mcw=V zxQqtp(r7sP1bc#0YsS?=7kPC+lbO&SHpg3^1aR_xC3IhkUN7XuF|CFxs& z8_VXqIoJ0rPQx03v2(TT@!ZW6*&O_%Yt;w3s@Qb1+iSmA1lSc-#Kkxk3$mJHq8V14 zO!U#c@7+E_eNLQ_4d(I8Wk6f2vb_~itPE2w6Fr<3%d zsm!YZP0}_}z}CAOh%iy|WWq8k6mBlu2vQp&%Y2O?+b?y`*RIbih<8Evu}UukMLmz_ zzg*wFJ%6PsIc?HlyzL-SH`DELH8ihOq}Y={n-Q z33(_@N)A8sS36b!3I!gT9xyuv+n}5>S862Ze@3TU_WnA}OkC8i7Mx7>=`enX`Ax?< zm2Z4^zAXQcFQpUu9IsjMxO;>IwFH}kr!6lrNO z%Q;lY(MMAm?=KF2f*oJWMc+*5H90dL4@5}+(^xU_LxAj`nl~*|p-)@7Yr~;=Q_>*! zgw%@B^K&!D0O3?VUP66GX0Q&o}qY z4&7lQ-($pX(bHW!t*FoCucCm*ymy~^eBD=BICKPR=zP@&4fLD795cxpV;{01S}-O% zm{qbVr?ac71z?7T`Uvs8wE@@HO+Z%wB^5<0B?lmoua0hyHGC=CpE5VBbkdx0LQe4O zQ$PeqDBCG9pzpdViFNEKiPjL_dTp+qnIJ)NIR*=SI=&%~DfBhTSQj@o7fOrTw19kh z-kEy7PtjG9GptW~I%(Mw@G`ug?8=%dl)AhmRzd_FQiaX`J<2%kwdeJmA+eC}mzR-q zo1iwZ?8YP`$>{ry1w5AW-8ZB8->ByYtPl+V0mNWyq30%7L^6eQ^VjGns?hNh6QWdy zgNdDgB`=xy#$n(kzU8Z+<>S>>Oer>-Zsg0yvWmT-pRlV9rw@~pPuG_Rn(@f5d3?AMP>FNDqrwZ}m< zdFYSMIhvhasRb4x5TtAj?}YjNMaz%0Yh~U^kVNScs0;nd=V3oqK_zu@#3a6&_ksHp z)unjpPdnSi#!xL_=pdzd@WEPBat;ts}pTPZAgo~L#EqU-YGm}6|`f&Hz6FH&!TY< z*H6uxjnc`rugUy;SM+#W)0@kqKhGs`akQt}udD)Xo|1OoeH<-|a6w3@L1fe#9uRe^ zi<-33n91M*(OfRBYw|C&JsqY;E-vtI9`QdYeq4^krhW6|RB`kEi?AG+bPYO=q6GlD zA2$DX{rroRq%Uj{Cz*&mjKpr-Zlx*d|4Di|ct{J_e)Z|;t41NMnIhM z#iDmK4#g=ko7)S)w$e;?P?Q5NhL$cpzw`HatX&0Y6Nb9{{P#mEGZcfouZoZX}5nMI@K;6xkr)Sx>tI41;mTNpJ6J``2#Kgl?_^Of~ zB@~B2j^r}<)3odxfPg0k32CZm6XU{6_B2%}h8M@FW+tE*<~V#5l;-X+8}xx!L6$@= z29QxYXr$tB4d`>vtzq;+*|i$6!C0$$bK_+*eyzM6a6GDLKL6i+vev-ILLtre>*qf~ zM_^bKTebYfGbXuf^gwU46Q7`;nmnTLNP&F^NT}4B#b`2{wKe00&B6lt;Cw7@ZA7VOhU+7&RMg-jX z1I^AiU?g<^6j4hGI$=4TE_QZ&T@0io34noer{4lmqj(V(wB; z0DbpTMsT4f5xX@90HdZ@Dxy>h1{R%~vU1r##!$?BGq}Dw{sRkL86TDS7qm>5T1_>N z!74&Q-d{7w8f1-zxtkWr)9|B~aQ|Il?eC8Pwsl!-3m5%+YLauzsyma%$BkN*M_gK& zI}D1tC}^?*E}US{_-_<}uez$Z)IdOT3+a2HSA`1yYs|=Etp5nO{;zL9sLnTXSTPV1 zfe3ZBmPF8@CBVKgs`iLKwd3i<@A=(IEtZ5rlo`=DQj+sgo2yd&-V<%m;mSewO(ZrX zKI}&5ERosuiNb$nXHk zcDTdmnl*W_o3;!dMg;m^D!mYS;WC?ELS6MeC{(jsnT2dL<$W!u3JnubAYwkCx!*ac zDVC@z)(}f2MNfV1p!kR~<$y>2cSZ*3tXQPc+pEIKLTRt#^NdkX48Re!va=e-b4^h| z1$#yFK5k0r$T&3$A5kc_V!yp1Bm*pqP98a^R}K7(>?UL7(Hf(fr@Ma=%4b^5+TUG^ zlgB(E#E~m9G^ZlrH;8~KQ6Z}G>JPEg4sy8~wt#GJG3`U?$JrN&qsjv2-d3S-r)r$t z)XUbzjo_`h=?-IdVpQN)d-!K|Nq;B%0KNmCCVa@$O_7Ml?ZSOVXF`Fe89;W_-PLH? ziL($%PYkKR)QWrjledAW^46!DfNvZVJZ?N6zXWfuY`+|R2-)sXDxxO;N50Yd)GvM- zvOBWnwr{nyMqF702&E_16_o!&U4odM8-GXiq@oT)!FrhUdFZ`h^RjoNtKHl@=z1mz zmXdC=pP%F}1*Qse!Gk86B#%}#9VVW~gIwceV@Kys>ehL&U&a42Y{#rX1b`Sy3kr@$ ztx}nTt*t3X#bY24esO-oPsU6`@^9Y!CsD;%0u_x(oA&hasagCLJpiN^9&fMs9&&mO zC;XK$|5IS9A2&sf!JHzAt!ve|pu4N36Bj@Qna|&&@U(oQt*)n*0wby&3a!;o1YS=G z2`^&2ijUZo<5$p(3=noGtDEtrOIS+C27u^+U}k^z;ipka(!hV8E<|7S6_5I=_VHsJ zGPRyJuL82T*GHFb&P~R5o=2*Vy;`pg*N_Va6m3LOE&6zpu3>@{N0{6Kkxzr)HUHz; zl&TP{TFHN>0j|KXLUcrJ%FRJ19+WKh9PE7Vi%NY&->LoXiLg|z1yjKMtG9uF>K3^X zt12-yw#_BR?DbMFb9Y^{`^Kjs$wlHpF80;#e;{~HC^8d-q!A^k10o}xiwzqrmwBt( zF712S;Geh&Rg^kTkR&|Ha8c;EO_Z;XuUBjR*thx=BXPNN4>z>kfz)Sr*4JPpM`5_usAK$NG78h5{&P%!swzv04pNjTJ26 zj*?#jS3v%}+3TyYio-yyZy=hjfyx5>@b>?IL>qCQ2p;d8mmr;; zAlPcy$cVi(jF1u3>|s+|VP{5$i-J-E^~q=HsU=}H!X-n`c7CDlEh18#Uh(r?WugHx zQhc43w+xcU{V#z60Hi5al;%T`!C>Ua{G(NugPmNYdpCOB8cXYworN>j$$52G7=>aVI!Df`%N+f9^Mqn1+NZ%9I{`fReJp_l*u(LDA}O4>sYhMDuOsRP~(@Kr6?HJSe=0Te`0CN zo;)I#t1lC--4}?~{|`YvMWjR`2hQ^9ELcA?7|+z#B>(BuCcgT1VSdU4k%ZCJB_8tR zv+;0{Rj4^*7CorKTjR3k?<3I@giN(oZ`;yb&+p9=x~#2!eE7$wPb!bA0GYo=EW~(; zar<3GDP>OP8w!M;_S_BG`Cqj6JiDGtpgdyHhwN4}ox=V0yFwl?Ki!X=c3-ZgeQ@m% z-tavh%eg?t#WNPJ^c!2xPTn*ijCMX!dWHLKwX$5bFAAZ|NaMbj|Ce~ z?Z|!~WYgomy&LkF)caxFM)|q}Iqyo`xK$T?-;9s)JWBVnFYemY)Y8(}$n&_;@G|sc z=39>F5K?%47VvT=t)Z9)+w=+E`xJ70(c5xE`F!v?#N+Jg2F`!_U+>fUOTDlG3V`uD zQa~DV4}alVStg8nISaX`qx0M01$bbi_W3+tuQmj}1VjY;?El^jIa__ODfX@af@L9lCV+N%WBMxqJ&jV^dQ~&7m&iuF0=>6Fb0eI!2-uwvTfj0F9b=U}iM> z)D_@;Itvw`e9iE`zqUzl*S>eTZ+_kT!u9qEk+ku!K=5f_RI|0x9Be9kY2)7V7 zuPH7Hhjd?;RX(gO!EJvr;Z|gR_1iAPGMkfO&Ak&adrwqvL5Qwr1#l(} zY0=Dn6&>1oHi$a`O@{Kl($WMmGHLH0X9&B#;;V@Ns)L21Qxds6WRuIlw8+S<9$`mv zF^)o}N34~f_IRBf`q1)?t`%a3pkQDaWBX5>V4+`38QE7%xf;e_vnuK^D>}TTuhI@= zkpeo8wt10ajfj%Qg7Hf90I|t>eWFF8bfwu2RfiUQ$CsUE`sA|$$8koe70%1=>t5SE zG&;e|kvrXu41#~zBjb`=r#~d4+Mw%Hfn=-qx3$W)`WK9wQjVXtg!LHf9EkpO`@apo~dgU#QsW2*j#;5#8*sKCj-FO zoBy30QHA>1Ud+GtN33(d2B34Qg*eniH(Ua%bEtv4MAWF;*GZU)G&c!ZQw6QP~k!Jedpi>V9=U3S+ zOaKM-Sh~`6IDbxrOMia6{Q0Y0+>lk<1VtrxcU_~po;_afT*ltjJp=mcE7E-{KCqdz z5g6ogJit`*M%M9ER2kmZR=vg0Y3_4--$kDFh08@_U}*Qh93@Qz{PW+DnK569Yx|ec z;VHO>^W|QMN8N?zmt=VG%~*!G&-1&N`x*doM#@&FA-s{tcmIP%&-2(VqCh<63$0{J z?Wf1DY*H6LngPkyqj_|Q`zK@vG=4r`kN%JU;64d7{Ul%mzHR=XR!1}Z0*-yzs6p#G zZL_a4Duo?LD8y(|F5D_j<`7oQ?*F`z#$pf|7It0l3_4u6Q=)aY7`#EiDI%z)-OneF zjggho;Y1Js<^9;@4e5u$x&!^T?FPw|^oC#y+RwV;UR3?h;>x_opf{3?r|BegOd&HJ|P>kY~(2l64qze`$Ye{Hxly z-R0W=m#UQS%lf;ufU}*^*$G#_r&i&Gg@wYv^T)2!9zUe*GxQbI44IJdbNP+)XO~8z ztH?p%^6n`UTgY|!G4dnvgl7Kau~HMII1!1wb!jJV6MpP0y>)^v=|#Fwr>a}zVE_1_ z&gr31C}TkA2=^4U02+!i@szjPF6c_UFXbo9447Hh+IwKrOspWo+8$#`CZbmK%??~%?iJ44Y|P#Du7|s9K}j1d zZNP8wJ<1@^fdK|)KC=obBeUVmYc$9_J!D_>KHXVA|k|No}-9tV636PSS9XAu}BG&V=ndyRn)BZVTIU6edVp14H7%R!6G z3tBt|NWOPTWvjN+qDf>s?S4T1THTb}qJU8JC+jg*5v7I^g;$H&fQhV`X9#~cQ7y=J zYZj>D^>veY73@%ov6&%PILZdZa8!#%str=DU@#&eE(0T{3?Chh-*>l%B#+-xh6-@u zYIQJU3DBAiP`WXXm!0{dLZ`9~;R++^TusyplGi_jMu>Fc{!y$R{k*CS=2$+u2ELgGGK>6+(X zKR&mT7uq2PhE7(-+%|8|EPWQYN}?11Rm=?SoII9Yz_G~CTHcQyC*x<9@r(7QlAf1# zxe4fwHWYOmRJF8|m;?PO+d}JH@pxxy%gHMUQbrbqrzZjc)`q|zvD?F0nPd$gdqD^O z?{qyUb7XTX3N#vK_fMWoOos(jEk^>@X&G8w3Z0)&@ad!;$33R-FbiBC|9*OJs)6w^xj_$yL^25)%!RFF+BRJw(fH3ZJMMgKA8qP zDkzsYB|NLkTdZ+FVmF*WP7jr-lYVMBmJsx>R%~8GYbMY%o22oRLfH?td$3nO#;OKk z!m63LYdGi9f`zkSCjaRQA5K+lOPp4ywBzz~m>zh9iPTtVqwlRXZmh27P)qxbSWD!b z)N|2sRy404*A3Lf{B{zfrPP{Jj#MvPbVKOrsmqcY+x7Tmfn#Cs2NQ}*C;lHzXZ;pc zxNz;ELzE#zKysu6q`PD2Ziki_Qo0-IE~Oi(p}R|v?rx;JyWjcFIp1F}KkVz;v!C_c z>t2*bP?iV~`oHuTP$&x_)&b$7cn-`k6Wu=-3;?Q#fdCp4Z8ZxX?YZIqyp_X8)*bw? z*LXb32sMt7DP8Q&cOKSjy46CWe*!8Dnl`HU5i^I2jJFC~V$B$AS5_NqSRLD!*5$FF zG{68_<|(qX|a)FLBPQJ{pcat{Tq*bYehIN?rDv+nHjA@ z{B&`TdFU#yB5+x{@wQqPBI(vFM zSj~nfLUkh@+S&h(eFtMl`6Qsoaf{ENFn}GU4oL97~>s;~1l6 zp_0jATU(pwnn}?Cpr@iHSxa^Vl;o)!8b(DFe$SMGga_RC^>dfV1CIEbJjq53N9BM+0fORV!vquNEi31KZXcr zVovIyT~|m^J~gJkrOQ8FnFBWPuhPRWJY{qv{1a4(f%A0T0jixbpD13_`CPEIxj?C| zh(9dB(m6~3kYn(_9x|$@lYGHhItUP-AttMu95BdbVTBUs<{ZfA)+u$j->ZF?~zD&hlkYS-Z4 zdUg84Fg5`Jtoa1%0Zt5l5%&)r4x{s(qcb8o+DyJ%*f=2eW|hLxz^C z%Uoe`+P8Ui7bo&NY2g6$ld1L@q4hE0oWA?1wtxC;Q;Vbln~4)3OhftaV?;pk%oaI* zHHTV66|68p#uuJB`VQ)W(eqX&=KcfPJ`cZMygvA9I1Tnk&y(mF)r;on+D+wMc=cw)3)2ywC?pzYPcMce(>TDE-p-< zReBmAfAL93=B)ENeY%<5I|xbAci)zRWNv4yt}?@58#P(4N9UVT@Oi_v$g0K1X6dim z`Y&Z`4>R2QuP?K+l9!1)D^7M%+%TB*o3Aa*Us?S$K6@1OPtWiuweB=ZGDIAg0+h=eiU^Dih2v!Y$-vOiFgk5@4P-+jBncc& zwJAd5)B-siLqmX_3lp$tD`^ zEa-l4jz=3!s*tcXTUK|SLE4|4ITMp1v@kYV9V(&1RQhhxh6a~WHr2FdUtU7tcy+Nk z3}B@33mAo-ewoQDO9ejF4aJc^r0|@Tg-v6vjC{8+WxC39UsU))jFQIRC?RWO^F348 zmCTQ8_Ox!bJ`fx^TB8&Sp$sN0%GghH-2@D)iz4I_71IO;h7vooee^zN$a?-`EafVp z`a9C@JNL_rL5C2Lk|Nol&-22RtCVq>WM4F+kfWEgde?}K)>P}#@^!X9x_mCo>LC%_ zkD=4eT7^1qlEu?())@_F)8Uxne_lhch_gsXOM;{3YJ|bP?-Be$!Bk_DaEgNctKd67 zK*svn!%pw0Np*g&LXI|;!h7d()mdpk9cV)5`HtV^7Y!RHhDArmO&J;6!Sxz|Jl+em&`cwlxAz-eLON` zUR_(em0Va;4Wqtpt!~Z*z!G`L#e*6Py?zRQ_vXJS1Ngn=brndNX|zA;Y2p|vsKHFx z4auLlP}U(t1-qaJ_e8rj^UJWIFxfD|DN#mf<)R4HD94r`a6+&k4%ArX#{nT^~Q@Ef~%(EG||WdE5WX9h|%v4B@w8 ze%*`ON||Dah&i@7X}#=fVI~n=)H%xgPT>kr1)#;ocIVMXBZ`<+;&U~)82?-S6C7&v zygZ=Wt!Dd7XtoI{;7`5nNmj;KX-6M>A@kwu$dS0Q zqYPAHAp8XIyA)EGTCmn62ue9FWGVT}ab05s$Fbdy<|m%Zs7^@%k$s!6^7*D68;~TF zDSV^F_jYCCWJjz0$@KTlj94h^wApATM9>Kz2tGBteb#@y*0O!>I(;So_;CsDJ2Wt| z@dfAgW@q`H|LwBd_FQ|^Cq3CL9LnW$KDbJ8j454vJUixQuCtvD9Pc9g_3pM|VMq6# zYpkGSF8_z80{(7mDohrVI5bq!E$Z6ZGz27c&RNpg+W{B>@uU;d^Ew;pMzaLtK+!Ox zT875;CfpS9RkG=3K}i3Zl9^;=2arC`K~72(S2{HEmU zY6gAXq?Q_{KtzS479W_foy&k!4wTIx!Kfe-Ll;Ln6UuDhhgFygbwjj?Y^)`cfhHyCazS*aKeUi}vHlYV?v~mXphpg}XK(v~89skYhXJ{$(}zrKzcf&OQfG&Q z73jv`8*v2hiQRVAE5-fbF9UMs&rb7aeG`2tmT>H>cZ+RYUpBJRl0ocX*!5V53$DnSCD^db>gz*2a5 zQ2_e=Z@jmPU*0KY3fS*H)G5aQmNBAHFwLS9vr-d}im|vf$JS_TuDzO1PqtzS@@tx%8R5NP_y>@!2J5+y4vbu z=JYUcALUb91kpL9~z(c%;EZ=o;x%lXdU$={)q`Q7MGYY6kG(tzMwo7IK+ z!OZ8Q&w%8gO-hRDtgRlKWG>h%&2AR8@W8WwYF>D1=D2o#F&~4L6q!BS{kGz~SS2vg z`^Vk*9?Bo)7wzXpE+u}}HQg8}{iP(+b5G_>p_wTp(=6kO_CyZ;neE!~cx>DDFo-R+ zx$lFJ3B%tmg<-}O(R-T1lNkPt6L^vT_J!Ye1X{!Fwf?uQv-$HFHmWzq-xuXHbdpU2 z_}aGN4+!h=&EstvA^fuFv?eHsy1?Z0gsOn?*ypjLgO}uYON&l-m*J_DSmd10(^*@C zroQKP$n(02n#FQ#YDbi_HCWSA67q#1=L`8ftsKEItqcBJ{J0;;$c~vc0t>4v#Rc(A zK}3bXPk!dhRPq{l?1mMglu8qytRr2+VIB_?HFaQh5he6_xrDB4Bp`66hi*NWu5*|c z1HgjA9s;zE34EuWsF8X%^J^3SI3*xdGGfw_Z^4Qw^QB!-aXmDb#DZd&0(2_4PA`N- z__}%SE|v~;!rC|Y{dwvrh`eRJOy<){;{&06m0Va^M2@1UZfyj1GxkarM8E0&2GJZgDKP(+ zp~f!8A`UVEO`vBtn?WPS>wk=Z!5YbpG6o26AS07C;0PKb%lMjQw9)tH_>D%T;+x{7a=9Ep0@8P%kU*+u~0Vh0&!x zhf#72Qi)@4L*SWb+E1)S8b|2tA}?{h;(!Oa`Q85a8%@thLvw5vC^nxW+98E0-&f&= zqJG9ynjX~2V;2+dk{$qlT~%ll`VSEkG7$`A(HZ=4puMXncPL`#&giHKy3m7?kF`WWwinn+y@%-64q{M62!Y{K1IEBrXZ;W=Z@RWPYS0sGCL) zy?=dfm18;}bD;7oFC{ex;|ET4dtW$4mTSUlv5<54)3)M{@a>J zC>$euJ%7|{@Db1(#x0~V_aE`!crH#8%)=4eEb4@2=nIFgw$=!Kju7vQ)=+VEky=(k zW<48OZUBE9)otLeo4Ec6*Ws=2o$MVSp3WKu_L6OH%Z&+m7=2@<6&pX*#^9%J~Z2NNeRM`#quXy2&m(ri-awkt5iy8 zA8#^n<2$@;$ql`eCaY4c3>B6JRl zMJ*9&|;h>3d7ol-*rI*^n=v0y(VqmasJwJiNWd`qSR<^|psnnT{vzvCTL(vI=_J^NMk@o;tEUEWOeH+ie zr&bOeQqZwVU?!4k3{V33-|v*?0P@Lc6py+R#zh%s3C+{{*=fwTA?SNy=$}(*LIEQE zeuNTT6rTnYYNHVVp+vF7$w8v(-$z2hxk}Y9c09yN*~Jw&xD+h@{;9--tT~@ds6ZM- zF|ISU1HHR*#Nyot1+y}u8eO4__pLOoJML_?6=BmhFSn4m#}l?R*S~5Yt--rD%;~;2 z%V8M(vu)U9!rli*Rc0V-D))!0iVQ2Nm5R2mHSgVLak&!@n@tCfz4CAZb5?u#Y{0n8 z@`v^_u}m}L&;-k6S6(8>=Pe)!Y^IIMv5XWM(AnE7ndtVG^_qj^3W{=c5)J;dv9U3t z(;z=XJ78WLA&njg3;(iH2(zLQ6QL>elO1%U%CO5bgqs|k>=7Oq`bM)_8u;C}>*OG2z>%Jx5S&j|Z=sk4Z-Y15opZfmDqSQW zY&mOPW=67U0wzSK;8@*nd9=gb24Zts2VfC3bjOlKbe{s(!Jlwo-pYm^c|tz62k1Y- z1InTESd&vr8Kw~#lqt$_`ibKYr27~fChZ%GwvZ8B&+hR1o9X-j``lRZ;btj}NVhLR z355dP4N0VVKvdfNd@DO;{tIgPZYwU`=SQfRoUPqHi<>yDE~;1%rj>B4D`* zRup0R^IONd4ToF)J(3^BLMx6thWc1w51=H&p1IJjvb z=z~eonIKi63;)w=@wAwuxjy@qc0Tj5!d0sVQ?2|&Zsm+7h3mAu_7WWPh#hKAGcLJkLX0D<;t zcZa4i0808(o^8j&`t~6wNp654-_gTl;)@QJx9gkU z+!xAS-0IB)XUPWNEjX0TV*ZpD9iFD7J{pfK9snkHivG5#;P0Tl(f^I1FD$gDq5}P3 z-0FDA#Y!e@j|i;rcKfU}Dc+~#V>A``7BC{sacyycS6pjj0vRd$Iy$Id$iC-#x|%6l zO4{6pO_0lzMcD$hvWDi%BMcC}rISGm$iZoPj4C!vzD|nHz(@$!TLqym;-oJB3?ue< z4d^2iX*|WVB@k=$l}G94IbXP_#S)@T+5s4*QuOVJM*Z|~$b1iw&#*wGlVqQgU@?1K z*&^F$@=c9Y(MD`VpiIr7C#IlmVH}#UD_v0aS~l1{tyG zl$hydRgYoeA|$Z{@zH>Bh%zo^EGmVy1FR79>s<5=ueK69r!vYV2iOQan2RfD^4v6m$G0mRaQ5^|Lb4|NbyYyMYE?4zf@B6Zd>_SJXIj0{k93W zpZ$h?GyrCttZ0^dAjmD~r6RG*w<4tV9x+E-Zx-{KtUn!nC^r^33nu8AQ~n5>A^ue2&olk`H7{ z#7Zw0eH8-d%j`-_Ol?n#k1ej;np$uWn6G{QbfpYG(v_>E%w}?g;KT;MyxWhiVAT&m zNQa9Y6~&`5LmbjouW62b6OC2spY<_2_usrSDLIOWA8t0%4v>7yo8*64v~O-uC>aKz zRnEnweoDDHGXO~Z37PZvtfYRLyRs3De7fUNvt@?GH_E_VETd6BEJ|~yNh;aw*{fR% zfz+duBTWerjw;qB`qOw>R^p0Bbtml`zJO}tzs<-Zm4n}KP2aey9&UB(xRUeUmvTc6 zb_#_bpTd4oBYb)rWz)@%7Av(0Z?rk{uK?dCubWx3ct0#Z3!4aYv5VQQB0NwKA85b# z5<~yj`k^esVfompRp|#9M;z4I8>Q97q50QIELw)j<i};#V5Ay+H)25JygPXI#%$v-%kNw{Oo0CIHg_tXPIO-{B_N*yNG;Y$i#p&$M0~Rc7mL}2EeJ=ki2zH6XhB(cOMuZSq zYJZ}^!p4q@!im($;hf91NUBj~;dds~XT%29pmfs9Lg>-#i+zv*PU+p^YD zH?DIKzou=F6@TRg?JO`X3WgSKy{FEpG->`x-0?8D-OZ4u#7O>P*5Pon1@XOqKD2+@ zV&84q%@p9;GX>Z+lgl=_}o=O_@?DC094p4*k>KnyMizn5wOa*5SGd7hclt?@9R5-0zgn#|A^Z8 zycOccl>ygbqgllB6v&ac!gWiTw)2pqcci=z306R8<(LT!ry}2?xxM`!?iqWT(`m3} zVq&C))Vuci*O?%i!}BGb@&*`Q`!JS} z;vxxkcJ;bEsTjHGed|SeF?%uX5^pv%)AB`ebCI39@exiXDnGnZ7I}`ylT|`JKA}eU z?ZN!p3W3)tMpo-M3l-%)u$};RMo@IMeRqJN@M}SYy;Qhvrom+ zXCv0sUmw$~0z_{&wi%L`3_Q>O8W1^!)Ktq}+Ngxkr8+qR-cXK0r&_02kwmPHPUUrU z?)d_@e~te6v*E;c-II15tUlo`C4Dr{`mLd*O)W<96Ebk+akvNyB{nv>@!sRz^($JK z+BFgTK8@;Fi9XqS`+a17d>IQ?C&^WMsEpuLW9@8u|ICmys(W{I-xqD5)51-{_iN~b!6k&-QfDv`yMRg?=oKWyMIdArr{S>i)CNMlSS2?J$<)hhV%Adw}l$KOC zrtihoKbe5d(4klWSPl%QGC462!_(lsPVReEs)L)53`R#GXH_efco2Zj7!x32&wXs3 zn!onaLY{mo=&^NV`#Pv4AhLjLm5v0qthn!?oCfGD;f0AD`>`C1CG-0@^!2}Vp%LNx zwVF)>sU#^7cl}aY9VsDYIP%3u+1#)QxROqp4RttifZd+~kU z=MKkJBK5qQ{96)EfOn2jWW-q#oz9=S`_g-@6Kg^rvb%Vrid#siQeEUfQdm{71klIAKF+hw;d^a_u8fMukWDYUlI^xDHvoirVZs@6hU zjsPRBH_kJM*S$H@-gjtJMpB{Zzo8d~UInNwcV`FJ*z7B2npX7gne2GUye{N#5z1x? zIc+gs_csKQW#dgW6&MvAW(nmQ#|lu@(lsSgp*3F%n7+x&W|;m&@1^+LRAUA=d1MQF z-EL3=?2gUfBI4RJys?tJvS#{4CFWF~()(@fH#pGJJUn>TE+C$Y^VAsLw|y_Mo~*M+ zhRd&7N0?uJAaIHm5&$bTGeyYG%rGPW%~qrI1yG-U6nxcetNZvAB#TW*$+xtk{y4gDS-4FJy6 z7n-Wzuh_U=pT(^V6vh0cUMN~5V|o{|5_DM@KQp7Y^71Eye3kJ~ddv8|M~QeA9}ZDW zuaQOKE@f{p_GInpJg*UQTrOh?ED`8ED^tUvZP*7c!!}6Vt!&f7|7*u`CgN!49WXLZ z_@^`(=UeLbmag&FHIjVqd^VAq-t*!Sg&vXPoZYOP6zT8dw_edJ8FvS^OS* z8v}S1&u7k#WB?{TpVPQYB}VKJfHJ~Ieoj#d4r+X)yjh9O990vmoF{vh7kGr$81s5r=BK5y(tA=Lx-G`8m zhaZKHu#zm(f6W|C^kr!)Mw9a0%)9#Dog94@aM_qvH}Q7VwJ8(!zHv(}m^)o9Mo}U+ z`1Z%O;>5@8IvOlLdF3-PduBEoC*lEbU?4r zGTIcJY8oCHDW6jgHOlyD@bI)L2=JT5+p+3+YSI#FL`imfERleKq!c45wDsP8NJ{9D zYTNAkM2Pg2LretalUgcw;?8=0eNVF>8f7E7R*FB7{X;i-+xHKR$o83@0bOF5(2T3W znU77E7`?|U*AyuH5x5DZHI22c?QM@o@Q+A7)2*nofs%?=>P^I!Zd_4-IFJ*E&hvf;S&w;yz{;-SB+_PixvZdS%3vfOA&yqLjAA>QZYD>0MxU0pdclcUg0HR1 ziA!Iw*6msn-gD=`U)3nJTU=H73 zYLoOmAr{(rWbJZ?BNjj@lh1qeVP@s{I~608eb@YQb;Z;5#AM~<;vh$fiL;hha>_-* zI%?Bgh-p-4fWK*^K;_84vhjQVV&+BteHUS!MV-*kIf*cfRMu~LvjwyJH`zfRa3_;S zN~9~NWKyGhx*1@PByG^#Rd-G5m6NHAP#pX>n0c|l8*{`C#P1Tl%d+hf`AW{OWn<7( zH6rNXX5slg4{86;BrqFQ?$r0qQY}eL!RE@!ihiM%#&+eJj=nB1?0K}(7uM0#($elS z<|(zzK_{Y~O`42A!?pwblPME)SxV!qx9e^L{cEim5^MUI5+OA-RC?ptkR@5M0Ge0; z+0awtr`VuVg%>Jjovsh+I7V>a!62%WAK>PH0D$lx|;H@ss#=-MBuV zmMdWn%rNUWwO#gp^t~=Ic#-90SoPUOYg42hYl#thaazp3W!CGc5jtk9fJf*ILw}(8 zJ&!Wgi0G3W7Y-0D?vvF8qVKj*T-fB=Les*GK>4Sz?mibW@6%N%WJV)&c!HlhWd!$} zVTgfAhHG|?yP>|CQQQbm1&@__6Y5m?u8Wo3QA1<&Ua_Hsezp;q>V6uF9>%B6E&_c7dO{Ud+(W-K#Na5>2}yJp|&w+3el=C zRm5$6a#itphP)cRbHcp^3au{qdFf_aHk7kFlIBycataH&5~ zhRQ-_!t$tOV9ImODLko)G)YYQWmZmMR>UUvQ_$DN)$H)# zmmIpw`Wu5}_z$dk_qjJ*48U3?y+Z;m;ztK%5TlDYExwcZYs<-B;YOXkY{sCcK&C@^ zd%qHFw|^2p-YPKOJ@G|8Eh;zO$oNEKgoEHm7;sDSr*!GK{c6&&qW!_Lh#pBimhNDl zT@4-}}{x|XHpPNN_ny{L{abaE~_^G-`K{VsPP9p%6Q9k z`GSa&0D&=hH+2JEE2BE1U5VhIE7yHG+Zo|6RLVHG^*r@CdkOj=Yc0wWhj89Mxw4 zSN6?-38G)v?Wm(33KZL~pmS^ZM<|P<)!Ob?i5@UGc3i#6e#DZ>4LrNk_C+UG@Mtkv z$1eu~!jCNF2bf4sHvXx)0-tXiQ9L0)i{O;;-LCye!tdhX=FNa#gQ2|J&A%k*rWR;|{1fLy0VK>`UWbHN;2k{lj##5>G zr-7bK<)b+aB<1!{2e26L&hr-MuN}rI{eD+a07EAX2!;8JLwiD@SjbQT zr3<2gY2XeQQfRSKZ{GQF%i-q46`HWm_NBqy@|0AJkKO&rO5Jf@AGSfW!|`IsQpe-k z6Le?!dSt}5-DPueyACfzi4TM~MQDTtI?x-Guj>H@TsBrzFUWtGlHwm85l6tlA(egV zXTKwd%z6CBS>{|Rbbh~Rqa__pQpL{BuF9^GJ*zXiNa>6Wpt8f?n+4>DXMvq8itu{c<7$JPI0c_-pdnz&xT6}#@+hX(2DHT-Vo2U1Gp`>xGZgcVHb0`?m{6UI`S1Rkd7etifD{S&y7J~Mk7{dzDn$85W-cW~Qrb3Z2Z*pGqec6s&ZR^O+Eu|Q_^ zSFocg7J%y(qsu)9|Le|&5(M;YL}b9){#II=E4)d1zK|EP*5-BjXVa|X>Zt}`=fp#J zCB=Pua))L0ezM?;L?BPfiT1R9CbP@&D%bmjZ5yFOzS2VC+MQYvwh+Mya3z6;9Y&R` zI!G~Y+R_#aUU7+|&km=N|?Rfka^^QXsD4+#%(nv;cE(__2*_^G?Jfm|77X2MI~WS zC^}F*tHTbVQ^Zn)n30i0uoh9~<#U5c#E7j8nn;)tl(n~wz+OE}C82bRo9bdQw>CSh zzDD2^2zs%4>K%tJKE0zivXT$-`}D`BgM055jtH{*IdEAmib5;f1YeGV-Y|RJ1i7xd z+p=YHDHu`HMD$-o$8*)y@2U5x4e^nz1Y3e$^8aB71+>cKmk~2)LkM(d2$NM1s3pdCHD8>W2oYU_u~7Pf-hP!G*Id^(V$#K)`^D9JR5-(p#%YF z>TYnL{Wh+cgTKaOYuWZ`2MQl2bMby&r5ob|o72E>id5EFiDOeFIRW3jw3VUSOjjQ= z)Jez}^=$@4wi_0{e3usiBUH&p-CcTwugr6+h<@(SBCw>YN8`jxT`vU53>a;(D6wuI|o~vIOhr2lRc6H~VZyMoFSN z9`2{K%16rAS{)y%J`IJS`d(g~%-$3foKCYkCyz+R=D&fYW^)I`Njj$DBoo2h*>RDn zL3qLcye(k_8b%yuqwe9NVC0E>GK92=gP2;@V>R0;B~1JmpC|jrT4GG2sS&GqJ}Om> zFxk&d9A(h!jXwwa?X@2~8?M;dMXag5qsRV!D=e|%k6olGj^VQqZu(lK`~!?v_S zR!a6v<*)E_k4MEn<2Zv+Nes&r;?kVarYtPWIHa8$)jP#FhM-IdbQ2?vk^=t*sZc4@ zw8iLZQ3mg&udS{o(mW!f`oY2jy8i4Z^i{NaV*Eb3ZiZi}qsA62EZMd@KOeM^d)b{w zbX@&ydU^xMraK_q+}IF<5ag`e;30IH2%rFVm!0_16lt(C-r~$aH=pjU&(On8b2M}g z=PC?ZoFASxUT)c8a(E}M1c#WgKTt)!mD*CHL3gVw6Iiay0a`%Nxf{NQ2xrgyH%Y3v zB2b2+{?A{v04yK|RJMn;--++@knD7-S6FOrXi=hsL=PekP8Z`?OMya7=wP+WXb2x5 z83xMLW(Q0O_@E<5phS_d65`_FA^D}A1TBYq3gCLN*jrALIQ2$<_q;r*63?iB?}lWW zP}vvHxo^)fP@Cpu>@#=V4Hs`d+xp(t&1`o?eQc;BF?|jK8mBfcSUWw<=lq)aMT3GW zBH54EA-Gs9t`gErUm`FRO(ukS5CP;T6DyLK#$%=Y1SI|uCJn#9a7ue*d(XPEFsj0nw-|#j!q=F16ULpKo@rN1dOXm^f_oPpm!siC8;Xb~|0+$Bj%z zZ$&`j0mLXHqKY7jA!C9LeugYWowt#>s413B=+xH8DIDWY0P4D~D1j+5{7DGNbj}(8 z5#}LP*)OSRKtH<4{T~R^u!;_!{TVIcqnjGvYi1!|r+Lqp1=^6IH`JZvuV)_~&l)xvZ`z>%OO-^#UydSs>5hh_ zBmHl+)8Jyrq3v~>pt_{lvGn^fDI4Y8b2Oo!5_P#WDC`m->yxi)mPQ1>>Dah2>)c(d z&+QPUzPH2O_7MEP=bN+utQ2MgFW=Ut>;9>+SfxZQ&Hk#mrFIu*?ZCXkQ7HCUul?~Vyxbdx`i z%Z~{=ln?l(wvXRSjkZ1Szn1E&52w=3&XCyw!Ir>7Dt)Hzv2qGa-AV-2a zO)M3vrwN(E=<-;3XGMlPr#TvlcYid!oaFfK325~n28KybKCgaG@tH#d|kYI{cNX9XLl@9 zA^vyt?A0DVR!SJ5Q&tW;;FuRMmLhT)5MsX9mKN#Sx49o@3}3ahqOMD_iJm=$)Y}=g zVfsG5)DrGUK3=2BY&V6EdQRqN7|XO>*oTFkb3)lW8~hqUtCxP$TclAspCO0D zM)QfwIFXg#SiZg;cN_VE0HeJMMJn-glwdhC9~`73N&bcGzoS@3QRlWRSSd>CHN%m& zm~w#ng~pLe<&j9^?Rc$BA(1Co4?xFL?2az^slR^M147X2Q_s3zewFFIb9J5oKY)2$ z!f`~hsCvz$sW(aj#Q&#u2ku9lIflsV`Bux3x4Xm? zCAiyyvdJnw( zEf)r+&jRIro%)W5dZpmpK7vZFzVj*D4}BK7|I)&Oos9y8Mj3751g#I-D9N7;lTAA| zF-HP1ToQ+p7|h`qT#79H3(wG)4H#jH;4Ffq#&%hiNHw86H`2oo_~8x)*xjD2iuKOS z7y~@+Cm$PZ*TPw4OBFMOumMXtOU`;X4}ySo#u{aurcRsI8qcd~+V6_UfZ@B39oGy> z0^aTA5ypMdR5IWNTM@5F{O?J9ySYk@ATY^CZ^76r0fv=urD34v()6?F~l4Nd_iS62n_YY-F4=V ztBpS3xa;iwJuhS(xnuGUkwV=`ccfrS{0*(Ho^_N9so6@`*$LIk=aq2P0|KsD{l_Qv zk}=`?lw8J3Mo->A@|QhZK7&-B^8qOXU+4RqorjdELP8=xgeugQruK}23=Sfm%sZ+N zHDxPbsC4$T#9hb+JmFkTtM_eraj!OdC~I*zoBH|IIo=RML=uzU@wEq_xvDNMUkDk# zX>25z;ZeglCQhnOKS6&UJ=x<~k$ZrT)9;Q^UF$JVYr~a63HVef^YHX$Y)z-*_T}%; z9_}t)r@3t0`1FBtDyk$GzB+Xs@eDhS(LYg=O8QtLI#75-aI7j@hWzUtzpc-H#8}l7 zzZE}(@9qVAErR$l!%KJXc2(E+_&F+<4$$@Q9g(}dQKb8U+G#Asv;>{(_H-dB6b%(N z(%s;VDg4y&WO(QTO~aBze8I&MK3v zOV={xrS^uitM^DvXAQH`L>;Z6(HdQ0?g6jDp0D@tQ_Bk4kEL|Sk;`=j4;{#A9(=VJ z=qDYAFA;W-SiosDd-a5U={wv9x%{SCvA@p=YLSq&4F4@1OCevS%%VY;U|LPzhGCo4 zwv%3S>(zKF0fuV$(}m;KrP^0&YJxzUf7y34TYNoV<%`jU?lM-|wp|J}OL#wQP{je! z?R1OPG!$Y10Oc(e_{}^B162K9#DKtDo&`Wp=g*=l@j)2}C(vG3LH=x9{3$=gm=;?@ z5i@%a0Y6rw2{TgoVho?GOi9Qw_jk;}A$EeJM!*kxL*Wy?pL}MSRHO_Z0;qWVh6C9eq!8lT41q|C>kQ zu6c!sAOU;oMGphy;HzKtx*tk^DMI0-nn6>UU{?WRWA%v^iv=Rk8I{{(0Ak-t+Dw}( zeqkP#$o_n{t838yxomUYG29zGVZq(|ZV69e0K5eWV2bWFpe2FV%lFJDI2 zJkkC)XXy9QE2gvP?w?LPMw4N%!${WwrJ0#!}d_J9$&>h4Ej0=^os zBX<2U>TY$v%!nA-zp?&FnaS(;0`~N5cyFc?BymRUkDE(-15?#wCno%i%axDZ(-};x zR%Xqz_wHdtJ7R%GGT=6c5rDivp@{%RHxzj<8jThAu1_``A12VpN*u!4fR5!Sb^Wj~ z^;^kN?;SEy4`%Lf0NXqGU|n5Xu6mB-5kj$(zB*8&>oYQPw>pQFLLgx$5_l%?b6{mv zd%c%Y@!~SwOVDms88^T1<3LR6_2Tr=P*YWc$*PJF_hD+jgTA3o(@(TIYXlBz@ z)9z%PN#Rss5;@cF-Zj|-I=xI`2ZiX4(O@#qqYE=3>ylsf+Pm+3FdWsN%ciWK78^Qz zOs>dCTdhVk^A;?<9>QiU2a@!C*82s}lKXZr9cwb07Dl%|{-Vy*GDH?Bk4*f9q#pa3 z0vs+$ksMB4mc?H$grB5-sVPcf1S;P0-BgARP|^Uxzoz6#NPDyWGWJJeMF*5N1&aB9 zDj?K@ED~zXo*M9U#3rj#!R@5flsoP|wR+3Be9zeamv|L<%MdkURM!B z+#diQ77oF>*j@LEInG|f0zb@bdlD%XlSmB_W?b-FD z@(sWLLyz7FNr^E+Km8U$1r4N{^YA(Dd9-OX>`U;Pcw z^SbZ*y3RT8Q*7r}yBN3>KT%2=yPki&CFShqW-As~4H@ACu#r`uHh2;yA$M1wsZz6f zmc4&>bLh2h?_kdz_(*aiEhWobpiV+syfG$=mV+|hxy`_Eb@C*x`9ZQCbaT|zX6 z^@oB~U2<+>yL{R<(X)Iuco-c0Re$dAX@u6-?R}@szZFM_qT)DvC2K}IV{zt=lNFIV znSjlOM7gW+#JjAFl#hgD6(x~~RdgC(oi(6OLa44umu&2+Lkh2J6W9>R7g9|--bWl2 z#JM}hyaEOCRz0cq3qvGTWwUP4=d0^SY!t(G@YHYrvi9_qJKyNM-r14if@$3(QObs# zrl(6i>`S9ATD~aNyMaR=o{vs$TV1iRyz?Rf>(MmZ$Au_>!b!UY8w$ZI%NVjQLDQcb z-q-s9KD&LJQZ8Crl3~_tA3sY|S=Qm`!$U*Pfj%ws&BAmxeNB1@a!8WY84iJiZ^PKl zasCTUeZ=5J8$epy_mNsz9SFWOadp@>t-bh0?ezi%K0ir+(h;~jc7ImBN+(P~F|lH2NjG8zA% zPoqUNWF0~vg&_txkWvkpZZnHMUy3}UIZ?0bLPeoeD6j>eDg)1i0P$pyMve~n)NUkw zyqzd}Kd%f7BJ>rlIV{5fHeC2aru*>)N+!CpGM&8krZ#EXxuClmx=t^f^Rt>cu|06V z&C~zvriHA8aHBMcE0h$#P|cPFdI}X^DPiVPR7_Mc^t`kQ!fp`Agb0Gb>2Pg0IS)#3 ziQ)pul+<|gb>Yn6=0_fT)KI^b_8x8R$MslCEgPIhl-Frq78N?3B4)1G_0DRf%$z1L zgPfJU(QiLhG&9qbFgQ`(Z~tWTrxQkZ4bNqD%gcI=J3qkut+DOGxW}aH>vqa?0du!z z`#V!9!m0{(eWjZsc@X9JUkVB$R0Y(7bwJ+l?{Y;4FB{CpZ+&SH%z|fi_}l>Z3&3d# zQl&SD0;OpwBlO@88>yxdsiDTjxNL%uafG?-dC>cibP@!O+)xul)6h1Ii5d75Q?`K7v;FK<=qd5G* zLSfu2B+)1927}aAHmKEE3vWLQc_w(I${Ip{Ue7`qfU(%w&z=X{kvv_?#ZxiEZYjk|GW*e zH+sU)WFHQ0FCrYT$<3{)!T1$-w6%tUJgMwvfB0L8W6SP+Q4|rygTIBw^bQuK)^quy z1rv&4(LY6J=N9ZVsor{by8NQ2xIRDgK08a1jRfOn6RYcz=svU;7PEEA*!kV>oPl+pH_QG@m5SB9A|8cRirFnDx1ft2;s47{*hTE zW?HX=4O-}D$n~G}ErF>bg>R`jrQ?p~QFqn_%33 z1b^Mx?HP{?4uY39qLHv*UexRC84JgECi*vzQt=;QYzqfPW5`W$ZL|WSCSDh-nAA1v zEWPf%zZ3{Ux-0F@CIrvO&Myv{?E3Z(#!@q|Gl2;>SIKb~-=T>(bqCFKTkzf#6YPx+ij%H~rl5pF6Ma?=0>f z3U%D9q%GZ?)lDZ^7@1RWj5}Q=?l0UIpSyRye6x0c*UbI+{fl@wfWOtgpl;`6#R9a~ zN2S9lVAM(qTqGoEnz)ccy%=c%!PHPUt?25jom2&n8wI}eYW3DdpdzUV$!54e>9*AA zdped|E|6a%7aEWGrd__EWepfT+!1BkC1k?Cz;@W&Puj$4I!w#8{}bgk8w3nkTqRO@ z9*io>3()mt3_Bt570fS0pJnY1ENZu&aHevWz!FFe{R~y2*()z1k1iM4V}`5a7{T3X z1R@@Nay|-*gI5x^k(=eJIwXifd`o1nk(9UpdTjD{>Rw_ar8iU|gtpLLD3y{D9ie!;-WBxjJdA<~&Ni#id@I9}y1YH~$xcq-irNrk*CP$?j%@ z4&B|u^{Si4mfuU7k(sqPklUj~Bu`aySKOtI-OAPdSgHrVJP$G7z@FHiY=azs88b+v1&X4obtL5It`oA`5jlY zDj_d(`{ZWo#enA5+^cG|tnC#h)*@pPhDan0v4TbzFU`j-cVZ1Vt6E62S{S~wXfX00 z4z(T$xp^NwwGb1EyrY_}f!#*(xk^4=k%H^M@X*lDWmTQf$dqDvPI)==uiNy(59>N9 z2Sr&F;rh0TD6J&Vpf5|T6h}wh!)Wg(Er*|K5>urhAyyn{eQQF^F2RJJf1av(+s&{3 z9(UI}d>V*28s`}yu}>(L)OI%Ue8JV7H)RIm_Lk02$}zCW0cd3_c;uD4dKKed8L2$X z>r$&IQ2s+^Xw>L~c`g-$ zqCv8Y0f#mu1a0?oC-KPKz`WDHO+;*phlAC+#Kfcfw~~?4Lndn6q6R8_&^we~8gH!E zDI>p8EXtf_K#&T80F^@7A$YN@=Mj)eX?Yx=ed)18+hsqOPmM*%2&kB41T-d)D~c{j1aGxAs;o zsJyo?eFJOp08{@q%%a=xyhNVUOdB$pjzSTt&0j=C6VVU@t?Zbm6{_BGa+8rW(T_5r z*6LtzO+AUHv1s7Q*tgvU;kcNJsZSlREp6aO=y%6 zikoI0oDH1Nhq2BeIh)o3XG;ZgiaxQe9J=CeOYn^IKtUKio2**VP4&bL`{~01Y+uc3Xc=a8pxnErqOo+i7AncrQ3O43`!C*(Fy$bd)|nS zm8I>-h`Ah?$TYb^cW@9-e-ieQ>2b9TDl%i-7HWS7;@C%T>J*8vm z6>dO%6MMJngYxoqSL*iPXU0kNhmuN z1gcl|r`WZx@0-1iNhRjycAG6b}w~GKVCt`iL?<-JcbNyfdJv zs*n`V6E{L>(E`i{{6sP|B?$w&DZxv$I?0U%s2awf48+c$VtrpzZy1w}1M5w%RRP zl`;M$@NrsD1RsZ%^`k)@r}}?N$%6wz@1G98gjKi#`eIQ=;+6x;^VeW32|XMP5{lBJ zY8+IN3!Ct@ZxqKxL1>A^V^(>dmzdNL>hBj1m*<@j@NR{t10-a&g8&eN2EYtVs*8S} zHU@PH2k+qVR&WAN0&6I%!a+B^9_@GmdbB|(nUVs9Iq&x8Y8kEI1-Ke(U!^^g2S=r= zyXeaI-WPu1LBf0Kirz8Tt-dD9Oqf|ZL|-L8kz~aUjTy#i&H!V)r?b-1PWLf)x&{`u z#!a(qYtWHzFhviu+wEW9M%hg4^j!dc&~>;X-s9*d}X3_Tq&b4ttV<?AOFh5(PPp3A2SiCczr3Z;wkwKHwlZ z_12ijd~paAIC(LOxG;L`Ei3Ax&T~BM@F)zPcFZ3<^W)>twS3V15O!vX3%nlEs9^;@ zwWZ&m#7p3KTS(m>ktXK*{~7+wOKY4I@bV;rW zi%fR-s{M^o?u#d%`g28|kWpe!b~Mx^`J}1moiHvR84j&JVw82BXDa%&LnMR<%sE z0DR}I7IXB|Isy;IVz})xH`aOgQ=Rup!+2KyGk)^;+d`YSyFL4VW=3Dm#&1nbs>Do- zF(}kiWw8N#VqZC}l&t2cU>x)yM9-(f6<)IJWfLb>-#HWXC%nnUr9YSG&$dO|#h=T_5 z`af%TMA|#jyZYvf*yPaxobVDTv?~IKEoPfrC%-OG8PtC(-I}&Bn%Puf8HXZJQex^C z$2njVp0x-%4|by32)mb(zq43Y9hi8dfcASvJ50ikf68mH$zxf&qNuLSt?j>hQ;065 zZP)pEG9OO;Kd63{RVkTjKDBapF9@`*-YZ3+kr7sU#D>o9y9GV03UibgB( z2yC=hi4Bn=DL#;(LJ(X*B4RkhFH(u7L?}l`WeyoBy&9|BT3d_oPRLLWf6=Gl3!!Ns zF(QEJ3nters%OPb@tPDx;ev!X*ei@&a?Ww0nUQ3{3UJdoMKqL26I44$Tp4mg z9m|EIkAJ|gM{o zK)_~rcz-|Xx{mCdtH09T67qK&#dSfanwmBEz}SD1*VjQ8bS~RW@%BtfT!h4{3=kG@ z`d2}1F26!vN{v&IWpb9n^=?HjpVUzUlzPf1^Eybxczsn!#BN5O;17uc!v^9(}_jVJm0^(d#P4!9}C+PBPVkekE>23zdAD#y!b z-m0g+Kc3gpQ`9t1E3FVfkPWE(yNl_s_lfuWotNnr*S}NOpRg%ZzCG=}l@Hqeedla` zJs#QI=(RPvX~2lrNFJ9j)} zlHQhNjI&Lp7T%F8GD=`u#>v&a_;Z%v`Yiv z+7cyQf*XJ^FmJXc)`A!&_)aGI@X-1qv z9@U&al(oT33+&?ZvVXT`?=DcFksNdt3&=93oj56bypqHJ7zOQM%0Bv+KGuG9V>6}m zQ9DO62$%4Cm&NT(@Aiy)`5;sQP8P=k!)Mh%F>K!}aXO0O7+GKp>t?ccodA>njCW73 zi>?k2dcWqsB?NNmTnhM)u-sr-=}=p)fVg{($)^?|I|u|o4|YG2V0gOuXOgvQ;7g!$ z7AMhbX!17^30bl9_+c5Q{)QS}r>@B31X`N^%zetRBcFTH`;DvJHi=q`ni*a4AFQ_5 zE^|18m-WpJUj(JoD6g?0zi-ntVE=vKT@*tyu^O>nKLIBS1*CzM`ur0?VWYOZ$1dEA zAp#^spil%-*=AJ9EoM}ZVV`fp$F_fTDvUP_NfVBhs;R7gkUUxLCa)SkA*~Qku(rVo z#?Ujg{(QBQ_VkSGv|Cx7A1xjtEIIJ2eyAys5SxjV!p+HJXnp7AW*7QLJ4DaDW!2B- zz1_44d+XG$if8-}=YuYNT&vO(E5IZx&qX}@{yP8e>#@W4rFKt$QRbu}XRo#%>sU1bjXu_gzU@Ll4M71f4cT}E zqoBm8pfBM5&ld=0?kDIp_G9y{(=S5f1?izYPyzwZQL5oaX0^c(fjYCN&%iHU?8uxS zWuErTf59jKD5^(1LYf}IeXf)K0k%KnJ*WRd%P-X@-T2~ zJFSTU%AOguKmVU+!(GtF0%6Cw+)(|`EzMy zkt&>Ex=Sfp%)A6?5p@+}^V6*|EsxdKN>qAI%F7c%(%H~5KB5xuS1$YC{)@c|fe&k0 z%*y8mXOW75r&GrQa$atA@>_em)(72fR&^3PNGELc*jsufMpFQS!F}rZt zD<2SWHTg9?FOU}>D>eT<8#amg=;^Y$v>W-YkdfL;i21dDxI6bZf=A^+2f1yBxXoEL z&G8FGN~xoMLSe%j88=}r(I!G3Nx)?kWVbwufyf&visWduPKnyZ)YLc=gJEs2gnyJi2`y$W*5B_Z1I1$Y?m>XCem$ zybYe_N|FgbulYEkrl!>|;#}wJ#mB#gYxzkkv&{Unp?2n?E+nrt{nTC8e)CI{ZVg!O zmBt3uyYZZ(3VhA3VUq zSjH_oQ93%gfea;YZ<|@q{H4F0yj7_Sf5Xc1lH)~mao`B>10yg6{qbx z5|HvHFtEIG(4#@ylQl+1^sbE6e3NWZ#zEkkK49g_KQElZr+UyI^-RlEk4(6FxB7vc z$(#{K@5*hPynoY4QhLhz-p=OFCK26z%rOgsr(HxmEAv0(@-Rsh4RJ8>JGEpzv9JPk znCKtw?e1N;zTT7xAwCkKpBxM?QYCt~nyjGTN6o=5^fPQfJ|f-<4<8*B#s;E(hiXoH z$RnapX`<>f-1T5GSTKZ*5UEd`H*0U)Q7c4P4i6W&3w4| zjFn7Rg%AmscB=5-_3t@ft}Xw?&n)RoggO7y7MRS|WekCa6h%=90T;sFTfa(1G`Sc5 z3p($mlsCz;cu;=rzo3HYSX$Um`0_fn;7{w)ov%rqGU~mZu`P$q;{wJOLjySX7y>G0jgA5#PN3feOGCTpmM&o1&{PmUF7YY|$EeFH(ez>60_QhHBEv ziu&qH@@7}1$mzXDtJ}Z!#Dx?^#l^(wKN4PK548$UI`6njTmSy#O$6e8nTVC*Iy5}I z6pMzAQ~9%3^Ah>j(~RiHvLGE18(Ms?TfCA#pXGBw!p#3slRB^V8qOd(Zb-OZM2sLbxQHs`;#Xsg zt+Kg>T^4}0Bok>8B2y!z1SirHYUZ|bTtBV^=5YWi z94AqnJggrXzK*9w?)}nd1_wBQ08vuXaxbC9$r_xgWI{<01}DedA09l>X>PmK*<09^ zy`0p<&Ds`J8yAl#fO7%T_Rk57cS`o#FME~FpsoXzibvi4lERN=Ha2w_=Uuf%RY0%b zJ1Civ4}*+h^u0p;%0i5GSP7!WPEn8MvyVd&K388)Bu`z}Knu`K=ll4XQYnvFmGL=A z?daoM>(g%~JvkSeF}zGY!Et);jmvF-<*ni2{DAxBJy4lRZv1hTj_mpT^Tz=H1q)L*x{}O!Ap2SuW~I*%sY9wHj?-oCPNOQ6{6(1K>irWk z2u)NnN81;_x|z8bI*$WS4!?TuxvQWs3mSwNL?48@Ke@8}OJ`fY(NCwASXcV;N=8OI z4x7}$GCki|C>zj(U?SM(&DL`%=p|6PS zYq9T==a1gJsuuMZtu-XpFnnN40pv<@3GfsIE2xD?dQQO=@CUtu&KKXN? z#}Ej~{#s-qn>Xw@no7H_q7qC<8C)Ah6))QMgJ!FISQS9}E1~Ex>`N|lxz7!>9?*5qH@pH&FP&GAPF^m@()>Cif<{XW#(57=Jugj6|23`lhkrqZBYg+i- zbPqe$Nn6@;JqhRnM|lcveEZ%~F1>m3%0@2c?7v@&zcJa<(-K5ZkN5V@Ctz7}Lb8ls z%)Kk9Tx}1>J?28;3l={HW$gbwtg{(>)qc0VFu(xZbeYz7VI(c#Oug{J2VM9lg!sgI z0Z0dqRb^a+ZLyMA%=`GL_lS=tTj8LI=dz6sD}B;>`5!V#7d@*0>?3L2Nb-odBg#AmkyKWLhn>oTrnj^i4dA}m5_v_p~n)#f~A2~L7tz#(fHzMVw{GG4<@qMrSP(i5I z@D9KW2^{ewCo{p*0t%9Q=JxMS4mA^B|NdCtUjJr4;k?k?eO(y`j?hmM{J^Fdym@g_ zdG&gLpHGntc-X?7t=sBMK>ek5BofShYGraR)Dy_+-<|ytm3TBh9IYwx{fVf-e^(e6 zzS1{azEzW$PiZ8PjT@#D!#V0n*!4tD930|Uh^s0yE0pn0L7lx6oU8BI3QNm`ln^fn|8Vv9;wHTB8fK72_&8 z?4oW>&i<>K-p5lt1wbMJTLL{8feJXRhk!FSlMhEoV|8ME@!IplQ8$bHs|G`S!oQxA zjoM$1*~m=LOA^XGiacvTTx55yjvLJWH~$kCmox0y`EB06^Dy4fS+{24De;3c!SHO} zRG#D>IAi|P5KX@a*M#A1 z>2DGesxUA(nE^*l>F39_fWbxxgo+Wa$A+@39d!bQNam;F@;U=tp;TbvuYE!qLwi^3 z8B~CQKS@hozw#E|Q&>HrR4}^eoLytpDldI3o9E@lRzJhHytt-PwWt=K7SZXwpL)A+ zXPH#RtvA0k%WZ4(JQ5KyAWmf%vCJ6n$8#JKAHPbU3HncHi;h8rS~yf_K#-cv$J0r6 zkK&9L*OX*A)DMeIww4fBUt15@`|r;Lj8hn%;UM*cKGm>Mr$vi|H7eGb*8vBX4=^WTSBsubmJ|NBv`q!f|6;j|oT-(U6uTD) z+Qm{(2AeF4ciV4fncO?xzJZMKOW4Q-9F0!Ru;j?MfdCQ~Vw@a>nQjVaif%Lv!UP;V zneX^4-09)$;C@|`on5dQO+BLiywl&I|F==HQm9w0tTb&LK`{S!OK;jQ{x7XjmhY6B za(4|sWPk5CDEgrMJYw$r>~1Aj=Qnp5*6$-bD^y0L(zvs=n^-H;0RW_0OFnm_bNjD~ z_Xf**;t(XzJ|UauILMD%u?hN5o}QW4E_x}~yes308wTO?kl@n4Z%4=cBK`+SjH^^b z`dzLyrQ1*C{@T4J<#t%~y+5-EYHYxIRm$Yz0iJMzx(!;=P~2t2%NBCvbCj)aT%W0~ z?Vk9TeOR*hxDAU*OcV6bSHX{wl&0M}T>uC2EJt%m3e&H;x`uy()6LkynailW}%oC{(i!Ww$4uZ(YaWs z{MUN;2Mho{D_LfSvFQ878TOZU=93>yE+mEi zdpO@TWin3iYZxLz*AGnCgm|yKZ7i|Vz>d%h97G1?(=B1FfkDl%<6n)W=;ZYp15zw!XD>S8?voL;) zOAC924R#-bqg`mEPf?HG6d&|7t=l)>VOTq^Z_I9|=$_n7&7GeUvWu%E52?7fpPuQp z3GJL38njCPeB5AF#~hM$jvE59EmCSfN63WO;T2SX8Cpi6CWJ(NN=4{tqw0+nB{UlX zUU;IsX=b8Hgb7Th3kJ6fHVF}ipy40vMqPjXRfZVPxr$;)Kc5dEU%xdNs7Hjst$Rl+ zs9~}cdFi42(Rh9Xzf26Q;^v7nV7U5RLydv5WlbYLm6Mv&Ou4mlZ-#$51WJB7y_1%| zqr-yVOL-{l_D^2!{u`mYrpgQ4n{;nKkYqF(X<1vPNPTT0Za=CejhXmLU1!$rW5>cq zbRYtBo@%N3Etqzt7dz78ULk$vZNu5r zVxp$|Jei-0WQaZwh5)Ulk>y{G(gwV+xJ_o zLAOIq={U=K!&|rU(#~;(14>J83#^nccUC=dT~Ck)ag={}E~;6jsUB5jDgc(m`n@R- zBm~6I`&PRwFN6^{R6k+$Q%cPZ52ye(tdFQNvp_FCweY-@Wk3O-Zo1tFr`Def4<+VS zE;lo;rQhyOU+v8MK7aX?mzj_l^-aOJ!^5{>HYezIZ7UvCM@J1z&W)3Pe`1!I9SZ=s zN}?$F_M+R32*=PKtnHlVx zsa<$d^!an9coRE}mNPW0gleS%mFNQa)jHipTF4s5VVOYGDKaA)Cr6X9iMjYtHC@0c z%Z0xgQ{rP)NnV)qCyLGd>1A~o)koWyyqx?y*do8J1*h^#bok8piwYb4z6>t`zh!!I zg$^8cg_i(=2k`4I;31KN^V4&L@y4|r*^t`AaxPU&dB0JVt+AWE`yGlXdb8ebzj$2s z4FH&r*(vIH!|8dXeks^mzIdVkEUWL(Q50D*x8Zf^K~2=@jP#@FTQw>R0clJteW>IY z-VYo4#Y1&sw@GVO3VvQ8y2diFN2kiN!IndU$6{7<6(zFR`=yS!i?X7@dM}4(oS?4?m_+GHIt^EAG?Zh<2+79v8-r;v4@g zTwmufq;ZUv8#(&;KzjdZqEvl>SZKDyEmt5^QYl2;a^b=l0$#Q~BY6IY6?NKOe>g_4 z|I?&KY$|#c+nYY8e{`y(h!+L|DJg|f@or0rMnEdE-WVJ)?dQfAx#%PG&>cA>X#6n7 ziVt?lu;_{?06nZYwzInX7@3-egI*h;Se+U&E z22sX@`RQqmCIb=XCF5Ckys%M6;&?Hws3MXuwCLF*^jp$FTt?c%Ma4g^G(tit4Fd)% zJcrNy%8Seu{*mxNi&5qTu0p0z19EZUVrc7hUvtSz7U70}QQh$(3~>FBBu*~eDN*&@ z?{Fa>R$Rf%3F+~In6R_uh^NGfM69+e>0u?oJW*pF4fT?dK+zU1jtY6OeGcudTl{LF z!l1wIYf_-i)HF7hnDJ99=GH&xkQ>`o-Wg!G`QGSJ01oi|@z^_cZyfW^=0?BUy`cLk zf$yR&<#W9*g>>gMhs4a|4=9{UHK8m66Pzm$KM8=}7eWKZqtbxmP<9Pg6uvxBq{Y>TM-tM|DkM`1 zpy34{kVSesS#7-t_v` zMez9O=A`NP=i}hOAGrFe# z+q?2x44KsCInh7I^}cXYoz9DR@1?-o+r+>x^`9J#t`}aACrn=c304o=K9y1uWXhiN z#~^CPfA6Lz$E$pn7!oL%mJh+fq4=b4BNL@&3*dJtc|P{Zr?aC$**=C!t&a#NrqGmX zY!TE*RuG9_@(Moq@B`-QIv;vVP{bu$&w|nqlatiRnDwd2&&{lr_5JspL!Ho9Tm9vq zol1rf=H;>5+Y+s}PZYFsccH&Xy z<|7-9hq_v)mLHL_0t%Jlnc6>0KrA#FQZdznke;zI3S1==4J^s|hqH}=A*&Dsl4ha+ z#<#QYHT!QW3LJ90IJ0#Me%m@{mjFiS^R{}fYv_w%SNZ@i?`wToRxNXV+UjQU1U~f_ zR9|DLaZ8G{xh$gKM3=N<>5EN|{IC5j{7?T5iFUNL1=#tXO&pCTbg6ouqJizZT}Otu=2iS1Nt?12W_JnN+}H2$;ri<|)YJBc%27UUoH*hHYq3of&5pPIhAm zFBPr^urC&+27J_$@~)~le@BcXbOBZZchy5shYGezZ#Ol#aJz>e3kCBcKCCx+H90+= zo&PmIyYzUtCnIz*h6?q;E|xU5+2ko>JZM(&V2l7E9z9|PLIg*FZvM(Dqv1{I1wdE{ zlxMIcDja@4yWa5*sQjb3{=}ejW2Z-JfAlls41br0ciq@yKyCIDiV`?G>%kD+?U~#U zQ-SLX>45?^!CLNv@tC%I&oib(a{DYXeg&zRk5)i0A~x6~k` zEL%PGmbO)Zaml1$;#Z+s;4XSDT6{97J}KqYr=0)dphFcFe&5BNOp8}E;W{Pe!C*x5 z5^HsJjWSnb-#Kfw?5~Zv0@9z{a$o#b?{Z%V%Irv2OZ@2DYVtIRO-SWzemPeIb?1s) zN6e{H%52=NvFu*hn|x5VE#_w$`zG$9?h;@V8P(1Q!9_WLvX|s7)sw;QzV%1uKf~$C zoIu@4sBpHY21X3_KceCQ+HlPxKM+d@7*xsg?D;o+V8qW^HyEaXb@hA+eB=Aa^t+i! z=jqtMUZ6vZ-I4GTM&A2!XWsQ0cAlb39Z1jf-I2fR#_R{&uUiBjeikiU_;%WP<#BL# z73z?`)!}g!Vn31Bz@S;@fpW0-IbNH8i?c4FD zaXbnMj^{naU;5I7xW=qM$tUcZo0|(|W|8;ZimrUbm{40&z4*5NdP~=-)6l#PBmcvA zz(m6zvEZOZdB~QJm+`EWQ9igAEu}9s1V;q(gaj8O9z6j&(Ep<;{SeO^{hpm>w+vJN zLq({!5UT{kJzZ_c5bwIvy>>quP9)wPIqcdiVgw>?e`SCW!zpjUcoP>2omskRq6Z5RqE07Tj&A6RKLOa+SY$Lpdkh=I;X#TD!@)m(c7U`kSQ(ZS!_u?=46K;II>oz_vCXLnYA(t2P_%sKDiz5hnb4| zc_rVs_C5m58mv0DsOmAUXi9*?qMgIUy(2?XL0=zpPqF$SRfF~p{vl~7?K6pq&GqdK z){AuPr7KZa7k>nae_6ic|05*E`@H znoz%nOLbJ{%_F3~KIHWxgH00Kr>;?Sw?C(~earL%&e9g9~cNbG>wT;8fCPM%hC(}m2yaefC1Jm4=G!(y=Ac9cS~pK>TYaN{_1K*DrVt# zLc*IXxu*>>ot>?^V=ai-+l56(AFjqMuKDkZAhr-V%6!eqgeWPn&a_SfrPNK$KF5PE zzzD9ICTw5?P+)!HBD>A%<^g*ASEWql@{hRGO{z+ho1ce2njQ4bU-!)_i|LbO&_WZE zGfvhI#mbB{Rc=a zL#qU3selk3oWkG`I-UpVWm(N8z^@U)ezh&sywgCr_LH-#g~i3YQN6E%$#O z^Lu-DpS{*Q?}qt+B*PnV8V+8Ejbn^fEW&`AhTu*H0j z%ODGdt`z@@!C$|v3)=24rMV9ZI{R5Pcs#ETQcrP2?wbX}&)D7B^%1aaq?Dkty%KCC zYIZGrL?eBY$?`dMyxCi10OPgUYg%PkhhgZN5n6KpuO)ZHz5V`h z>by18()DrgWIRb?p|TS`ulkFv=^E_9z@0&OW#x7klTq|hWNsv~a6ah%wzZhvbs|St z(>>^Ao4$+RlG;k3F(JTZOG}2piij!il~3QeIvltMT@W|OocXFaG|%<2DS!=4|bsJXHn~hvL|(#1dkb`^p$U)g^I#dyuiQmiz1@L^)Xx z7tL|HSN=hpu24x#fc_2{nI$ON?#pKqX(xL$OGZ0 zb_^)aj@aai##xQ`78B*r^w`vMnMj}zd2GLT67DwY?%p2s89Hnc_tSWOY_l-KoHaem zi1_=+`m3iNmPOjy%%jj(G-&co9A1`NV0nLP+47^*{!xV?~BJK z>m-boyVje1uy<}P-3zZuf$BgcQ8s5|`XGwA=_!XIsHYF$K{TNbcSO?jpNdT_?OJsH z6mjKN@qeY{rVNz8)w%}ig(O?vBrVHAok|Osq?; zaHZz}eywCY55N`!DnPt-rnaVFH*&$cd2oa6j86h)otxtuNOp2vM4feW*UqLByuzF9 zt*7Mjgh;MtJA6 z5g;8-^N$jam(^@+Z1>q5s{Aw^dO&o4r{moc^Y8QLrP~_Ar@57%xD+L_-i=9M`@6c? z@PNpGzqr7I^`H0Kop;UJqd-9x0t6fsY=lk>eY)G4UGw$w+x#mEkd41KiC@OG`j^2Q zxoIt#fTrw@1Yi75;^KdcWK51h=s*MhINmU@5k8o2?92Hg!P3LC);H%$!268i0=+Hd zGYTW!cv>+Y{QmyZe_rcjZhNoJRqT?AeyTBOMJM6mpVWF-D%PxBV{oPVdvWWF%paB< zZI;aIRy<9&`Dy%AFvh3kQ-z85lm{+E5eyfMXj|w$c~zcjZGq6&G`wO<7Z8|98EAHq zMkvy#Y7cu#1%rT6uP8@RbMx9~raS*K?X+EKWH`#Ee`65dSm@h|9%j6HpZ3JEn3eOj zbqFsx9%WPQgk*>R{bFQm`ICHe=(?$sOTpD2Omo0jWf~jn-1Xl(mFMne3v&X2$8&X~ zzXtZ)TFbkYXL~B`nSN3Y+MM6nKZsxM*Bx;#b~9I@_b&SJ?eI!Pe8KsRe`x$(44NP= z7R;||aer2~?|wGjavcv60~P&MmjC|m_t(&EZ@2QzBH=Riu;DbK2**;SAu$zA7xos} zb#U^kKjW6e^(c7JRoT+nIo(S06(zcH+HaF&fQl1@Dv8r%eBmu_e)$U-_Yz zlZm8(&}T9PWZ04w21Aqe;mH7ijcQS8o0CJS-X+YU)9CQoLve&#P!U#bEF8m40$8op z;?`tSll5k-;^F`^^>R@9dRymaSR{8%i}0ZB{=!s!+%TRh~FQs4n80#bq&mG#dmXt31}gIdXUE}0ptlIZ0!D-P&r3(s(%`x4e#V8F-uUfJNU zGnv?n#}{lQa`@xHF<3U+FP^Nttlvv>3$wE|S0Rr46+iyg&_QTD!%5lezxL2l6=*!C z=-84qSQA{mb_Qq_a6l%1>^~oBHGhI-~RuZwazIsZ0CD&kSa96V0|p&6QRKN z+*$#j_@5?1)cyWYDgYz3TD&|dfND~p?DRm{TaSAo0> zhtAXQ;%Dc9C)3i#kh8O^S{^2K3`?sRGg7<0@fZQ?i{W3vmboi3J4nQzLSFvT?T?&m zw(0Tj&$Ur35~M$YynfSin|eOogepVJU5vfD&KAfO0OZR?DwB1JIqHYV@ zd=_t3*R=@>BI_g$fBgO>=;g%?6>g2_*I8WftM8zLC0Rjm;8eOpYB9o{FE@Lze41VZ zd|XG8r2SpzvYOv$(IZuL#wAE=&Y zJ|OJ1#nv=bFK8LQiClTQ@NiopLOoiI7Vo(}Bq|!>A;G802o$g=i$t{b_PlO=Y!)_H zdlJzRux2iIC2>e zrad~Y{je&f3^@iWO7X5V)}~3~y!T}ZOll(_JI=2;$Za}ICp+RTuD?|ORf&e;%Y4KE zUVZZ1QVekB$hR+!|2u5-{$l+-L+x5VY7|4Q)q)FAl!V{`3V;|?f7vwJYI2MqK{NDti47gsx5a4ZrC-0?vaFyA2?227-y3Xm zVw&xl>CO~@e&?;LUwZDDskjM#Ul}|pAz(lRV1(3wH5y|8VCVBB_TCK$ZBPa@2%yRe zpeTxpRMEG6SvqS;K)v_Y7$&NsqlUvFA$#YOG(nKv?miNMLYgKb%7h9Kf|n53*lLHk zqAat#S#K0s2C5;1;C1}k5fL<~5TY?gL-paRu@MD8q7Yn_e^s?MLFph@E{v^8tARhb z;MA2%OJ}a%-1zXue*`b}#*7d*rxrfE)_d>9|16N^dA+1`daAj%jl=zI(-`*g?7#cV zcP>A%F@NFY@WF19M<49$_reflb@#z=>%RQKUtWFg$>p?$A6~tG^Hxd8a?~;4RUYMQ zA3A;*B5QdvW|!lt?RXtSrsMVZw8$Vas0hcE%QJz75F%rlsn_qPM(T;F+JPcI8(PvD zfPjK}1w^tYgrpK(2zq2Ph9Vc)DBj!aZ*A=l2ATJEf7bzkSGzZq_064H(u$K#5Y`Zw z{6ru^AO{exVy7oCu28*b<)cH0Mkl?@PqDnJLWG$~B!DV24F>~j`Sh8yqfxoBx)&Q? z6fOui8;zaJ-&-x}jZ{JwLO`Y?iV!r$06-G!yKmpA@#vdRKkfkTZS|I>nt4(5yS?S* z`4bD{sxwunb4gNoupR+eS(RiA1zicJPoJJjYrCSI$SMFMy!zY|57w`*?rfVF6AXEO zcfNiK>a_p>rI>bOVfthoa}%gnxcApLXF84F{>qgZ5`ZEMhvi7k+zbHBx1+~SF1&GX zt<_q1@$va{kI&z}->KV6``fGUyz{ej%jf>lH=mnXoEc=C4k`y16&}{@ZugyQ4q+vo~IS{L0+i-1^4Wcdvf1U5-{d!}YFP1K_kZ=!PT|mMMh5 zYycosJu5!i}1R$zJ;erInEYz`ov>!3 z_D7%GUYvEO79QK~!S$;#S<7fb2t;Gc=SO_=aWZ^F zARq#?Y-<)nSrqDgnphSL61?*ON|7Sbq^voZyUN&3`U_6FkWfe^KwPVDKIl=a$VZ{@ z1u-Eaq^4e$TAz8aoktM?4nZgu48Wi?%zAM$3y@X=2^br6;ok(9XgrA^mQeKe_ix?0 zJ?!^i_|hw1bQ1oMgls%Pz@y)N0Hj1piX=b)gi1%mOGok0!$I5lFj5-Fkm7;W*krm*poHU5iw0G+))-lSbiZ zz09@iQEaua^x@5Wqf-mJ-R^gO`10k`kmMIU=(w@_aPRi_Cj3B8GV~;=w8fm=ODe{?_I;GWg)rI2{eU)j3%d1+l5( zo2;>bS``*80624K9jPV@%cP%uHq?t17BeI%lI;gn(QCQ$-(Fo0W-5 zP(diYR|^58QWFtj0RfFEyl=M}v(r=STic^TKsEOz)AZ%@OO5vQyYCjS|LlIFx#LES z(q$&?OXs3m`tZi?d$+dYEKXe8O-=2i`A=`%ofosvI=9sefBgFOMl3LBHskY@n9S`! za6E1gJ<=n9ePvedf7FTC*k@bPMmiV+sIi zBLOb+vL~t%V`S>6refPGk|F^rsYeYEJOX3fQFWr3LJ_1Sl*n4r$P0?8SW<*XOUX)Z z8_<}T0K|vUaFDP$apJW1u(LgktoE`@Jk{$9-LCo5zqk>CB8ZL1xqwH^%2iY}06=WG z)W*%#@cdKILAbZS+R}x1x-&gHTTOmedixF4`DWvV^D|o;!|kjNY7OL;ixLIF09YHf z-hBJ|$(iSn?Hku_o|>OIJ=^Juj!ayXWz$kxk6*j?;jQ&||M^Q_IeB6RBKOC4i#Oiq zfBjSnpx?W8?K>ZiooM)IdW`0fiYzudB&>CVEbQ;QM6-NE&= zSv=Eu3KkdjsTUCZH8ufLK`b#$fqFGLpjED6 z>|THSt)2bW<+s>^I zjj7kZ`-56@=dl-Fa;6+=kvgwphpYJq8@G3ob9G!oEVQmJYI8}5QLUa2`Xc~4o_9$E z1d~$c7fe=672K+-WTLde^Gi#e$Dc@RwO=?lIbSjrx>DL z0tWztWNrj&9TGWkrN=P@*YP_piZ)48jZA;v5re5L-Vlf@RxN^p2B|iiMFXUjWGS-I zVAQX`@^Cmzlf)+JD0i+bYe|f%1nSGOmPC0m8VpCF>BSeDqk$_6V# zwrp?`&6FF2wi2*>#t71@cK}=MOmGa>Lff5@Nh#+Fcl&gppfQ$r)z8toj zbutvhdtYqtY$s{Mmu@)BVvY$kN(?h~%gmJ$#dTwn-EJAvpq8Qp80E?s0Yc$RUjz>& zdt)JSWyw(jECB?FgLi}m2+$yUQPRSdnu4HI+lMF^i~D(q%hCV%yT8_sYQO)df4;t7 zwBxiWcVGHPPk!^{?A5Lx?Y(d6)0uPLcY7=|JfEmat){t@yL*{0>$Si|-c0JwCb`cI z770PD9Rz|p6uO0q=una5AYE*7LmwMIp%Y2HV+98gfyNcd_-{IVj@R)zhD^unzwnxv zYpE}DYvIy`(+1DJ^^-S5N^6*iT;a(WfNB;r$)g8AD1$^2YN6~812*cgINcc*Zg#f) z$}7(e`}-$POcOwx@k>`Owh=|mr~v1jBC9aq7&0j-ssW{{08%ZgbXdkn#CtDH0l8#0 zK@XZu6Jp*t#1KR!gg_{xY*4S&9=r0`-hOv$YlDdMqKs_PnVa=4l%*3@KnP>O2tCx# zJq#lUm9k!|rw9n1k{yT}shM(s`-9u_*-wzImuQ0KkeQ#%U zXVqUk2Qahf;5ScB{njg&s)%+E(&*G%Q`5KZJ!np+(=#nopZVay2b=r1H*#Fvxi_CQ zKWpfK#UR^Qxj+5fc|=&bcfS?ar#i{j?fk^@Y&Hl%RUDvN3>Gy(kT?!OgxOT)Z$ucp z_@YE6AUoBb3L<-ZT_UU{sVcM@EmiH0a#dMAaU#pIz3uJk*%=n!8}+6d4F_f6N0E;e zBL$lHB2Jv&U~Gt}s-gjK?7mn@9V+iBLWt9(Q|quVw+H=L{JF@E^1(*21!W(6h~r{@ zy4I6ocXe~LyPCvt0OESRQA>l*uix4xEx`aVAy5=$hHYf9Gu__X>n7|@o?5)KzO%h? zYku*BqNy`l2;J@OQ;$FMr6c|IhEec7E~F6IUMl)4$jl z3`RChos=-qe&HWYnPW#e8C?a+e?T55fT}xs!ad@@ZJ#-Ggao66;tbP?W~29bpWD}>F7)N z%m-Fg@4YeRVEl6AJyKDrxWtB;_|P(B92-8F3*p~7cV^65lQGmpJraz2-~xgo3c*VV z01!v9cmBZ7@zKX40##R*!7HiQ56AE)s+qa>-BoAo<`R0@9&kq`07_* zVQaRxcNP~H`h!7MI54Kws25potx@j>{r>#i?A+{3mSsQu(U0>ySA|;I03sg*$Pn4e zf&!3{2$1n$dmlzUPo9d%XA&AKrkb@zs~}WF5)9y*t=eyX`^D3p764?WZ${>WemNKn zw8&Z!e)Fr(KC#%oarf4Kp7-|lUVi4{od+Ak-H}Gsm_x@F*c!&aeax@?Otu&Spb7>B z5D+CKivWsTS!PI;l7R;abQ*#L?|$XguguLa{G0#v-}vC3efH@qSDwCk>vp4Fuf;Vl z633Q_2K|9Z@V*GaBfyChCpzucYp=br`e4n(CIAouAv3W-gBk&|A}bnE(s5Q43c#qy z5v)~gr!@vJiliV!Ac3pohTPXq+7=_;XNZgk)JYsqWf3dyA3U1BrJ$48FookB z+v9Z%nU2?gdvFN=3BXwvR}>o?JKuQa>1*$&TkE^ETCH%-L^gOoId8SnY^bWLS51kU zpk=SSzrFp@g-aKwX4=b3GhcggzN!j6K#lmt3zuHJa0vh$z`y^?pWVFupjKZ%qH%C1 zR!$FsNCH7+1Vn^}IZ_qxgZBmoJ_7zFb**;DR7? zQR?Y4XPC|A*0%GLz#L{D#4vrfEdhiyt`Bzyg%4=(_WjMXPhDyO{OH=<_dZ%Zv)K5Z zuRMME{2YK?_hGd+C~L++$RZc1kTX>#R52QS^-GUEcYY2C{`s$e!;%RAaase;%hE+$ zBI{FEE`RHEbE99r_Oti@`=y0f&vjNVY+PIY=u6MP^2$q3S5M|%?)I|Yg{f8ptpJ=q zee(S2lK}AMR#!5(_u&>B-W`mh8kg-6(6ASJG4x_u5SeD1_xdtDeHJk;75al7hpfyJ zifcRFJ_rE-Fl!(L!_ESgYKDf4s#e$%L=Zs{Lr?-l!%XDF10o_sVLL!=)h`1!s zVRv_7cGlF>vK+-Vqeba8XJ$4=D(7$@7;`bl(S<%pWjRH}UH~*G28Ey+5Or^F@5;H^ zZ#{la0J=q<#L38oyW82IH+8R%?_wYwM+y z%4nFy`N)Vk2fkn9^5tK7?);fEcQ!UY`sC)*Pd$YuCZg#&Z|!tvnvLF|w?5pyv~YHI zy5k@am<+N{Zmv9Y;mX&)`MkA!!t%*Q`_8q#Vj9pO1eUQK_+cRckANnb*!(Ips$~^* z!9!)Dr{bMcVKUXM11Cwja3r+sVCsRB9_>-<6rEULDo0UdY@Q8VnZ5ez^UL$Ckq@Qw z$Tlv$G_VHt>zB{3?cKYvwoPGHq2@S%9mS!k0snJ2Z`EALdrw4P2-2vk_m#sKc<+rt zB2o=7uC>NyaTQ~Sh(x_=MF*r`8ipLw;Y5U)i=xc)B8n^#?eF(dVS2XXeE^U+jwaT1 z2eiQP3k)HYrAw0d^L|HlR30Fc%02qf!RBv?nPh^L1Vkp}rAMPb4dGyv1?K^v$a2D8 zWMCpyjFt<ofo9jjM0nSf8F-9PYCpb*F1l*Zbb)=Epa#UAl1on~zO-Edh;U zkg=QFm~49x9$3MqF<`uN(buYRRfpXzivGp!Z?Y<7Dn+DMYJbZJc4XxEk9>FJcB^8gSie|qh9Hq8Iot52PuX;m-p=co4m@@Ff1Mry$`(!nfg+-t?7 z*M%PaZskZ4L`bSkuVcJ)ypAE$@%nEKIjLGh>Wk56Sg%D0aOu*;UVmQzLgfg76&C~% zwQ>Uo0YJ`1BVw9c?94*5KkQipt!DkbtM9L`uBoxxz5S_ry3lHC>FUk85O)g|LX#xp z=@3@;MFaqlphT)5l93uDOK7bj0Ox#Bxz&Yf({PW|=!_g7YmBuX&&1OyohLR28sAU=t>6-Oh@V>AG| zHE?&=cKf|yOc5clk!CXu0Qc86-nqGXCjIc`uRQTPzw`Y6^N;@h|LZ${{OwnNbFQ9z zaOe8l*9N=08_z#BcVS`q*7dcMr{0`TFTOcW8B3>g%_ zAQXZkVv^JpRAtOER{-SL7%f~d#c#ZDUl zEJKaqr6-^44|~met9btLAHVf(w?BxiNz+sc!H5iE;R+4GkQyzYe)h4Yr859vViUA7%@tM% zD0)yG035ZM#v_vfG8~(vEOO_A7fmCZL}`|nh0i#MjS?ajJq-0cQtlrmZare1H=Z>q zA(f$?VT^=G^V2N=c z=<^{*)%RdTLLdpQa(56FI1I#75Cv7ThKaIr1SG~_yWNhW=*_p@%8MecrNp$oz3p7+ zOwEQ68nxQ``f3s-^;#{`Oa_@3t8Y8h*T<11J+9Jg#=JhF+~){ zxkjJy^sB)g0T3tzAI4rn2plJY2D|+{1DNVG(uh@kif(znksH(OOz-dS=7auXYySN5 za;sT;{=$V4Ku`gB!U5HZZwDUnC(tAB%+Vr?I455E6BV{E-QJ4D-t6LIufP7CUXNE+ zRz}&VbP}aCo7NSKDhXRXpuPhtP=%KO5STcMV5q2pf(IMfvCp>xp|OS>geqVTU|6`Q zUUz^l;Mq%;7Fy{rl=WupkP%>eZzriW8fpA^Cp6D2o}X!LNQ!<7;bo z?rfO3RP>kK*N58As$qA$j@L0{I$nR@3q#P#IJKA$;(oYqQfA`LPeVF+w& zK$`)lGt(H=(Tfu5q~L}soe2R|35^5*6|U}i%xGfgLRl6Ol*S}T=v^S>AOS0{CWQU{ z-qP~SmCI+gw)!ipYivz6EYe!-$)_%jhULz7*So-Ms{UvdO-sn4@>yAt0Ez)zvlXwc z{(jY?Ol1v(UqlLANxU}!WP z-CgbPb^8)Lk!1>QFbt(*Fx}zMw&Ow_0C)p&XZGfU_1<@{%`VRGZ9I@-HZ=>^Z|vW_ z;h^9fx35E#gNdVLK21Bl{t$ZSe-;ZuPgtbRyT4H^Y) zw>s+^8%18!YmEo1>+Mec^5xTSzI{iF06eCv(bzq{N?NQ!gwd5EB2}!Bj0N^oVY8xi zf$-vm%O{_H{F;~dwnr|vQqeW`K{=y3{BT^Mv z6GC8)9z3}Bvp3(InVI?aw|^b+aEf&hNuVkd5t$-jMeRf6YFc$LTqKU3e5279>_67Qx41kB<`3eln*ziopYto)S;y8k+B4*5C0}%ZM z6Ripp(D)Ic8vKZWAfoaScbv+G1VmL-2g8B*ax}`KDDvK~Zmj1;QI=(r#3FEVxtN-s z`l~nIXf_%|oR3DTV67!4CvIkT);W*J!>nKvDHtWAz}4qCenC2ZMMMaI&gC?bt3{C= zCtn|qU}-#SMMU6(L6dXBCg~0GA;8J`v!xq(2fzB|7fxmJN8kI1ksHnSkAL#f(m$R3 z)+=8Q00i*E_ut*!>qqgtYBsUP1R(V4MZ-heySjf$Wl&IUw8`}{@nMAt4Ox^At^|cK zvk*av*n29<^8SMd5`2=zB0UD)vwPkLj}S#^r_o`8(Qt76lTGh-pLpT~K(e*DdHv>Y zqi(M}ekqP?O3Bt$?&nWqj0YQ^&WHN4g{jvd^j(K!Ib}bi9rs)A9OyULvZZ<1XAp5Jbdf2}x4F`u-<* z;R)o-xwFEl+Inz)R0fgYLy4%?MrElgUyS9d1IFX#~ zu8;2Ay*?jdVM@oL41h^JNv6_hp19lH-yZC54}%(9W}WNps$`OQzaK-l9<}CY=+-V^ z6vPGR2c=u-t#F!HHZjL0NK85!e3y>s_g z5=RoG*X<&!sUnpXL8;6gMYccafA>3okrj?j+-%k6W~a}eKi6o^13*^FyFdTno4@k4 zi;a$e-|Ftowr5%Z83ga$RHL1oi`AG20N57AAhzBZ#<3|G9+8(k1Zn^P577?ExSwm0 znGhu8z6hos8G|v959KHeahzJ4gaAh|(r1mB^dXD$3O5jDi3&;Pf+s+Lh~gCEptcsZ zMfkX-Abl z{^a(`%F5LAbezM|T(ER(4S0;q`P2oag86PBdfYWkTCBE$*|fmFg9plX06 z)gcQL)K%r6BB%lrYEcx0bKUNKx7VvT8={h?sj((FzqayVV|8_^-SRG!WzlN45iuL( zB4Kf1@ppdvpS<_}M}yJu{_6JD-hkpn)GDzZLyz%L_JH?>h{SBI#X~2<10auzh?Ow_ zA^;(=A_1}@X^>{xEZp$t*H%xo;{ML=oxAI%)+kJhXjRd~wY#e;-+kl5deh$D+61z@ z>zfP-F{z)xSws+Ut}I*ukUqo~dsOImU;tOa5F8K5$bdwX+l`_gaw!$i+BirN#VLW7 zWrj$=0IEq8*(4z{j0)n1qfs&1BN;W?ivS5B8P?g^so(wGe+ED+8++gR?vGr6xK?xC z>CtDY2PcJ)$~feZNeBU;x)HJf5atMu&=ORxra@h|yA!pwD2M&v#%NXu0N%g5aepTZ z90Ne>T-$Oi9%dz-z4R26q5#d)v;Dg(W>nNMm9e$SFRzNkV+qsoI)+Tg>%WaL)1ln5 zGVlgfbxw_~G0HH{-h2NO1-*Lhj)|U!_S$^#-JL9B^Y z@73a_SB}!AVx+>A?#W@5MS`S|Advt!ovL?E)!R>ZgBC;5_+{NIm5=zy7&Qj@5}ylv#~eadHu~d&$dt8>TcYB!_}kq z-Q7_gQn%NgovU#ZX=M~OO54T)WaxZ>-i!0uwuSEEOncvYfH2+k&1O2^J{xuW*SGfX zY~bG3pk_Nw+kf$;|37?DF;Mic{xl_k-W3N2edFRS*GA_3B z9v~rV3&K8Xjvgf~N>6Z&@mp5c)hK_Gy%`S5MSsOGk-=)m-@iYNQs!9^LSkdk67wL3 zu-zkN(5LJ-lmOG_f&|m+_BS?n{@1_!u2mZjw!ZnL&;HS8pV_?maC2)sjp^#m?Q6HM z{Pcrwuk}tX(uuo|ZeF={XK8tHckf_jc`b(IO-4o`B?+mt`*<`jBXP00vo)Dan?&7C ze*NS7yL;vG>dwcv?*wuOli9!c{?7+%CyIXe?xPKx@0~e$Vmv*#@$ucyUOs#Al8?=3=@&gRZ# zt@qOtiZc2e_0lKR!6)MyB_V{?d(2QPO#SlnXI?(Lt}umUd(6kF55Ouc6(;v(Jx7Rr zo2#nG-~43ge=rT=-}8_!!VfgI?Dd zBPxH(7d{$%wPXLo=ZHYcL@ZIDDsr^TDs5$D;0!nkjvrg=_Ir2k-d$c<2{G>P?{|uP zIOt7h^QLZ`bFJB3Xo4z2h|5dM-no^PmDAl*-Qn_$zxvTIA0)P5qtbH1@#%I$OYJtZ z*}QE%?C$Qiaz}j(S|K9hR_xvO0NWzU5qoyi5ZuYn5wucbaTLYUd zo;Z2^!FH{InCtm$zze-@UTFj3_QX?A%b|%9K2?|h<~7u$>F~)toU9yqvJ(@$qQ!%z zCs6V&-d&nHoqq1zh4W|LeB&ooRSo(>22ZCmNp(tds1Ruk!C8~%`44~iYe_MQU05hy ze);+Kt{q!n6eI;HSs;B%G1%JQwfI8?DpOOg#ZAc@?5L!D^D4c>~iq3aptW{OWPRnSr+(t6WMAq0$HO1Iwezpk- zG<0UgSm8{e+SFAYlCv&EHAtP91PC?=B~>ttQ{g0uteW=aZH|OAtPmiP7)cr1Xlx?Z zvaV8{^2`%Fsqt=_Qj;RU#*k6fjYm7{$5vi>^||p_?%drQPbbo(_0`q$=T7bK&mL^; zvSkuciPo8vkVGCEG5sBR2~mvjNL?YX7S&|y^z(zSzi@#8fNyNf`(94rR*S`91IKKvt|6zoe_31o$g)!+~RAO76C+H7n5nN zi!Yp6f(I06R+mmC%6|UNBlZhu>!qWX=#z^d^hpk@`kM@cHbEB5$U5S{q`XKvr!*oZg#i@lBA{k`$H*X_<`Wxty%oY6!|A`mqpMelP# zs_Le@aID5OR2n2&iXUCO8?W6i6MBp5mH31E2e?lHe0+N!5{#N3|L9t1nrYr~ zrf=#_<_0!sSyd&{a^5*;&@^FCI1!yxftWhE&+TC)rOJ<8ZV$WC1R?`6xhH6t)>9TG zlx3bJTmxU%yrZdA_ z6y4B7fiOj4UFegbh{waq!w=ozsJN9zDM6J1qkw8cI#NBhfO4c1o%J!rvT9y>`QrZmWNC4Q zn0B`I#Y@mC^R8(k5n1c%x{gu4_LZ-FeCwuRRaG`F?{w?tLA%i^+``)w%7EfX%-Bwj zBqfNfF0XXD9RTyHgdva+EGsKNVNP{4Zfbwt3?#D(MUf4UMaOSnJut~fV^iw5j1U@S zTO+2T6JtsNxzDmt#HNO?AJh9=aiejZdxytvT`hw|xvfuHQMn)yV8}eiLg*1F&KR$% zqOm39Lz&v2ju1mkkyqDF;lO+=~=aFhnI;B2`LE4N(LtB-P}!-QqMha*nW&SpeU7 z<(1EW#(Dr5ZmI%U=}}K|0LBfyaViziA5H2i%oc|$Cr_+?**$xDt)GCMeJS$uhRcxV z1oKH3{(3URDw-S#v^mVl!Q=}UVVxkwmx?Up*aY#vAkfl+n9lJ3Uw`^nwQf$%IUMK zYsZg0+}?e(5ht75GTmKVU4HS4&)wb#?_IsJSeRjd5LlB6+BPiH5%Ld5D)>VIKOP&Q zs8I#T8CTet%6gnH@IQFvB?hWg|KitgPao_(bMEx%i%YwE_ivhw@4flItlfI<4}R}Y z{?Tg};;j7m>VBseL2Rjr(Pmyh_oYkayxG~$dubf=bs z%yf>eKl9B$Sl;-Hw`|s{n)$1*JwIIQOm;S2|NI5}*;N&)8bvv!I5C!tFMN>!Vi1h= z`M{cLw6l5R#Okr-qW8kOfArCfGF6h=7g7Y8Zj6*F7x!V;fdm8~D>^2jRt<(6E4gHq zB$9mcz&yc}*H2F{J~icNr-P4wt;A4>CJ9i@eLtndnv64$nlu6m7zN?6Z77*gN3gZl z0tqB)0+dNI0z~Gs+5D9+Kl6oiuhu{pFu>K>^w!4QbykjFkW(vzoxSnFG~1V(+I&1M zlM&k_?X55MhQslA+I2-rdN{QbCNeQbYpj7zCi`9QB&4dAM!2b>rW93Dn7D=IP zRT|gK79H`tVPh<5uWKVWe$k_2hRchX(VKVoUi{43v6TMm&ZC!>yQfx%AKcw?*8cw4 z)%kq({+0X5td-(&mmx$&IvqpsToXDzJiAu~w z3e-x0V4>OH$iGS1atuBN>U1maT$#zmy@y-V`C!<$z&w$55%(vvlHR*jJL)dXmisk3 zosOb7o0iv>jB`mMxAO~j4gXqUMZ)|SuHVyZBJ!h$|o0vER z>MyMK`n~b25~`V)32X=|O%s%KacxB;w#1nEeA+Z2&s~w{`v?2weEyAZe63hIckABv z$zw}ZIlFr0>S#JXbLs?uZU^7`!{1w9U54VpgNFwP`zq<)y?dn5ALVtTs!@zHNX`%e z*toMZ8jl}rZS8Mw9$z~dS*r*qo}#J==~D&Llj_QJh!XwHq1)k^b?EPnmLZU!atKR+ zMpy&qq6o7>)lnn`&{Pu>VUtrNJ)CjLl`(j86YxbvP2$$P!Mua zGoebjVlq%m92JcbbIA4(YOUUZLys>(1hjRsh8k^`#gJ5$@`c=NSCd=4Gq0aKd*RxZ zUsdz{)fb=7PxQ;JeNKizMAldrB_2%1t82%;^$&mVU;H2c^MmbMlhJ6GWu|nFN}H6lMY}A3gl?)RgIIJS~}?#(&gcQLrkKxTR!fCfpSo zT7`1^Vj!Poa@e^fbCiM^ZE4GtV)q>goD;f7&qJm|X@*H@3Z<(Ox`yuC3M`s`e_g`hrHKI#y*@ho1>j!uV|9fMZ0k@?%r%(IOZK1*bc#t_seVVeQc=^o0s!!f4>2$ zz0p>0ui9iCv{KVSuOJDrrqeojGT)WqZ zU0xXWv)r&!mVb2r;Nkvw=|b;?Gsjjt`NKz#e){vb6Xm|hzx>)OXI2X;H)XJ9(H%{z zpX`oKy?E|*p||pbfBNk43~qaV@8nwl^JjWMZzZ4p*)R9Be=Z6$0-08n$VV5jRq3Rl zLhLyA8luF|RLNxMXIT@%v_2qLc++EIC4&}QNfA^54($foZ+zaBnUk0>4_XbEzJKM` zPWNuUaO|y*H=Es!^RK*iVt8yaAAR&->*_2ndG6UErx497`9;!ZZ?ym1rOz66&>C!0 zYX0?~|C=}7dIz!kPrmha32L1A4YHM@O#}~BngkX}-sN>u{>7jF#anOw`oI0}{;!{Z z?Q&r`repVMQo&ykL_|9Mb^)KK0U^21Hq1oA$?Qy1TzuM{xoGiO>Lx^nFFiF4=Afb>@%{4z+mcu4AOsvVl6sMT(l`Y_)1;lZVb+mKr?)bUD%rc5rCiq0>f~t#Z^&F3aF$+g`w)ydNvpg zj-5XB%U^wOK3nV$2i@a?$LrBB&z+ynw;oHVGh+?6 z6`sW*!$%J%His*8M%sZMlDa6Nc6Gx(%he~Nm1VCcHypB1v;VUnf0(CHw%lBL{=8h* z$u!d;w!{he#`$*tQ{U9QT`Uw!`~zgp5hZhcmmwh6z*v}Et#Iie>_1Rm=7&YQb20J zo|Tl_SIi-Vl$aDxqOPcwV&jNhhL#^hfWjKG##v_!9I~qSzXDi{NZe-Y7F&-y(o1|R+f8y0ne>0?rw}?6P%|6YP7J~Dm4{CH^Os)#iNWVq*FV}F{vSWQ#WC8$&EQX4 z+x*7gpA_anMWO|qIn3djPz}310KnYB0aL-`)YAF2uUy{W+sfAmD{Jo6S1!Hx zPNw zDwK@f`skyN?S-?Sd--=HMWzV0y{@y~RkNfLNo`$0K}ELY(UFwc*g|j*ffD%fwE{qY z2gd8S=5xR0HxWG+rx1~S!iM8h&Gk01ki%xjae277+#n4W7SBE5yE?ZwSI;bb=7o#njja<)gHG;#@yoaCIIpWRHe=(KXVVyF zVWEqNX1$Z_q})leVgkbnFfiP8S(~hI95gXA z8BP-FP>GT+oKHG$YPQZA>#R+weU?2PrkKC=)`*aU>3hu0{^oOMdw=-q?-s`XtM@+4 z2iYH9Is*U~p84#V3;*-4-@QG(z2SCx!!`7LUa3(x9Zf!Z|LTR4$NT*rfYHHpcXRLM z=bpcQ`|j31{};VpUlXdjdICA2ES`?!G(^-ijfyTTE{?{d?XCT_V=Ey<0L+~u6&nDw zrnGH>X~+Sttrup~X#8**>bnm%wl+3X5@X%UTn0VVG3#^}^W4N#353a%Ro%qWdrKsl z_w&+NW308rwv!J#9R?e+rtOoYsK7u{!)$!!Iz=91_~64w(TxVV36t5|AKo1AZk;~4 z7OM(Hu`pcPAMfQwcQ&0($CH)im8xp0I`sxiJNxqo4<4R9d#2@&;gf+Y@&zBHVWLlR z!&WkPh*n{IQtj|j)ujIp2f03ZR6S`8#AL`=2!st;@;+xW05accbX&aWVc+Y?&mI{F zsX&!J87~8>1ZCKy7LZoFr`#fQL_umsy~X_O#nT{kir(7#@stR_!f-X?1sh%2)V;w# zlH_?FB6dfklUN@vE}nhvl7Vh*Z7U?pGbTsu+waCDw9uEsE7Q8jvW+Ra$aN)?a=r#E zomjhjWk1)*N?j@v8%-c`fK_rz4qzoFMm;y)_HFL#I8tirdBwt2P}Nv;!lXXX)b-f_ zG*GHEut_0P3YIEkAG2aw;W0zntxK=Ysr9f4nIMvSsACl+O8i^iEQdR~WYKPl>R};; z$6~@nB8F@M;Q0F5*)!+PpS@_=b$zGTUv$akoqo4BJa_JrB3W-27l*9&rOU67O1Ilr z2*C&eWT|yR;P%?d6GQBF;A&zF)-kgQAx20Bh!G}n>|)(mn^Pi$SU@zCy{!MjsY|_e zZ`6G}2|s-MtvhkrnV&q-obx8Q5KFQT%SCdgH#|0;?0s}C26U*-Y;Rq&al%|s8-ljI zfOaq{3^Ioj-%qbqKcV%|zah+{r`u3Z<7vtCH2z-nd52~b#u|trV~C+JdZ-(xC;7*1 zKa!rX(tHdv0$3?QRD}d2#>OPEu37Q&%P)NK;=BRx?=fAvp=kD%!uTp0Q-M&m`O`M;5?!-U)?6dc`X5aql^~ro3DbtRdbLN;cn}eM4 zK*Ch(#zxDH0C1`*iI~>dijG-bK=$>Q&lfBJjxF}G{@QeB*SROL&rj|Z6F@4TWI63- zj;dNiED~emys<_BG)L{eLupLhM zPu~1hnr~WR-(S4Hao}x?^MkENx1YIi5+F(8Q?iT3WZS!&4<7BDf9_cg0P8>$ zzmcp5p;$bTI$<~U@4s`e$O_}Rs%q1s!-H1mDqa_5LmW4Z?cGq`Lx$Ws|o2*wqt6P)QV1}kk@iq!+w*B!US9LgRZRt@`2>4A=B1ZkzPJ1S8$W;h zzcA@M?Fmd zZav!F*x2fHGX-q!fApgteDlj+I)CmgTU*`QW@Cvxffd>qc?#mzONEaB0!%AWH3n)Fxx#~7>xz~ToHv=J(RAyJUpjeWxp({a?r1trG4!(H*%vN0 zsK5KuyPNydrInL0T2(PBP*G!uELfmWh7uzwQ)+X3fdyw^N1PD{(hTC{aD4K3ZhZ8v zY%jBFTPLV;%O_-lssK?*ni>~Ro?kzH4nUE2KKJ=o*LuqUmY3HSo?F?xyXEsjVY|KI z{%HT?vE!#s9#^9M*><l*k$)5Hr|-t!mQ4gH;c~r`j&lnMBeaGz&`$&iliM zjTuDN`OpBA71qjR^OYAazW$}p6JRX}c&THK{n1zc`On|Gdq>1(O{|0}&iui_4jf)O zyZn_GzHn<4fB5q)mQ)xBHB7ZCh>Sjdo=Mx?Fd_$Tg)8_C4{9R%Kk3drji>RnWO^F^ z@oFYj(UyhG04t2NP}Ij6Ewu~2e?u9B2BQ+0boqY7Ysx%ctL?!|t7Y-w=&>fHsKu$)cyZY)eIF-?p^0H@Zv>&ML2m4~@s zsoB*w*#MS?`<+q-3L8_CLIZL~iZGi(FwSu7KsmQ;k~-9&CZ~nf^~KTF?vol6qE9FW zt!*N6n^Wl%S4b6N2+r_icfwd`id*bIeMp6^G^@V6d_!_r}lPc=5UCdwFMTbNBwnqc4Bq z)y%K7XY9SZd+&a5`~7tHpZ>$&1^Qc?8)aE_`YXotV{ia=4zN3#o_l7kgTd+LwVafV zp_!S+62L;Yb8LBGXJ;dKdh*2DGtcrzx5ul4l@PTKjdxa{F-GD;xHF)ttT1#`Q99Nn zO$?!mjq_Y&t|~(vCR|u+T}luk;*2<{G{1H5N5(eM7GN7npK8GU@a>P*)=r&XSllhk z|Hof`_x1IaKRCX2qT4^exSpvARUOCCiBAJb5_^NiI}dhm-26^iRo?sI;>u)N35_$K zB$m#Qv9=}7>mlLVG{Q&jz2dzS6}64awT_hc`X5}m@$2{Ax5lItiO8`L0T~ezB*(^E zOHpfM?dM;8?ewK*hO^XNcxF19zW>gzAUa$aY;Qaw=gyotWixl<-W@ou%2GkbTLslk z-TG0%RZWaSrfyUf%&Y-wj6wtX%x8&eV@*?6y<+jX=TD8N6FUvDYK&mCxBZpZUmYJD z{NyJ;`NlWDdiBcH<)!7d<@F{uzkcW4{&2Xpv%9-DQRDYVQ%Tq#*LVKacMUT^lqjjz zNo>~1i`;dV>at9a&rCJ~7y=Fvh|1iWYYTlcTqS7wJF6yrqT|!nP7Go&hz*dE3Y?1) z;~{t)-P{v*5;_LyP?Xo!W}>7>)eS^t#nkN;fBeV4XN^%{V`s}dT3J}M#sCy!()Q#bBhbd0 z$HF{qDR_q3tK?%RXd+7rjAC@1+>n>lNJH=>P{UT*>u_jnE6iZ3r_=4@C;ewHt*ij2 z7mouc62dIZPPi`6)l25PZ{Ci(W9yh)si)8+Pl{HF*iwsr0k^9;U;(UX0(fzuZ`=UD_QCf3`}g{1irxa22g{q| z@%rftAa&L@O?_rzu)4aue=u5Hq+xfl$g(KWZ~-8RD6z5;Vq#)Cr2HM;X(m;pAmj5U zH9>W_yy!BALwE%dEHfozMW~)1eEoATe(5up0sPfFA3Xf{&iP|YUwrK|E3Wf@`_e0a zI(vKf-reQXXSb^4%dJ<>`>N|tESU9`-pUGYZ*AVXzBwosnx?S~RwGA5*2CwWJR28- zFoH24GBHIFWnw)9w0`1w^)#Nw(~{|F{Jm=Pz?z~YC1NEeG9cHE8Ctf|k(&Kei~jP& zo0_Oy(tM0YZjIJiNfMZi32_#y@=%&mXjAU{!JwB}KvQZUXCzGxgUZU!=Y-exl-~8oYdC6-{a2gwZ;hD>wqJQ_%{&&9fp6@SG8vNy7 zzqc}Qx9{w9hsSF*>g^l9`c;y6?bfI>IF(Fw?v(q-pZ`37%}FW`3cJ1x;KAnj(d5dv zKKC4etuk!w&+_h|4x=au-UuZ~QY6ECB-2tHIV&qvL_zF)!Av29I1gFIMV{5Qgr+ja zgPbaJ+hwQlSi3?`*l7`g$*K?&XU+ko)AY>w69D$6(<(8W4uCwWZb!fBQo~dBz9>Y- zU{?0VTHo)xriMroffJ7*skD$32`P8ZIX9h7oO7^QlM)|Ivy~82FwQGkSY>BB-pyvN zQxvgj03isIvdNM-?+UO9Y36MHjoDNzH`YdFVk+zMnaj^U z`}}kN`p>`J=@x6N3lHwy-`(B)`q#fy*JTwNXw3VX!ezq}cSgx7qb* zgYXmgA}|pdA`?}~IBlXjqax%jTSi-dh$rm=0noM~^0YnoX~q=s0N z_gdED`K&ps;z6(Hy>G&}tSeg#+R`~G zqLQ-FmV{4qG<-fX(+<*7o zJLP0lr@ggj`Y)`$I0$%cEnoV=>yz&KpZ?_03FrRN?>=*9Ht87#DuaA|iQX@_m-E50 zKR&J|mDb*IYqiE?MUVuU@ye>&B#DLrC_ipwwj2^BRgEIQ)kf@TJdLL%)6@8mB+3J| z!wO4mpxkP$lCouW)GnbDpz<3j@TW9CpZYJP0E|KfR=};~z*_>)r1aTyXV3q^+R|_# z&l5z3{qBqX?f@{KzjSJS$p8$TK6wT}_rjU$)ff%LLM)$HT*wwtEx!D(-g>v$o4C%} zB-Vr)sAJkMo4u{kCUE+Ld$%U@@oS%XZPgbzf_OccjBebx^7U6g%fNVd-xBnw1SG}b zP)s2$(3ZGuLR+$rg?S7jkR)kB>=wOpULSt&vfkUjdiVEUd=*#`nnA^oS1y?QYKDN@ ztn~iFX|a$uT1g^n2MK{qWpk7Rdlp=)1#{`|^{g`s&lm1ycXn}xpGQ6ee;BM8hH01>U5xv{yRPO&Z!t%Y+A3?)@bQDMlPKE87I{w+?8=N!@`4ee|& z#b}IM9TqD^O7&(lE(NxMe0=MEBVw$Fz$&RmVYS|>Qi>66QLXJ5>o?zCM2shTg1@~; zCt^#g;cy4eP?D%ZAqX2QP@_lta(bBhsS=0@=1|Mtu1bF*=(ErW%#=eT(Yx+=F7JG> zv$J<2$$d`c$Fi4Zp|I}Z&d?PF)}#Gup4}hGw|{wKcWyT}W=ks*ALj4g-kXJv$>9+*LuF`UOw8;umYAs3D1r%4 z3VP_dLjnd_aL(RqJu4@({;;z+?C$N4=jEi+S+L%PARz{8NEJ#%Pu>Y4h-fI2r3$V} z3gj=JTg#;Z{QZsHCF0Ac&H^Ya*?c(ez1joO9yKLT7g9q})fre~qQI@3Hz`Ysj>IXc zsv2Y9vOutf2RQMZOlxSP3JD@&qiRY@WdjX9bKd0L2rhaZMcUcjDl+b5SqgsE2nbQN z-^rHO*KggseeL6$T1zbFF@)vC#r@f&SM}G{*6Q7z{n>aH>fOog(%Cb<*WKRO8}vIO z8ny9Ro;f!j9~d$zN)jHEJC#E-s1aeBKnDtlvL&|H0_q{Wo@Ysx{Nk*E_Rn zGM-O<_1^pU??2$ow+G+W!RV)d@s~y60kgHeV~n4b2WQTnf9Cn+_uhSXS_hLAO*yOP zRpEV7YU(CNNien5Ebp+fN9iD_O%fH>S(Xq&7Paz70cC zOp>z9YLR7RLolN4ahJT|%zC6l@<5$p>kmtYee^b}@+aD;Hdke#D&v|Pjbg|!wSzQq z*0SpfWk?19DT9zgFwWN^+j|EKy<%@Vi51Q)4u{8zP|2;2AFiKX6TlZ)T}1!~`}>`t z!k|gz`pW4jX+EBC**?Oe0!!AwAP^u{Ot6_+-wLcLB@Ib4Qg-4p5iPO~bC}JyjMXo` zdU|PbP}enU_~1slw>S4@*p8iY?He*+oEV&HO_rw3xHkwde(`KHbTB^H-!JM?r~8r{ z23Zg(F_g z0YO+`9Aa)P09(bh-d{>5&x}Un@=-Y*ux< z-L?V)Ss0%rX#yF;Y>1FjYR6nmoDvbTI!ul&ujRgbct(>^@y0<6#mGy&9!UV)?T@$X zx<6RyYQFpP_wQZ$^7B5ijwh?VQw7toKg?6BG@+fH(el~jL7GR~ zYG`!^QG%*ra&UmxV1*Vj)qgpe0VNW>qFwom1q3t9L1g~5BLPj211K8ww)D0&In#1PpQ z!|T@{`~?@IS#|f(?#-v0 zt*Qhgf~3hhn|W%QCW-)JtTAd4MU!@V-JPwC_pjV~`MLA2oPYM-?T7#U|LcGI&;QZ4 zRtC=i59;!v0zNMyIR&oY86Aw8!4NS7mE0IJ8sGTL%d1~}>5r%5nUvM_I}h*fjH^1{ z*nITd`7`a>_nW{yg>d2N<_}6!K-?_5keV@rQ z#HuEZL4uMpvCF(FQWSvnv1;duZ2ULoPQSH8XTz$ISedDAYNIAawbmD%TooEbG#yQ; zh+7NDc1$7$Y7bFzEkJ^ZTDm={nh-Hd5{%gGol!G>FzjVj4aVP62(_~VWalCS7xyTn9obIheueDN= zq)k%mOt;sYPxCq-s1|Opx-km(f4DU{3I~IUwP)N09SYRZ*7kMn}7Vf>%+lZ)4zHDJz2~s%362fi_f3m z*$bW*mlg-Rv-$pH3h$V6j*(M z*9PHO_&$>_CuLnu7y84+mBrEiw(sO?gGEL$oyX0ct$fh^(J$ZL+TL!0lA5eDOd;+C z+Pr%6XYXIhGS}&Le4bS?kn@kW_p1cg?I-qzSt!|jI2>kWJyMA)3kxZUvsOf+2(e-7 zimq2sLcho}YiaLbUsc%4c)I^+BQ35j2bF6d-zb~l^3MLe+8#~b`1#vg4|g+T$r|e% zh-OtYnaz!N$}*K|ZEbCU?(V~f-9g^-S*WdL>zK{b+S2M^(ClR~3Q#VDgIAoD&s%F#4Xcy8%RUv7) zgvzQ=%b9^ylGduW)s?j#uF@n}wJ?-~k_9`)BE>s zzw+wmwq|vmLi^qC@9d5D4o;psZh*a=y^CvS0h~T_7G!5vZV#98S#!{YK$+RAHKjx_ zs>To@NsK`uL@Xirc?c1iL#Cu5l0vP8FR0eZc;>P>@$~Co`ON1}o_KuW?rr|+H#Fn4!~%8=bNvdf8pE`0ALZoPu{%!gX@pd@xDn0%zyzi!O~GbVt->h z&7-w!EIZC(Fbu~8%dBbyDM@Gon$R$__1zd_RhLRih72>2A+~H;p^B)QfJ`K|?aTy7 zN}2>f-*fhnmKVFbd%LMqbc;NOkbrv|*Nbe}?RL?6ei4HWvn3&gL`kZW zM9`YpGDNJ}{9v;8%Cje&$-{+xJGd zA6+|Mq*X(6>D`mn-m%wy_Q8E$&T_jzex4U%VVOb1WmBmpQZmF*OiBa}+z==>DL9uI z<3dyQ2K`hAYm6|w&%XQJH<$aJ6DQaId<@Mn*fM+gW{-6Hr`+EoDtQcJT_%11WOWhRco%gPu zxv>2CXHS*Dt0z{g-~HTAfAE7}-}uEVm%h+m;f;-*6oZ(+8m!gne02BT&9|=Je)h#@ zFRWe&aenj84d)A+Em}&5brVMO*?~1Qo==bNQvI`^e#h9s*T49??XPE`E4OxGoFpmR zA&pj5sCo-S15T1c({P?0HqliHCNGMZn&suS7cO7g+_-z~=ElWmF3l$O#@z>JPMumk zb@szs_ZxL78-x@xh=K#Gnmn=DpH7SXgc+p$?Q*R*bUBeJkY!U>TkTXX6#XT|U{?Da zw$sU6X16PO{^Fn>?QU#uUAX-0?VAr`st=7aTZELd0*VOOHUT0KV3^5}V};N9+EmlY ztkdsjxvxW*SJOQ2S!X1rRwwzXG(*V{D8;gg-KDj6KDvF@@Nn&!JA1o-_5C*n>u1-8 z13>=Ghrj&A=EjMIzG2Dp{7=654JgKhKJd}O^#AzlAAjNVFF$)`wMj4%8L3t)N=zUk zW-80FuA0F@zX?q|2u`fB5|AVT==VF_uE`7{T4NemU}rS{)BpFMub)`^qpy6n1Ogg{ z@h}OP3(6127tC6oM?RcBN|W z-5)*o?AaL1-d@%2>l2em>nnO>kHjrhK-vk+lkhNlyrfB_Ds`wYpFg?SFaG8CfBco- z`-++KteOK@U0M73*E|77|zt9bxJ9vx$%u*mLr>6YOyS&&^Qa3+J3LlkY=OV z?xU@xey^A1^G0Kn2nK*C3?a{RHfEUTXV0A6pVc9x)9b_ig98mI&)w4EFvb|IJ9*-? z)V#m9zqqsjz*zI*a~H4Pd(`dc7fzqJKBuw~1BMcjNlBX~il}jH_R57}Tjs!lG$d7p zVYSwXp(IT@SQyZ;m7W5dv;Fn%;s@_vyLJ25XD%-FdkH{Fjd8x*n@H7U9d~o@;N!h` zfBWGv9cNvboKG#Cw;++kre&*uz#s!+WG$gQRMeCB=LDTdSeUWm1`Y#k>}btt1qw_A{L#8wdj%?wv6gN32%ETVPnCFu`4 zDP$6=1dW2SDr1=UiqlEbn{U0jzghmySAHLWsIsxfx>?yk;f;hCRFjH;z^v(zm2Swu zId}c~^)Fvq8D`xh{DKKl8V<(Ws-Cx|+sBWsh4-ghyOY7deD=i`yrr%3?mP>`orAj{ zj+L~ z(Pr7^*2Ygi*xH<=lg8%4i7~NKIk3Vf_~c1Am?{~l5(VN^D@HX=0`P|4ymoiDlHWgm zj%Vpu&-XJJBQ^OBWze9?>;2Vpy$*ofVLC8`S9Lk(ybMCA6Aj%66S$*589fhrY7 zU;oN;&%Jo=t@o}`3`TqJ-+JgxcQl({ytMj9&tJIl=+S)9&StUK(=g9&>{R>G%}R6b zf`91?1D$Q|-Dc^6hpMP-GrTtFNj%xkgvtK%>nqS}FFhyr?_h2O}-u=nj zqs`rFZlP{EoA)3cE#qtsmc;ej*FO>|$K&^|_U}rP@pzI_T3A?K9+)O<6+#q~aR1iz zjoHD^N8^2Bolcv1c^~-ER z@H>G%xY_jFLuX7=OO_7|agv5ZHMG0G`=uAFj{E)(uGP~lb4!sDBlBv7qNy@XVps<@ z88)*BDQ(hrHva<2lGF$S9G3G$nCDo~WHQC4quO)u{@b+!zZmYYbsp zxZFF^fU*kS`rMKL3Zt#;M;XM@vQCr?*=3CzyZk@?a9VV(jLMnP@v?OP!*|~!z}D-<<;nI0JC_*9vH=VanO18#Imo)$FW))kg){&oAxNZ0=)tNY>p!TyIH_fhy^cq zJN>1#gFf8?M8vVLp^W5#j7=wV3)$L}$dSSto4EueK(MTU2NYU@#M%PneSY*6761g` z?Aocv&*=;jl_F6DBI0as*6nnP+)a|kB%nl0&gV0vo#`lZzLyn=AO^#w;Y`GF{``wC zsi{0^F z9Rrw*v7EFN0ZGS6vMPX!?4qSw=gw7@qBfRVdIX8I#Z8O3B*WU7&(piWG4*uSQy;-elDRBbY1_$aS7L+^RA1-X|jRu8d zqTLXid3FBmsczwgH36@`^y;_YzDf@_zOb|iq)wji&g#pjPrQ6`b-mmD{Ue96C)+S> z{TUK~p_PbZOE6^lMel)&Ov*eHt@&s@O&9IZQ%2xW0Yx znbS)zzS#eRru)tZ+bc^a&kPcP2lpor@9*ks=IrS+UpjOBh2tFn1HoQhDKO}pvz=np zWM$P17EhjDTWis!H4CZl<6*r?%vu2>}% z(nRcthyn!)?BOl?_9XR0!2Y|fruef_iNX3!U(Jw5Q~*}|`OfA;Q` zX&RT!-npe0&MlpLGH?4=cQ)SpsFo_u#`7-sE-s!1FaaEpp(HTSeeJ}vK(Md?@yX}^ z`UiK*2bAT+Nn=X+U|~}2|C{f>@r|#3;q?0Qa&PG?U;X2ITl@P$F@#%p?{4i@n;Xq= zWo>D_|M1E~MX*_xGT%h0%JhpH@0)TjOykOl3%=VcZEo&lS-~b}#&lX{ z-Vv(IIEuEcq?$E1#y73AAXw{q-Tcm-dv#OwzPMEQqM6O>rZHJxRg80^$wc>>#l@xZ zG}QH&yb)GS!bd{9f7emw(QizW*f5hB9gK;2GM!(&`Y<#N8FIw2iPlg`A`G%lqcnlY z8XzOsBpiuElZS2F%T)+9yPRf>ijk3Wk(v4IK$0+Nl4xvpb}2u*bUCDnGo6cPFMj{0 z|8{$SUW#W?&#`Wjf^(n6(4;t*Sg$M$+i&&w>gsg7xww#Z&Yo}o&F5ZyW@oz5#D~(< zi@n~wn)pl;q?+!WTEXS@4uEURxOHQPnTu{-g?f8qceuQqaSjp7$qJW<9n0br2#)><;bFaJW%OU?NtPkfJqAnJvo^Q^-5L#4wiT zlLPf8FFMAW7?WtLAyRGstYJbzsPlYb)?^2xS&h+PQjf zw`vZoiOarM&y!`#wzoGPU%T-ZK`1#&&Uz)QqEGT*9z#ORArnlkD#e%%RW^`5=R)Nk zkFEAi*$v^u)$618?)_pQeIvPWvVdt#E~6M#3vz_06hIwH1~Enr?31yKlxrPVQ-=6T4Ju6`D{LM-t-3D z`Fs{8O~2@MicSz!HcFhdCD0LTWhf9aiR8}o@~ke)6dGd;OR8p5<6Rx2@jmMf_9rD7 z&(?<~f;p&7(PX~sjA`$5(J^;#?FiK?E5rBRzmwZep7$g~31t&@i2@QENl75^jp=F8 zH{PfqDX|!0L#m%RNrMQ)#+WSJdAJ>_M~e&m!LNR{Kbn8;`R5ftYKZ-RfF$8@Wmp3i z`0RyKKfJQBy|w3h>q%9S0FWX|die0cv198A5}RgaX-L*v(23QR1YEy;tJm$ETwSxk z&Sd&<-jC6K7n|{fDvFP$({SVd2az^B}56q zce;&2$(}uPc4asOV2uCK8}DB{alD)L#@jQxU;*6SeK4Ku99uoM&|N~00DOFRqn?(F ztLrPn&d%&0gc^tDV3AU2(Il$cGXKk9#)${ObH`5N*e4BxC%)6c-+3`l{mt|9+wa|d zur!`WG)P`QP|LOMl0=RRabt3n__v)puym|>h4os6= zz4}lkS74z{w&Uw`|?U}5Rax31j1d*e^O`5I#No$r0; ztFL`+d2w|3`|1rXoOLON#cnTEP0rSa)XjP)&mDVi=>4}|{x@&De`jO6 zmpaGL%Ss8BCYdG@bF|pR%!Yl6Nm4F2Z2C_3XEbf@?BCj0Te^61DUws-0;p%>&4c-= zlf!5FSq`9vC+&I1Z~&b2=KCd1QUfHxLa%3&1eV+l7@-9s5Gym>2 zz3E)HFP}M)W{ru_4m(gn)xf#4)?GY({Fiq&JvfnCO9XPZAay_Z=@oCx*;6NH$;?Xk zowx4J_O?g6`)s==TcRr4x!Z)Q+w0}?c~c2>dxJU_$}1A~v;KT{go5GnPQUM;J-0Rh zu&}hTbN%-H?U&ClIqSNH%UE};o6l?Ov)+SJXxdkVN zw28uOd=(qG+?ft($z%ZSb_c(D=lbVfxUe)Fm?JOISnrHRdn;=f0W7Va+?#LIlJ^{& zIHHsYMxuI0LbgeEGA-xx-A-ru*6kY)H?Dr|tDni75U&8(+uiiG%(%Dn=-%;#ok+GRvMj!;VuT!`st>mr>504D4jm0(i2Nbp4YrYo-Tvn9JpaPz>*{J$8e;?TBsB&}$waadY|!M22uy9}No&L7-KOxIcW5+;zUPaa zo1JM432d9tuyMU%p@EyEA?R?pR^+{^n$>k#*D-T_FLtt7Lae1;Xo`}3RR@5{8j(XY zr9hHMScyP1mj~OU7#ag)dFGN#cdMdjs*qfvOEw=Ll*;II9dwwYx7IjgkXRrfQ6v}% zRRu||UpVU{$gxVSyIJ}9%j+kO_Xx^RR<^+V8|8b~Cyx3d_!Oe|xu_m8ARZUhAS5Qo zO==cb`)8I{*x0f*gHCVK)YOcAwmIEaQ!qg(B~3}J_0Hzba$pL|DQXG{3N|K$Aew9^ z>+eq8?qq^ADVr)L*sQ4~_kF_!o6Ir;sGBBf3RUUZSa7Gvm~0~rkzv4*y?FAtiWmsN zj1G3Dn|IzhxP5B)jivR=d;61qf9b~E(f=}j@4|`ItyvwD=9wqra$d%inkLT6CdD*N zm0{t2v0ujVobqBtBMYk7#AZ6qhPG}>0~A@Vkh3RO@7&qk+qgd*biL8*SFX%Po1>iv z_wU~R2Y>hvm%4`ox6MZnGp8wx=Hsb1y0)|oz*&-NZ0d=^g7TzHhHc*8-JbB zcp5bG22iCyY#!a-n5Eh0ULC??(YteK)B%hzy*&F}a z_pW~P^$QCF3(PYzv&#M3pTGO@{mrvyPQP{i*7KLn_iTP=JjtBBd2eernvO#pI=i(u zsYP=of}c(*A+xi8@aW;AUXc@FWw8U`FF$(w#_rC4_S)Bi;?}**EH~C#PEl2rSiw+3 zM*!ca@id;6Oi$zQYseHW+|5VZ|MpiO1(CvHym_a~^=iMvrhE46#l>My=GEq-M>`wa zbrZKXcP~Hl?4SITZ(VTw#h1=(?eCe$p%FuL)Dco8D3n^-F-(XlD+V8a^l-Mbx3svp zwORK&OJ-7i=La7=c=60ZGY|9msguW-&YfLmjH|F)Hbd4m>&ax-cDMI-N2nKXZym(( z{;%vKf4qONbC5z)LqpWMYWl`8yA<@%#?Ix7%P%~8ZmDa5a!}7sgl4~-tYs_xJX4*B zD<>Ek_zHG&XBsPIa@~$UsCVu^e01U1+V`_-W3@Y&?d?B&aCcAlUOfLC1DsMP^Dc>HPM}7O6=4;Sq1KF5psgyLNRk+K z?IJ#rv4oV8NF=h7l%Up{B#DS(h*6SZ(}a*^`S#96Syp1)Y&J1|pdw&aiQf1WOst4< z*Iyhm({%qpQ_Km5DgL{SnVw`q7-OP}s^(b%h$gYtf>cs!n!rp9Q6!CZcaR@j%-MM7 z{lT~zAMEvp&UcC?#o57Zx!*m$lHb`0_xE?z4jBctOBZUa&!%NrWNsePe7xPyb#rU0 zX`02QQ}2EB;r=A{i!KL~8JI*%!+`*GU1z!9-yN4_{lN#oKo|%pZ?VL3Tyn(vxLprY<~9S zbN|)vfBAdAzV-h5o9sBI#KZ!%OqNrusuWY5$|T0wZY_b7sr}q2NE0M6GEfGJfG7l# zh?pr7kugSCQz#8fuanK^^LjpIGCnJ~mz8BXpO0KoyM!uU(CJ@b|I)4&`sht5-2JaMx7zyH% z6NHfr>M+|juILtL%jpE(b(idXHeuNA;<6fBNo1dq$v{P?lld(3-p%GSquHSFV)A4> znIsZ>o#k$x&8HKS(9QB_H9?id<%ZdaLLR#nnVz5rNu-)$V6BJ!+#0T%TI(=e?j&fh zV|=F*k~4m2U140t+Rt4trn)s>0wo|qqG+^?++}qgiLLXUx@zX*{crx>*;g;D{>BGt zVI5nen-3pNy4j){QHk`}Y`n$FA0he6S$+EC>VNXmx#H;01|X;wZt(G&H?KZymIj@a zl+-diRfe>cX$4JeykSRZ38DZ=(&STeiijjcLD+jLd|zT@^@crL3!qyRQDuLB^x=nB z&YV2s$pf%_2rzA8WeG0pw7-1s?Y9?m{{63ieQEs?fV}85ao+1KKH979Z{Dxj8e>yT z?HQ7iG7}Lo=aVuS3TUKBWNj}b<4j9tO|fdK*@&%dKG>X&*KOufU7bC({@}*dY8nOD z8tv?F-dpGwy}bX^AN};o($!vnm{LsTJTt^v9_&s{n%}v3d%C=K`}WO#PA<%py%=JX zhtMQOK3Kl-@#x(f_iWbmm26HYi|iIvTQ?uP&{wo!Q|ARkzZE2zVg_nQ$>s$9n`@@B0BsEDb zvC^S=kESRZyz`K_KbmfDjk>*R+ChNzelQ+QfBM0OW*VCL`!`3IAD;WqzkZ?L@hs8t zE?@`1&386GxPCkDtm|N@kcGec@f8dt=G{UH_9h`hsjO;C?7iFB-ad7@Zx~fQ@8;bp z)jKlVuSO?15#>O&niZq{eJu7ne(>P#=4=%6lpnu%tWV^Y8NjsH5 zAf)h=kE_!8?1dLk-oCZ7+r+LjCMqPs82VeMNxwa`#qB|v8K5RKM6kvZ8 zY_xyu+~6O6?Q+?g`+~-k)m}dX&;SR!ll5W0XU!a|fBokB*RJiE?s-satm%7$)gQm{ zUI^15T2*6`d1|7!?!7DbH#fG5qCXri!@?cak)X);U5w*$s7h zc765Ht@(aA^Olbsl9I6`YMU4flNslWqCcO_V-zMZ0VIdJVG>2DUFaZyj7cdN=NKUgYq)b)tbC31+7C_)plK*UL8Z67T9)csea2z@N(Qs|-g zu}BO(UOCgf001=sfECn&4r1_SWr%_bB^3o=xb;yLQi7Ot=+z}jjWe1WmZs+;s6MDh z3!NZgx15j9pBT(TxOMCH%F5z1XHVX_uLs++et*zkT1yfpvx6kjb6)sf?PXSuV_7+G za_^eDOrgoFjUhyC)FVh8Qz8(tIdVP{(k`osp@AgBT;v5qV^vF2$C%(e8#c}fsH!V+ zj;u>y)jFlvD*KoYUANl*rzOjR6ca3m5SiFoJ=i!GVk#qiP(_3~Gf%GEfF! zz(kN38pqLDlE{b-LeQ#KR>xu@vhDq;&?7O{GaJ{tcJt2admo-Ubu5L32_Wv|ovYWc zO{cTfmDSO~_~FLJ*4EC~zVi9S#bdkMo008kqSoZlobql;GjElxAw&WhYc;jZ86pt% zx*!oW&T|SD(U5^3nbRW3tvL zjP+U8i>dS$oxJnr8*kK&y1dsd7GpDk&7dkO0&9$C?xYwj<)JAl*MIW+pIx%}(+_X- z+|oaL{WFJW-Gz&nC(Zx%7w>ND5f}aL!Wwy#qJ}uF<6My&?r>&U^CmUMiL{|U8im-Qm2um;MS>)iIOIQF*iO%NyI%J-e`cF=4LLx|=U$%O?(K+X);|h$$sC3`5GKO%oTFh7UG3KKkg_ zUw!T6G|1k){op}alP})AddqfxaOvEsdpB>MJN+z6W`LC*-3a@}uWargJgRp4y%hkV zSh+jddJXuv3V;2^72D@UKlsAxDxek0y*SUv5D}CaPBcj(C4wp$Q>Q711WjaYRGOA0 zho+wHNTh6V9`K1cHL;u?bet*jB4%b|GIc&zmHQjpiwg^bLDy&~r?cd!c2YPGz+1$q zlsRdgI1Oy;0n4q;pF%(kCWxx06rxV2Gv{4wVw;_c2m@dus7O-47z3ac@~8@w>Z<8> zI^Ci;DCf?4O;RUm?polY^U%3Ierr?b*qV~JHnK5cLO?--gAh>5seYq7>E zkWx~>S!XL_QZ!I6RVpU{NUC~1UF!9_{SJTxcmR%i763Y+SI(Weck@An0wgfb6``JQ z9qcC&>%91tCf3d(hGxE3b-F8=AxH?b{hdk4`9j^4!fLD~HrDxBgOn^q1Vic>b(3yS zrt@Qi?4|R^3ZvalZ(KL`HnwKX_H^H6Zbf0(bW%(LFcN`DO+rkp03Gg~=wmk(6?i>- zJu!%w2qb{8A*s__zrJ$%^=BLxs!>JBX0__~uHCK3_1KCYR$!?um>5c+#6B5rdoo}l z$02D||EGWah3hvTeE4AZpZ?J+54U!z^4OOy9@}c-+i#mQ$5&rCd-{WiOaJ1}-%ye! zHM44}+}I3`8LTPJHI>#O^R}ucO;u*jCNzKoP9uq`AuA#wJRX$6p{Asq0yAgEa!hqq z#t@uk^%hpGH%eN^S{Q6BC;2yE3rWa0$ttM+n+Q(>rBVnxiiUDfE;>`t3s8SAfzyh#c;Ga`q3M|`qo!I2eOza zIAaY)^?YZt?R<#BfQd<g%c1=}-dTju-i}&tF1HzkK_OS@N%3IDM?2fA;y4Z~S`OWqmY8 z1Dj}jRMd2@(#DZ-)^~>r4IfOUn!DWZbA|o_F#$V5#5Vl0U$ zvo_A@fBp6^P8{#W#Q*$%`InvEVlk$L#f4jUcD~)Yve+*+XXSJ*olaL$Vu?ynBv8B3 zh?LS(%J|cGS~5M2zrSdm)Eo3W-KD~P;|nhX(3mu#=ERPfl_3U(+vUp`hKc^0Kl!hI z@aB(hef;tHix_@6cb5zuId1v$bo@~)zVfEbElfB;Zy>Ym&S+}>8=UE4^N=&uS z(qyt9QvB$HhjFVqu<`vz8)f-X+(D1ea?L;exA((k^q2a-813A;zPIMrQcA20aSSE` zQ6j3Eh{156&6JebW}|s!EZdY`xO^=4ZX0}OdwX7?+bKTx%z8a~_~_BKaZGu>go9px zF!vRXVFUJw6frTPLm~o{S}@k(O00^;ltNRM z!MV&CTi4aY2M_y$o-u5VG0u{zihxN~Tc0hGw%e$Ame*xDsY+(!tZnz0NF_9(k>s3_ z)MU8@|%3>`5h0p{;$>%zqkALS|UwZNK+3mgEKm8Yf z8N}y34@-&g^>2Lh(W9MT{^H%iV996B#44C^qOLE7DQ!s_ck(rxFHGvKP9K$ogZ;f> z=a@5DR0|lGC=!{J4u?cY$^_%{{9rWo#e%>G5yu2(B5GpL0KjYFI+dysNmY%)@*y{x zl;{ww4#Q+sX*Lf;FvdhtB}z%E6iB!#Q`5|rR#sM4j_vO6P9{@{vFP@?MMqNAR1K5w zbaGV{khFM)$2516R`sW73Ol`gcI~wno?WI-FnIF(b2kpQzw?8;O$G102B*oeWgxH; zDOaXQ+}iK9x0gQ|G*P282e>sHBLW~m0wc5L?dx~WpXh$^!dZfm6xi6Sd~fsA`f;0)2r;1n-oN?)CKpM>4Fs_XdW0opB4R=!A~Ye0P*!w)_~u8?o;`Ku zc}wLFdl(TKhJ90t<|y~-7!7tjU-h>MFI&SfkXik zQh*R673z<7)_hZyDj``W6BgF`O$go_NIjpG`;}L>tS%FdgJIt552lmpbiZ-=Dax}D zp42C}1<6SBt}i?eTUK z%1$w8NK=zkTI=kVG6)jO3MLV5t9on1ff6W!lq7)?&?t;*L(EXiLP}a^jmfG;Q=zWT zVnyBq3E&Wl?~|w9fQomC$Y!fdY(yVFhX1 zS2aYWk@WF2nVQ4}|~x8Hc@-6T14 zr#DOqK%yKN{%GHgZ-yR)=nww^UazhMbb=V>WCUFoix z;rhe9M+c4h!7o3!c>bB}fNtM!T(%x7o{q! zL*IMz{hbgtN15w%7DT6-T9K3?uu>#yz_sD7f#*%gScT#_0`mk*B)zf}&8jPp|Iai95D&%{@!$ias zk;$pkmo^^Oog((S-K_^oFbDPCS6(>rg^R!Qi#Oidd9Vrg#CZz|OB{d_Dx{u3O-gM1 zKmBL_cr>2>`km{W6YtmATb^a-f!JEx{Os}9`+L*d zGFe%!yQ>WvXv2mfNKivTjFAv}*8jiRWD>Ey- zx!xcDvwQEg^l)xwWrF}_!~yk`D=Mlg^5nVZoPGA*-}=7weE=Sy52z6}p=1CM=xhPb z2oVVM5rohr6(E*{Nwt;{$|BDN2qwuac6U1y)06eq#KHbnG8{6gO05ycQe*`=PSa8= zA*AnE3m_1V+3{m79ikib51&6b{;l&D0G{LraZ#4O=bvg!?7pbpd;fv(qAW!aXk>}t zhyj@-D@n*;nMM~IhEW3>6d>|ryaY#oI*RmSiC(QzdvEpDnb}$`XiDJ$@SU~$agV^| zg<^rMSRx4$fWVR%KrsTLLu3ZF0!cWFrN$Bnw1R%WjR32M2k*Z3tMeyL0I?CQ89w^x zlZBJZ7w4CEx5SmJJAO+Fogzq6N-m{vD3O8Kl_Z4ne4j?N7k~gK1TpXkg&-c$eMC-R zz(NqaEN28Z;1N0{P--7!Oa#_h1{A_W^avHPM)m-nNc%>7+{h{20yt(x;EjB|1xi86 zl_O3$weRrjrvf>La)4rFeW^ku!R>-jx0D~G4jiNQg<|7e zt^g4ofpkZA8w5acM8_Q=DZviGB3Ma`AbFIxi$rV%;wXz?d}2BXVjx+K>pPu}lSp8T zB2iKc&vV8RGlL@_2Nnq>N|Xk~NEWM52w)^(fS7;@j053V3Mc^(fss98m2*U*aEO4= zlj?tX`=e89CZ0RlSUIl483**yoedL&sZvaY#;|aK(jK=;R}dKhVVw}{S3|8L81#4h z#g-S$u$**K_~YUH@rrQO!+k8d^i+qTWcGnIv(%BNl$Tq_5lG>{`HBN4)6Dh}L1N?_ zv-X`Zg=HF1Opo1J1TZoHX|x_o%RmecfJR1yiqJ_Y1SqQ&`H~sgg0v+krI#ul1>8VF zAQp^#bhZE!AP5o!guqe&k8mb}AmXK!*A_(iDH&$;v zI^4|>LB$S;7>Skd$EM7SA~|z%_FG>#(F(jsX-}xY)AO^<`SI4h`wzDU?LwH82OZ9( zsY5tn!pK^YQ}Lj4|M}WT4|tAqpqQp98R*7D5w>Z99( zBs(>?I5knz!ry84KYg%PjbpT>57uYL5`ZPZXe{NZ)lNj#jl$n0A~HE8#i)m^loU!5 z^C$xJNE{^ujJ(X45fR8aqUDok3J|yMJwSqNkTha_Y%fC9znwgOHv3yK&~fhFq`SVTH@H> z%^%wG2S0m%`NXk`@+5G@Q<21h86sp7tptD2FAp>4*JJC-TB8!inq-L{qZUk}5(-Zg z`5+IKrvpSEc01iqZa>^xe?&s`(X$9i0mf#l>$_PNO3!QehXQ>i`k70Q9Y1S~$!!PF z?C&Q+N1jh)D^sn5YFsy_%!-~;LWxkxnAv7|4^ewMMnGoE?1T`AqmWRvga~lybZfR2b<_U$ zzW-)Ea5Hn`?M`-kb#;6^oNUx?ZFe?z2G&#kB2&3AMN-(TurfEcEXWofSw%*a1(rtS zhI3b#5^bqXsZ8OS(kly9ma;UWD5bH93W|-ry?)m&&dwQx>l?dofB1>*B&LW){4pjsj#vp_+hh<(O@NO>ZlGC6l@q83J*dwb`OEw8TLQ^;R@{^hH8?`>~w z&rMDB`pM$l^ufTs`|(;1N`?VSAyF%bHpzV{nb4HZ^SmGk*)cg{0_Rv_0bDQ>GcXX5 z1F&V*FVk*HJjjav;1EJ`vg~ySEF-U8Cq*vLhTWpbHMxLD+ZiK=DI6gcDOg(yhs zs9{C4cWBZ)1>u}k&g!yIdB#P-g|UUTdEqQ`!o8m96kL{tEps#ma?XT`KzKvWdS$^B zDN*wf$!6W6=;oy$iYl$V#F8vXke*)@l1ckv#St%v#G9pMq;RFa4$Wp)&)9RpbkZUCc1fi!4f|anzRs zVn7B2@DWf$e)jlOHF)B>DHsvqSv1qLdd4z6tN%KngikguhR>~j|GsB1NtOU!)?bM1 z^NURY0OcbT9vB0FQm4b>;ri~{_93cjzhD0KUw-df-~8tM^06AYFTVWE?VTD!tsv zM7aqqlms$tdg-t+(|YOk3)gPkc6nZJG`bt@8-tH9$)qK(5NTpTi_AKZ!)7JcI6Qc? zF*Ozb(eJz>PyhfEZ6E9<{f@8wsri))&HAY~F8uX-H?H4KWTj=YD7+gROuBiVlV&>M!sVp2*46M zkU~XFr4Wvf?4DVOk&H>80CwaE1R{?3Atq#&2tqPyU;07e35Z13?CHMx%BAyfyz%_V zA_4%Q4qrRfS}n^@Kf3ea;b7QxadXx=a;0I3zT-g*#8mB>yrwgOc}wH8N0M6{15PjcG>07SG# zGFYYpj*Kym^p+^JKt-lta1O}i49LJJQISe=H_Q`{Oto5@0Od-1$c{`ZWZd-QupG9t zqANJ|RLm-IUcp>gQ%L2Qi9ra^%#v6z2xB=48`E(NK!5qcN7oBX+r_E&V0^6l7oXnP zrTuT8|6)K~*LdP|bzt;DFSgiEz0!yVsT5ghTa(}fgC2sC46GcFbD5zL`ns4%_tH;tMRDfNE%4K;kegDRkAu6@Bk+l9L|#R1kR#0vH8> zbW#Er=-=z4FVD8V`toI>^6cDnboP{GJ3ZFA`q}N}aIATQ0Ob!A-zci$gBe^VP`NA7# zg~07Q-FvI~TH0?!`AVJbP_UZyf(lbdg0Y2gD4;5tfO8Vk0)SwN92~JX>duB%xl8EI63aC=~O9irNY{l_qr8d4U@{P!pGf*ubha} z`t+dhJYkzOUb>=(TlMCJB-wfIz4rpIUM+%eoIRa*xh)Fpk`JCAhK1z9S?koX`n-h= zlmj0_Bo8Q%$_GIp3(zL%a$~a5Tu%10!=me2H0C;?@KsOmY&{yZ4^3q@o0*8(Tm5nn zYV8^=!q7Ha4Ljt+gOY_7UMX~9t@Cg~v37Y*B9|;imv%q}7}-&)09ZZV9iH%VPYyMM zl2ITl1}D$~lq2MX5I8E8(1NLVuyOa%XSIduZnlx9{Rs+8p^Q)kl@6^`seialv-KxVAka|(7cEwj`*2LQ0M-O3Knymsp4 z<8^TEPkwRZ_TAq2#1a#t%?L6rR3yi(!^~|JBy?2wJfG4YvSlHMt}6Xj?8&U$-rBzM z`@i+&S7*n;*}CMfJwLX+xpC0vAP7c*RgMYBDFGlD&?>EgGlm2aq#qDd37KMwnG9*m zz5q80wHgt2001BuEz1#UHcIuD0Fs1sAdyLvA*52e5*?HEw8S#@OHY>4iV`GAM9W5? zdm`qu`Mj zFl0sPM-?SRX)M{&P=Q)nVgc^XgL`{>`*U;irYOrS8+6-0dGpQx;~y=~j!oY9cvbn$ zI^mmt^RxQbUY?qmSR7mU=B4`m>b6!YNlIfW&$(G|JlyDiaAWoIg_9mY3@~&2#DlE( zzrXk4xf3fV;^;fU*ylgf{KQXgKj@U@w=Pab007O$$E1)BB?1Bglc1527PDXi1|*^) z&`^uLk-AsI1dno6ap0(gU&j@qr62a zGzy6*h!_#2z)`c7`N=2+i~^nlPsLIUheHqWD_?v4jTerOUiXLV_b`Z#B{7!DHkCHeA%k<4q*ToO51wtv%q7|= z)QEO-d;Qf*$1cx}ZI#0>p07Rp^wAG~bz}bc`~pOuUVpT5+`hOpQ<9gZ>0#a>ft3JU znr?Ou{kZB!wZQj1CNtxT_-Fav&W_EKif(-Ci(l+hd3SyPXYbrQ$g&V%VQz+?==TPJAF_p! ztrMUCIua2fh%`;d#>T3x>3f?);c0==IfsbEgdpUI=z9`iG#UgDViY^|qzxiR-F7Ju z5SXkrM=uo^u#pyZi%B=RRl|vDwUG>iH0|Y_8XSg|+IaJ1ztis*J8@;8btCi^GBZqz zUC&nhAV8r@V;NXTJt7;ODaa{+usU;Eq#Ly<6-G)REG!?Z!~p;_gWmb)#xGwOF93Xi zrvfp9Cwd0}1u#NK3SjK-dZRT!^mi|T%S!rFp77vQlm{LgPdx4X_vn0?%kN`>`3g-|kQu^(0y?knJ8~`r<_LsFjdJ|VJ zRGwSP{_?Huue|)i+(i8O4h*%w|58Wr|C@zM_VjD=c`Y>n;Lh#M_kQ%luROQ#TVH+- zKtJ`c2XGny0M39Nf(oCChkddD3BWz|tT6!dxbODlb`d}IH3NV#n0xw%SozE0yLnRl z(HCB7)+)X9aO*$3^LYX|@0Hx^$yb?ohjz4eE8>Wy(k?VJVH4B)V2B!__;jk~F% zTQDJxK1nR-v25b8DiV&Af|5qG2jXK-2p-Kg5u)}Dp(L$U^#?zCTQ(5lNl|Kp3Y|rV z#EyVa5HTS!5&~PYL`;ZaEID?L95W&)LVIEo4npH;Q!QTGnHXL6VjT~5Yc|#E&W{KMvp(XM|g)nPxm5zJe`C`&p_nSV+1G!2*k(cm4Hts%y^`_WkM!E zWNQgr7^yG3eqMpN*X{lE7k~8|myW-5@e+UrFaZ5}-Rx}KXpGh8>eW_FtnIX?r{-wn zcaMBDY4+?+_pF{lrf2ov1}yQ>q4*C=e-R~m0N@4wqqQ5K-djF4HwBg~q6CEqf^l+s z?$aB00za4>pV-^pwv4UuNnj4V3TF1v-CMqdyxaNVU;psizy0lriLoYy9;OfB9E$UH_+VT$rdg|KR0U3V>g&ZGQamCme?tUVR-1tb|7g2Pax%Uq5{; z2MB-&#AY(A5;m%_1VBOwIC9ED2Fd6gB`ymU3d!|asL)>h@WV4F7S2wz0ATF5UjOsI z_>1=b`q`5wzVY=hCuN!z5a=P1?>*Wx1B7^`fpr5y;6+;(xjx$o3IG~o z8$0b{*oI286|45);OAHFmz_M0f~T=7k8(9YIq(pqKwqc;fQisC2v836{RgYo+A_Q$~JMgbZYLX$*n#KE2?9_?>cK_Mq$5ZgQ_;e_yyTpj@bp+(7H1ed}}w2ek|=H&d! zRC5IY0G^wielSeyjc6R;^x{mvw9GCLrC$kt@vAGhw)?e4)X?eax!GZ_e`9TR@33EH zo##)?p1gE&FCD)B&S&eZ>#u$B^4!eA?%v?=Ua!Bo9-Nu__+j^8Cygu3S6*4JRa$cs z-l_Q(KsFx5iwje`8>=3ax=aBeKzCwpV(`3p|NT1})wjO&wLs&4`pfSXdEqGqM`JDs zgd;O>MA084ON$F%`N|hQyuJI2_wR>MMC3kac>iwvh)<6=BZ70}ED0e17(sfzKl+Fx zIH{UhhJ|Y@FV77K5&F6Yfy~OZG<{WiT21(xGwzqRgBVNE(kde;tu2KSN--h;$kFvk zOPOTuL6;WB;rCBY{Km1WmM9=xXSldHKBoi$bc^b_Uf`OS0u+6gW z#WS;~=Eis1yGb!THgg;R_LJlnZ~f?v3m2#6k6%1BH&O8cQ5aw7aERm!%3WO4v z?EO6}bFmE4){{`BK+G2RNQ1(m>x zS@OssW^^h7NvFjKurMnFw=jjPI+pwl#dJ;k6LQXe`o7e0JtZK z)ki>^ecxg2EN?d^3sL1y%#2Y^H@9t%tONH(qnC}0UA+hr60Af-G!=%s0 zj#*%1tYv$d(m;H4l*y679DEKykO2`0Kq@VzdLmjtaU|McKm-&59eti`@t9~r5Euo% zM1-Pv^@ZmE;L+OF%^RQ0%{QOBcnP3zT<&Z=`tZFg@BV!M(re#7J=eIl8J{~lbE#3y z$vB~V#GZ3at@fPz;M&96?_Hl;nfuKzJpYSN9^SgQS8G;G>BQf*d-yxBK6qG|(q)N^ zuv&=#pz8*q)4mt1G$P9m$c{yNEb1TH6c`B?aD8hoMG%1ni2QeLiXCZ-u!2jUE z-dqr!n4hZ4N(pc?NpI}+-&mP;F8Q+$RzKJryfDoG@Tgt9_3572=}OMyq-kJa^&=g4 zhWsKILXeWVV57j-5L@e5G9eSPKm_L$pk!gnOsLY+PNHEVCdH(L^Z{73A~Ur4UfSiI z)&v~?&IwoX@>ut!tP5XR!_qMrL_U|Dct43$|WiZ5R}ee3$wR-=0E!uhNBw>Q={ zBR`lKZ|-b$l!Vt`n7R6CcW0+Pzr1+;#;xfKGqcmx)d$0=xymcgt^D|%wzG8N`1IQP z-lGTWMakjVxbQ<)TB(EkH1*$PB85GjIVPYo;>LU7J!D*Ulh1s-N(hT!sQB}5QqLB^m~ zCO3stQ-g$@QM>hI>(Sk));uu}7naV&0KI+t?`~|En)#!PE8`XQ-~aEvM1=(aleNpV zQ>%}sjs-{{k63^t0IR_XWPz5+k~N|4Gs?Uyg%Apfk4y?5bGeUqHzi60CXfzjh9}!u$>oIKE9rWDi%^{Z)b1+u+x?jrBDbYj+Q4>q6h?Z zyPZm{RtZ8B$}y(HoR|qDv&Y~O5+HjTtM%yW^^ImVd-d4LnCE8zzj*)7c(n>3QfDoM zK_x5!Jb_UZnW7u$8XG2A8ZIwfm^!;q9|HIg>bd%fazc7~5&!^J@1zHx9?DRmK=fzwD^}DVXRXSbk1%4E$Janp4%ujZtr=RcyGx5`X9~LsIYx7 zI6T<*135E0<9R+a6VWJ^=jo?3>W#~no|~N>f3&(5c#;^6RBB{GDzILyzI5r*=EkO$ zs1P39f7F^7d$_%|f4D1z4g%y->9JN;WIulEm!C~cdMK8UFE^XjhmURu#4wC+-CLV# zHvaH;zVy-B{`cSiC^I{k&Yt+j#Y>G^D`wZT7NvT)wyCv_;}EO`L?CAz_j(;SI}ZTl zEV2ti-=sZe4~_}UIk`H505JFNu9+Mq`UiXM(XTofWJxiIJa2t% zO^ZS+0uZImPcE;lEFI4v39KRXKxPuwXBHR6Ym-PRK$R&mri7qkjHy;D0C3RhOiqrU zU7qs+fKzbnspz$rUwruBVW-!fs5idyg_r*Pdmq`fuVoCQa3m>-0l^|N0Rad+q7*E1 z!Jq^J0rWb305Z0a9!e$3yhw&gD~v7X__y9{ek_&%1ONw2#9|cs^YjIrc{J1n2+r9f zasxpKVXb8X6zF-LR0;s*CdbFerU2lBtDnqGRGz!|MWVYT`U2hDoIm&bzxn3(zJKT1 zTNf_>*8EswXTOs-f$F`hp=^>DpyYze5Iv?@nu z^5ecF96A3XBC~T=YZ-(=C+i+|ddu--EMqBwN+KmBGdpn1eX_Au>pl1WjZdh4nu3Xb zyT4Q)1AuHWNV@$>7?nmRX$}A&5z6BD!n79~fOG?rmnD8h$aq!)rQP0p zpWHfe{8(?0uC8rq&-2*dKTMLrz>9+a{M{ej-$=u##lUaB{qB|b-dUWRmQs#LyU`ql zlmb8yFgvQ&Yn{%)|MWlo8&R38PRtcWc?5MwmK*qFHUsd<*x}>%>*)_P0}#0*e{Q1D z`%Vl*LzG3WI$5tq{lR|WdV~YYtF>rJK&_Xqr*=08ZS0TdiOOxS5F4TxkHVIKL6#TR z7MeUE1mTGDLQhj-2Y>O-hNlY~w5s7SA3iuF+J2PC!NJ3H6JlRi1OODW6pboHfuKNg zMLIAxFApBVfT~zW>DbR9tg)&IB5e!jBuZo^C4^v9j!cra2U{}Eg)EUvAXy2=wO7l_ z+>cdfXS>)Mcx5;_7h%@nVi?JqM+2#S#Q^v?{z)*AO$vAVL~Chk>Q^7%9qx4}YvF}& zef8&W{W6mLo#$6>Og4ozXBQ@h*-%S3IbHwk)86ca_fNlkd2znJ*XbRv$+ec+SiL#< z+WA&sndtRX&BZalsnW4}d~FBz5}~z}Qb@smRNdI#%>fqYPAr|<`2Lj}bK&t%*4AEo zN5^2~hr%{6P9m=R)g30?$zH#afq6 zr=buL6AH@6Y$k&>-$P(sSW`e=om@&>QF0l0?$O4^a5z-nR2WRRCYk^Mp6uj@DJ=k? zK^&q9!v=O6o9mlOwZ|HMVs^6BFk-zDAe7D>7OLMH9>&!wh(<1|1{AU}lxrqkf+s>r zUN-DxLnE*Z0z{kJbWrspm-b_SQda!Jb|zZQYTzTl-mn`-L8ThEGq<)1Z*FdU=WCOf z#%D%?FzZ~FW{swj3x^-p@3C`?Bb)gVk8$*m z6$TIpq(u}gh)d^Ec15KYOoaBJ(>D~1HNrC|76D);@HJ%`hKg4*7n<5 zu{XZi4LdvP;Gn;;a|IO|Ss~9umD)n(Y0#?Fgoveu6MtZz@ZCK`?A!Ttd$ z=Xr`ba||fGvg}8mVsQO5B^MA%keUhcD9`Hex;Kol4f0c5(o-1aXr~qcOhnc>AVLHp z9>H0GpHoyv*6)18x(gw5YpgY;5elF)=sD|R6%cgErb-!Fo;GJ^mZeJ7^JXWp}VR?i^Qv-(HDOGl-(0)Xx9{ey#pxw#e!K|}!X`DFPBU7;w8 z^8AVUq8w^{MlV4p}(nWITD6`Il9w;Ps0F^dJQ-9j|M-IsRWJ@h1Ar*4 zl6j2bE8{g@7z-cRcB$LA!0(9rTi3YBqKbIy?K*5lMDTR~*kr^2YNQlvF(_=g`@*(FDyhH>hOP^~q5D^%plD_AcrWh%{X2+)( zL@&#|P)$sa0e6`#K%c-N7=-AJufNpW^GaL1cxvX)-nse~_J8Zdg{yZSnKb{+7hYvP z8Y^B{oV5TYKv`MGRxWGQ2rPax*q-MkAL19l->QqT090$c8dIe{_|fbU+y3FqUtm`1iE-|=rBsi7*O|yZ2}e2_lX$FOd!j^Q z1|dKkHFyyigiuG<6GqRcB;PM`)u_$Zt0KutRC#HVPPa2Pu|hcL54%~~r#!4gtx$3= zUF&uZJl*ho>72BV(_|2Y0Kx+RA+RXR5|hbTw7f(#Tf6&)T;Qxd*xUWa#ktGl$A0kc zN3Ki(#uRDa2J8YXb8Sq!XHlo~lSNQ18&RdS*=BG3Agu~LE5cmHi38uF1|dlZL8eFt z7~^l8Y1Owxbmwj$Cj5KtZvl&pn?U^aR1=o$L)=N|1b*u zyQ{Z70sEV4pEhb9m}1bodHYs~wma-TT7C53;ri^v*oE__Hs9M_@3eL38Hd&~av)^$ z#-qKLmM33-@ymbm?|!;L+u-c^lgFys-|F=CH@7Y=G;=P7VJjKabQmH|4kU1|Y zhOfSSqAaFv-71`nAFcKoa*p2Pm8*3@b#`3Wx>AY>5%<{%sa0fSUtZlcc~4sF@>u?+p7KzZ0s zq}Ix#qUeFlC1_tlpge0!wi3_*6rH35fV^AmZ65-_z1wTU-QM{xUg~zUt-JgA{%R#2 z|DD&rzCFlQr8T`WKRYI7wD5?>$C_6@`M6l$`n@+^9;*h0o%Gdc`+=T=oQU0oU?00F?5#cUFhllBkaft(m((#;_9-0jj1%<#~olcpe=M*SS$7^WSsLc~;Nr8Dx4^ z|C@`51tJmDs`b++kLv)K**Q1b=YEZ4A}0|9Kp;RzzK;@!ny493SXcFZ2Ph;uVq*0C zFw|PlOgjMc)WkOcOjM5Mxt~0wB#t+x(RxZ^#fu+4TEDeCe`aan`SJ0S-}%ZPD)aLr+qU2tbIANfb6xff0oP zy}L7DDYDG{&Ch3E*0BN3WJ$ShC z#u7_sj8VWSa>5}vvA2CVHrdjegHY7Muw6P^t^VNZy;5agK6e@b`fT5Su=&o7wJ)Dv zd3m<>(W8eKPcJvZdTQ*Qdk?fPUVZWOqw7DrdH4ErXJ3_SWc+{tgrJ|2J>X*%glxAv z2YWknGh=xWi!-g0Dd!fN*69paCjXPqhzCdn*jw?VI=8JF98Z9b!*F&-t`**!$1_;k%(6?-Qk^ z_~8AUQ?2=WvjqUT1`W6{<=@%X2iz_V@t~NSj?Q0P`SCAr0m@oqOz9@57O+f<)SASr z*8#OJF;w=S|Fdt@l=}WJ-rm~S5h&$oNrGc`1ad_7b=rI9&YbZXi$YC&Z4(9?cUV`kp_6!a{gO=>$n~dAqYcJ~5&6{Qkj) z=V^vvKUt6C*xFW>q##maH#QMVf9{|?+}(XxuUD$IhI6pL-|h9fwR(#Il)}LvotXCj z)mP64S^_A7%7>fHKmOj8bL05Ma}xm3`>LLFQUEZy@=eT%lL`mz!!f&e{#5ze>$3>e z-lKk=44;py_qWP>pIsT0v#M1Gk^mv2wx*O|Jd0D~<6nC%0%!v`Fbeb(9KfKP$RH-Y zQ<+j1moIkq>Be8JD%rHcGmN>Y2&hU-B@Q2t<7?+Aj6&ZJ_5mJj+!Y|wr1+a3|GZZ5 zhuOiswXM+i51qMn^O~+j*1G${;Y71J7?gkWleZ;GrSz3wz3+ppR$Fhq^Q%f6Ai__7 z{_|v*;<*a|;42}30gzD}M-nTZ@4xx(gYCT`#c>=g_@uoezkmNAnn>Pz|IuF7<4c+6 z)uhr~=7<1%$Y#A63@@Ipz4+21Bn7QgI_C9{;e(Cd?fZ9&yjO27%GHY;2eDFy(QtD6ruzO=kB6Z%V2_R4B@ zty{@I+5X0?f#@sgJsy(5 zC-OWVh1w#sK!*|pSd%HBII8~M*T2w^3IKlN)r&nZc>l_k9v#^p96x@7^MiZ{7oUH1 z=b-!E$Ezx+v8s^}gitUcF_|2K7!gmNJQY=Io>aFVZG8CQM?n;`h?rxC5eo*9^C%kv zps+FO#%$FqI{RRXLJ1dD3u~d5@jDeIfBC_^VkfEl%9S0-$?;}wvI*B74vS%b_SgylZg1Xtc>DdT)>p6mNGpGC zX0Fw0+R`F(I9sV`t&hfKqecyGG%M@-2oy+JGTXm<_kHXo1c1N(_}_+1p9iNOdA^U{ z1Ex{5)sZ&@AOcHZVj^G$2PmX(DHt#`E3Jz!oVfPs`q}B1K&~Tq3X`MMS_Vm%SdD2< z+`hkk(9NT2jckeFkU`Mso3Q|dXKA+2>KSBuR{!Y53_^mExVP7CG_@ZDLdwww_iKO8 zIjQu>c~l{jD}e~La)8cSUwZ-}PYbD})QTCT5bPWX=_3FUI0GP<1Zp|T7?e;TI7i-O zH9EaG_wz^l{!du#pvq@K8Z>~t|m`+lOlI2oGH zcG6Go??1%t*IqnUnW#>K;G+HE>h`?{LdeJxp^%J7qfF4!AtE}C{n)EOKPj052-Tq9 zdH(Xnb7z-s-MT;M?{4kwhH*6KN4FoY8VH_0wOn)#yTfd}60R(+Y~8=L`RMxG@pB}F{xhYU8Z>L30aauHkKTNuN+I`!qP=jwf6RV zg{QssRBa$j-sGF*rGU z4gfr@yx0c-BPmIG05GKV&))utC-s*uU0#kn0Gh8wlasZZkNQF2|F38fGCLPZRSBcL zV*kAlZUigSTPEG@41fIIeVUrw@3y}< z%s_?}@>&Hc9Zzdc2YXww@_d18IzRixyVK*1ey_tvUR?~p&Kbchq({sWs9uY=Hy_@+ zH_1Ug%TpyQQYt`q)zY%f)v~qh zu3XEut)*q#UN#n%?fPDy-|zibJRZ06exApHybuo>hwn#+ED!_!;MB-?4r+V>6T+M4 z4;N-u+eejrCLQZ!cJmKfbXr?=wnx(r=59@&xxB2b$~#(p8Ki?|eL?*Vp0=rp9@$>{ znFnS@m5*)Tbx?n=ot+ngqcwHerqtK$1>9cuJ49gADZFD$#9@TVU;ZxGx?c{ z=LqluOB*jX_xngk{e{gJUxjQ4p@-VlkG z?z&+$cL>>|uaeeC*!VZdMAq})`6{)h!FG>v6+1aU?>4tr0wftVD>R;C9(buVnqo_9 z8C%;mL7{^zVv6tS*AW}@k`%wr?8C|uF0Y^+pQ$Mgib8vzW+A1(#B|Yx8H+(gexi}r zvjr~?O~n7O29^D6cMHCEuBU2&(OF0{lCjcmI-}iV5fK0@Sb*Ut_VWoEP?Jwv%`Hm5 z16d}+aD_b$`Qr3Cd3t&}8QyYP&rmW{995oZ>c3|s;YGX3{^+%f%6@1@Ogf*5 z_*Y~{ZKNKT>WdL{?C)W6BGdpv{6g5Q*_v0guU00}_iPK8?kRh{3LY_WX@Jk^$K~MZ z>T|A!0y!qF)FE%)-Rw^PGw(;imSvyqlcG~Y-$P+4yXw=#BD6F6^nBdmZte#5<41k_ zcL$Fjm)7>)2>wMl@ktGommGo4d)W*U;U@sBk^p_vBTCQBaUq6~-9HY}vJnL8XclTx zgEVaezIb_9kQPzcw5F+cVGCRlVTWXl>z$&aCPM}Ee*b#Vq~jLf2n;ZBKp{O?7PB19p#c9RHX27u|QD+TlB#RDh+ zl$pjf(Or}sfUCJ1sTDPloFu9ez=cHqgb}cJPC}!}Nt67F4+bgc))zR4#Kse8Q&PwN z2!k!6{1Bc6|2LGHt*sL^2v>Ai<4^0|$m>S;hed`SOhnj?SA*MI-oYF=__EEG#;y$f zHS)bc|LCCwq`<7MRzWTyW&82lkC=D$jf*zm4|x~*K_W^KWv?1`rgiXb>07Edsv~M$av47 z`1W|<$ER8m43GdVvd4|*6H_cGCP@F@zN}bA=0r%o)TKFAl= zoXQeRqL-dMFR=RWidw9^6;|AYlXH@YX^i?_Y~Zu=o&@5KXpOO~K|=`-WNWC-kxG)l z*1*6#3%%;1uALxA#ZoR5jxLd*!>;Lk=(8Fk;OcogoqUj-OkT;d-sN%rFfM%&$>C+g zsmKcx(uYo5#Rx1-p-sM+$QGC6QfKf7lYMT!THZLFikE1()kJDyu-b0nVjw+KthQbB zju}RE9v2&S|NVU%izp=Kpbiw2fM`Q8T28Q%>38uKQmGzjv47Ue*of&==jZFDDaEQ@ z)5PEI<0o6uUCq7>0C+PMivrI}8nrmJ7Ki7rU&>(!i<4K4dM*x>o+%w@#VzrDUg zr_9R<$H~j9L!_-rhgwN6=rda-*X!)x>x5m|Ja`Djx8n`>!%kmq-{mde>Vx8U; zVC&XPU><!qhPz-F#eM7J56j zQ}LW-#zP?+jqk?KoBjfV5&o7uuukH7Y#7ySlC0_5=y?^O%SV?;dGz0PP{ER=_L2&! zD{Sa6l}c1B;c^~Uy!WYKTm28eb@V$qToBE{AgTeQmd;nxZAN)OxH33Kg;*6;n6c=` zBY2Ep#tH!&9*(Ij%7u<9@M+5Lb&fjSE;35n7~;JhBw9hm?^jpH$3^n=A4|AxPdTcY zz+GVA#>KxVOnE<#A^ z4NDVmR6O`WB^f}N&0$nzBlyqPT|9{<%(|*m*KL!6`4uaI4EtYte977Gz4?_Rj{MR~ zT5R=o%*%|bI5KJZepasg4@TzJr_n_2r(dT+5ieUC6bd}=&4nJG&R^#IE)_d3O8g$P zM@G{H5CL%zCW$IJQ~)g!Hn>Y)e?i~dDJ#^nI1?^j2IJ%a+rmj)MWr-}pC`rE)$IPd zGH+OtX~DxwZe!BNxsb#%CRGHBP$Mj6=AOxf1`lrnC_w--N158=n>9s2eSR-T&iI}E zz^KXB(A^U`uj$J`X8VrU)9UwP0=0S*DX?M|f6~$DKLvcvMAyQsK$B)}ejK$SPw992 zjhDCn2QA$GgQ+i@$jno0IWIP^lntYrd(8$C@IRj9>b=<4^ft|@Mzjy4Ng`n!Xp?9Y z!<7Fx7ojY}f?@FBMc`!&ar^OZY~p?It14_7nnUWJ1Lz{!bKYs{rhGs~>fRqTC5ip@Q8d8GA$EOVo>5ZOP z(D&XpnI=8|bn^m{UtZl1Tsk$TK$+8Io5;@5WB>GG;BxBswpaf?w)mZVaRW-u*k6%^ zA_3`>J(RqYy^{~hGJ zh&f+%fO}+ID%2|N$PlgQrIL~~+tA)R#`<2(?CSOzN`|e&F2xM8-2^{40F~AiP(dCL zle|{OPD>R|ru|X`L)m0%_(U%2uYV+^)yDm)ewD2Fxac5eq0jCGiY99i-&r~h#2?;BZCSqb!I8BGWD`QpA5O*d0Db= zcbrLr2fo49A$+ImE@)t#_=_fsHg>ym+VQr!c*mFdZMDtA&Fy;c&y^FK-kIBk-^-lP zmmiKyE$tr$PoYF&DM z?fspXX%u~O5}}4>hu8Y&Jk62#L~*P~N=io52=FTQ4gGow0dtM{Ru#H)6>Lvq^OUOkk3f|5o$;QAm!LA=~S+ zZULBv`ylvqks#w@m**G)EZjYLP)~2?yqU0`HifTuy=GYV`F>m#&<)Rc-M)~hZkzGz z$Da`;v@jl5T_X3BKl`!Q*bj)J2E(Fj8!C+f#CXmtdpd{By=n=aN$Lioh-|4f==f6X z)-gCuIp(J+ijh7?0|(i}hK)pga66MamuLK^G6IVE5_>_Clb>Z#$6qcMM)HM-53mY8VM_P}rMKSVR@=&X2(%C-Q774Z4I694t;$dLezq`9j{qL(r z;fITYS_v-cIjBKU!bu>KNhOSXEOF;Hs_lM}WQafkI4$-H3{bE(sxQ?3nFyHKBSyqifm-6hKJJ}3uGQ*7$Thg@Embd zxuEdwTxZcwba}&L>k|rI<^ z1*$exu9B4>G=-oO?NOWOoSuctpmFoNPD*(x;MoWM0UH(_6O#-4K4};@YW6Fb?kX2ACydN*v-!q7%wFBC^-aGfJg!6pu@E`Me?s{yZXDb~ zVBloUx@yJnLjYf-YUg@zJ8QdocJpo0138PwONvq)0CH(lM$KV>BCG{>yc>5&TMWkW zrPeaT+_C0AJeo*~AZe^6mIfr1iHFkEVNSw9u^Q};u!`uGZr+W)PbM_eN!B?h+S%v< zKMPwKNcrejUr%pjhOrB)$kP1r@k1I47Bj(KlS!E*w`EJJi*-}ux{T7D|^Jd-?@ zP;A~cpu8qC!o*7PNr(-KG~o%!~|U z`qx=T1A-SY3ht|`Hr#8zLZu@J23Nj*e%ANBmyd5E?*~F&3}H;C(5pu7yVv^_CPU~z ziCeX2Erpa~uJyTnRlE0cOnHv)-BCeQRcM9v3A_9w@5aMy%6G3Hw->KL#_@IF%7spz z=&r>FeLqqg* z9Eui7xnBbf{i-%6Y+|+lB4y(Y;*jHo9b%=dq!d%S!fJgya%{oM4qxnA+%QIp*B`reB71puh^#E!oz=Od%8xACSeCWK0c^6SWIP_dnb+PjM|A z{r~R@MU)dPqcSs*yNbY|sN$x2cpBhc6}$j+$LPg8{s`LMe4mfg0#m_2Ad3qNSpBm* zq{t2@-gq+Iw9JjX_ufL(LunI**nof>qB83*4HrYM#J2}E7h@mW`xmu7%&yB(IqQ$9 zR)a3k7|_AXKDGTRSheG=$NavH^&pxhBMG zV`A!4Ln{{SU~)Ju!@ur?AM=TUv%4p2OkV7(qN}BG@B4{$zsIbyBddp^>aL9!S{n-I zS$$O`_ni}OTQg7RJip((a#z`vD2@`y@5t`?HN7b;BJT0t(4R~|lF5;j#WJplQexob z9YCorC!-EVx+(AMuR957@Yj%e4&%u)QaTaV{qsy_jds@&Zm1otZn}PbpI~BrK8>Bc zrv_rTL?m2s-B#I*(6j92gDBedGyB-56eBU<;+PJuIv|afQDHcd@ z4nFw80Ile51yw2tfY@fH0(-TVAufh9vyy@DBqpHu;qZ zG7H?c;#*a)tOTmDPXs7Mvnz70Z^|LP(#kUBu?fR~R=o3n0s`|kj6`Rrjp3YA1lL?> za@zMb%#dJV$AwQrlm3u8@NaC9+|a&_G)*W&jJCpBclNKWEZVC`V?)RNzlbSmD#7Qy z55y+cAQ9>?Wm=AyEl`~?O1c!3Y?zzJj+hq)8b);hPDoLx1lWEz(gK^9i9crz`K1#) z>u1h?#H0V7)z`xfPlJ-&FyoAL7g)~$@YI@vHE+{g%qDKE!BJiY{w=}rPuFX@^g7ecy z>*=^D8M08QhY;4hag7B*A$8k*F}##Wh2ROk`cvTB%Dkzc;UlcDi29r zStNLQOPk>KOEP9giVY98vi~8-7IHJTWs6p?nD_p@U|yuXC!MaZyWZK(odJ7NB7M&u z{^IHHTJ3b`Q9kBLhe{NAqvl5Or6+2ESh)2^Q`alIzBUtf^Dd>)H>RtWvI7NkBR8+( ztd&B3uytho-{I!SI5a9*RV_@pYF&x)U*Kz(d19JWWvLx0hwTbHMvQ^O7lwl+!s58z zq!EcE88#BZZ<`%AqeScSp`RAZevaj{HfdQ^_kBS4mPI-zzq_4f**+s7$@;*+?)WzZU^k(2 zS}9%aSrEJzhl-BE1J;Hbb{jmaI6wX^8c}+Zr{YFsLl!gSM1)uC1_$B7`jf%Mw8Kac zc$y`O$}q}hOS?uF86GVXn;=>mc}d*MRCD_5JQeWd+a0Ee(T^cYpHtq6IHer~yPzxI zECd-~CiJZ|$i+3|&{+UFLHAQR%OWdOoKWAq96x4+;YD%Ge_T`raOI|ED= z&e&9R2kGWaM#gRqkrWg_E)@oS0%sZ)Pm`D<8o4=Gcz@Baa@WpA@c%O!SZ5wWi3NCq zVR9F(&so^?V8fCy*F_ew)$@VEi(bmp`+5Om_&p_&ZqZLjLOusvR1A4R!s(o>p1&>v zGOgj@D`H$fhS$^7kb zu-d%f4R^X;x3YxJlD_+#-ORe*Tm>&r z={5m5-i=QMnCF&kVfm#jl~=es$|j^2TulFa2D-=$Jmu(6aG_%A?8%}2k|8=7UFU0V zB6R2;TmpogjjH>A)Y%zpm3jc3bfb4iKLz?8t^~U$3RxRbdh!!}n;-IQf<-L=WQ)NnxA#-cmb*%*8S{QXCP{vz(MPx`Zb5 z_NZrGDclo=!EFHHl%%#si~9DTDB%9S0)@NvUmjUjNb3F|0(Wq7ZX*m|ImSj%e(=w{ zOPAJsDdDw$8DvH?FCLoey6D|};%U0t={x2>Ud3={u}?GZ!+y#rMPYWJY z&Hm;JdL}h{3JdvEunW5nn11i8&y26P_r5)owN#W6O8%0+e#7?9jvhTew#*G3ylvBA zEvnNMCnXtowK_(L!Bf|lJDiVN2!=|` z?EPFD)``G+LSC1_2}6@K-H`2$is$vi&OFn*GTIy+EB>;gl7Hsyc@ZtL- zs=4bPOVIa?$rcmyV{mq&3|!5@wrjNy_#a8yv!dpic#@3UlJ$IFzMs35>A%^Xa8mgL#i~U6*37&FHYVPX>e!#P${2Q`o7g{#N55dyWNZzp%bSX-iq*G}ORqev{G~G_ zKQdNDiSfr*9vzX$vAA$n2K#as5dpkCjIZ1<0{%RlO9yjM)Efi2*{QiAAc;|Xv#jkr1t=LfUQME1rI!>C*I{9|Kvpkoqw=L;!1{fFv4Hu#* zXRwuqr^n@ujcxDRN&`wQ0_q4n`2#72-lNOslH4~x%2`+fsYNg{VOfXaKEa2V_zrGpg`A^jG}nGNE&i-}eehIV&u#+zT6E2+Le6Xba5AA*I} zl6p7=qbWcE0*duC0CIp5qqUsAOm=$4eR%}peQ;#dr8canA1=+ux-CZ}rWm)Vr;^CFVtd!Y&mg5hT6-V-IBw`&Hk z_t&*$)F8LJ)q7qfjgWqp=HLCgUf~B+;OgIL!gN7mfCNL~cfxJT4|(l>p zI&t-!&=3#a-k$6f?gBMxr@XS%k4J@%1hAk;{DveWPeXE4@d_-x>XHdFS!j@}+>4EdR0+>4F(fC6{&1k-{*vlK z94BBGbdV;DB2Az4(VPJ2&IMPm`8C(JwW!*?Z(oT4R7#J_D{uRKZ<}k)cUKV;*9rAl z1_Snj?j~MsydS#w3VaT1dZMFLpZP~Ryq*b@?JT-#;^H)W*&2qyi(uyvgq1;5??&eXY=~Codv_~u4Is5XmBXgsmrVm z2_nkgeYf#erQ4)HD&%qZ;5c%zT=iT*!rx$3w0V3+K{`3{;aj@{%)@86U}Xba7<`4v z@s0KGiW>ZJN`YfCgs$C~R9q=`EVjX$N z`X7h`keqAc2-{(}a*6Qd|K2mtA9eY3dfT!6&kRZ^NYVKdbS**0&}h>3p%P=T^K;2DzAbzVc(so!tUE@GaqWG2Zwp7oojfyteb@W{d+@;0r4 zpbP7yRbG3ai^~S+S*gNM-QuA^*o_iWw|EYJ=zG5UjxH>qbzEtOF1(O<;apm&$tMeecJqn>Bz? zm4}8}9@G@ZGZ@{~67B`xP$7@+4+hRfBp7PJ07jNF%NBANoV7D&w9uv0eK-1jNxJ&C z{)yWtEj$86#V?+}2|RzTF4uV!E5q=M;lm>2;~Ht1ML0z$llorG42f*rFWl`kdmf#X z&CX0t{xW8u`~JACD4!;2Ty=Emna%kLNp7K4IS3!liUmggaJf{+p66@zN~>$hab^ju zznqOE*=7M+r%QjNsAsaQBEoIRqTo{0*DoIhYunn4Bhh|u9=jYTfg^iarm9$*8k-8( zZMZW$lJ~Xs@lD%oVxr`^{~5h+v15HTyX$KvR&V%nx4@8fE~JI$t5|lFtmlZ~>umh` z+Nffapt6vU5A=T)EvEd5@%i4cIx68kD-$`S;#}J~Fc06ZopUCY|I<*cZ0z4Y!zvBl z1$G>GntF?YJ(x4Ni~c|P=Zgu3`v1XobFzJ|xSU7CPqzQ*A)i1A&3_vNf=l~ z4WYEHpP@U;L|X?Q@>W{3Rj*_rT37LVxP_H`?HV`_HU->T@z z%~vSEl0Q&;+NI%awa0rQWj}>Q zXjYg4#qMAjMd=SJm^Qd!-bzaX)!aH^d2|Pw^qw8RPRE8T%sa>f=SAp{%X9zv0y!J* zdzmF_>!Ou?TDiC0tqo5XOIJ4p-}%2=4!^~oRk_%&drohx^4AJk1`89(r0;qi(lrXqao*kO>n_l<3 z-qWXz{5rUzh09y`>GVu@-dImCoHYg1Y-(E`*`W%QIQ*(*U}WbG9uKIpPWBV|{C*ynqOkZBktFJ$zmY5HfCY*>D3Td({7{H z=XinDy7I@zU;U6~SHIgUG`6?1^Vf~TV}Sux7`Q|+l`yjc?J}`wZR!u>Q)g$V|AqD` zG_eJb)_wD`^6*e*f{yFX43@Mrbv+-ioa}>AnifAoZw8o89@WbBWEj^ZymlP|uMKGC zY@q^5)2^)k6Fy_#YU1Oopl>PbF?A zw{31O4!KcR!1LNMz9mRL^oKeu@to=`aY{U6tZh9uyrHdE*Bl8tJ@G`Whq=SjoM&(7 z@=@;MIVS{T^luRp^xx1T%Urim8Z#{yG9B}h&$A0-0bo+Xhr#p?I>G}n7~~Roew?ZE zzAr}&n0J_&f8-^LD5Q=g1K=RT+U9y1oQn(<@)RFB9G?E2#`wN%$D}uyMWRoFrz+;H z{3-T5{ykp#V0KFpGTWG(dG6p40vT}%tD0`Qv9j^M$nG9(E9WXkeG=x5TSFX1PML_ zAX#$Cboyl41&Hdvb6K2A!DRJkrIt=9ES?LVrR6cl?B_#kcF#F)y*tn|4z#vk3P7e79NjVwMgq7>$^i+Oo9L&?;x1=za_v6D) z@2`{*J2uw&JRchkZS2j5V#H`NiO>9R)U!p7xB>T3w)!3|f!a+>*ZbbGk%nFK>*pqy z4NOt{*3}Jbz1UtaV0w8&;N>K`M;OT;H&?X}o2RBO62Ny~ugKOCti2jZit$m$Z(JnR z++~I}AnOjI0>^lIV9o%r2H{$iqsr9iTs5Xe&;p^?-+|r6%C6@Hyo=sCZtRgoY)CL@ zfe<8$EG{M%ge+H?7z#v_=1-4uO%j^J%TN=WPLMTXP6@faSy#8a|5aG{1bqxA7=phR zd9yCD|7^#84D2H>k)!*JrFrbnOoofgc$10%9Qx!JCo+3IpDGjxeRAdVsPj7AuQA(? z_-6XIV3!~U4tD{c!f*E2jRAG50%oDa=5h8fPL&Jjb2nDs(lT^yZ2cVS|4C|l z9$KgC{Yh*21$kws{J?_40ieQ{2`DE&T`0Lcx8cn9j3WHll}K~#d(tv?^RqtMv$5VG z6!tvmSy;gcPO6>JS(u!3H@bbwqBx;AX_^ZuRNnBf7BG_rY=rY+VU6%vQh&hb7Ex*@ zBOju~gjF>_Dw7Nvg~PznHc@ZMGqlrEEIq=Gv~eKhZWiI@e7BnCmA`4S41@oPbZE>V zpRccYA8Yc0Nh{P2@N{%>;h{wpJv>fMd`Gwz^`ufP1PUuSI8P+U;%c|;363nl# zsTRGL`_3~S+^V)OJwh!n-1Zx+2fkNhlG%~U5@M8El(B(SoSevJ9Cd#Y%_QNw(c!z3 zyRm5k{-kDnv+5aV)KRyrgtq5rXN?wwrwXlA()myY#AqF(>2ig)J!Y}A>-uwxMncFG zz1W1@?s`YlsuaEtv9DIbBqF$XG6V?AQo(~zz82r8jc*ZIgOFpR8&e7v&;>p&;z@pV zml9>yrGX@=q4(yp3#9*sN8zIw0u2?U>|`D1*w~U_;M=gib}Z^^*)=p0z3mn7U*$3o z|4_P}c?dx8gpKPTqGl7*jMh=D*#fN||FQs7McDVZg_f))p=MEk}2@|ODo-!7N zXH=$&clv-%J$!8_R0a*egzmp}=rd3=nrbKO98aB6081^k8uiN6(u|T(Wwln#9kzDs zez}Ri)R@}%bv?3)bUBltcvP#B_0Fpbx4Im>@2_`FF>I4%_FnJ%Q*XuV924QtusO@v zD9YW?Y^NwKyd$tL$NR#-$M)LL!NEw}e_@bxt<9;#ZJMJ99j*$to?9bDS*co;>z87d z^|JTF@~N(=;dMN;Z~TRcDyN#Q`OaT#V}0EaFGs+wve;sy`ebvB3C(=EX;S0(R^(qu zpWHBnoka;TH#C@1J&LOpA`~$9rd+-3-KLIOk zP~PruPQES&Pv5tjx9#Eum+-;xNEmqL0AbHyt`B7BnS@RN`BuxE`O_~izg@%Hz|=?l zpEF)x`1w2P>Kw27ITBlqZOZ1iYs9)Fa#6>^(w7-4-3|B9{uaO=kzljrawwl-APT+C ze^JDpNG3K@BLFEdlw*djM_&=HR~e^@1vc|G7exEf8A?!omrD&n4A?+ zx!i!4kqizXRCV9_J?+DDKN9`A-@@D(-E}d#jM>?gOm??lGT}5O3&|?!B=#3&$~w_!!JK>q)>C>GFiWTM}--Omx7#K z65{~iU`JE!y#X<1LG`NtFhAeh36}Q@V#`uxHB9PEU5kXVOf(#LWNYrVs1Rdixu9=l z(&6s0z)Hbn&x$K+(T9WFv&9}SW)#f684z|)#m}ln%e@7sXiTz3@qCd6Fx~E}H%`AS znI*;rK$q8h@PLJ1flw;O?M)x+`$@P_<}bPNvI90Yz1OX{JE#s!ZmL=iw!7f=>P=55 zQUT+NmQ|J2yXvdKxM~6&5whfR=T&;O-{bh|YscBYvp>!bmjiT=Xw+bWf!1@Plznkj z_T+&;h{$ ze8+`q1XC0_<)P+^WijOFX9C0_{-Lu3CV0t%iOHiwc_k{ybTe=U=1$P6c3LoQL7W7V z4Jb@`SGi4$6Nf8mUl$}HhD)vt0!It{iW|m8uGDxH;JLLz09s%Dg_U$9ZkL)>jtx@r zOfB<0(TF-Ih5gN@j~QMm$uaZ8;##wO6bnH6+fM~!)g64~Atuiu%ZnV4&t68qe3tM4 z0I~a4w%kMc8;UkEKB|_x3WCbP08seQE*qrSPYfHaG@4*?IfPQv4J97pg#?pc?}X6i z*y{eEZ(%4zJV*uLeFa^DkSPmA>po1yk5y-T{rJlbDDsF>@GPr&{xC&H7D$Ax6NyyS1HT zIkv=Pi}KUy97_UFL%+JYiqu_O`y<#Qm2PKG>w06ot#8}G&D_hzh9$b!jH}b{y|H?G z=P_ZGF-`Dg^%$W3a*s12AGH!;xxo%JF)%6=jhp zL>c56LmsJ{f6R>gK4#>Bp&m01X66c&2&FVC82I0P+d4j1KWXl=L#N36!2>11CwFZ`!o zxY!|HasUqyWB_@L_^#!9z19xrz9z&slks?J@6*FM^*cUI<4SDWp>FY$o89&SFFBNL z=Gt`b=X^YH@Bugki7*ay19bi{?6Ce+AmFF3V+1{qkk%^cfcy3zQzf!(EF;O%pGs&j z5r`k@MU6bKovch3^GW^1Ra~*IMj2}&wYdv0~(2?@?%`&C6=z@ z$l&sk6{ldZ;R(A*{fii61Q5d@bGNAP7+nPn{z;NYV%$DsGg$wyML~&2EYpTt?Hs0X zOy>d{8&8|>ds8;?iN=c@(!DNLE;KOUfZp-q!zCkEn@%zu-bHb7(W(R1ZLBsFBpT&>g*UL-H&vh9t31}Dy*a$53pVVH{8p}VBc&bKNs zBt~Mvmg!|1;}*`--15x!QARX!Ix|p@^zqGAJ7LTqI%>nCo9f$wA0#Pf1fno)P~i3tdnZ066Ovc9wb)2o%=ESe zYHnzy^Uu9s3E8&QX7RgW#A`({@Cw;fpEl|ps8Pz-p=Gn)!CDi*+n})1Y!TXnn;niC zmV@Vp)b=KgFt>K$><4nFxTp$flit!S~c%DXTOZ53N zD}IgKohh9=h6$@E*MY}Esr^M(Pgo_5H3U$(p9dOb({+~rR2U;nCp`heCVKD*2dtC! zEdN!k@1l*|MnsT7*0+Ojzia7$jhkN$_~lao?>|wz9B_T6v%u;%wYK}C4(0giqXUJG zt0ZMN`bk%Oa|IzxP!q-3+C%BjZ5z07+qp@RB$TGl>&iTh#pb7BH({+CyiG1UT~A&N z8OjQI3izbmzclECM+NxFG3j?vfl3ZBi>fa+W6bE;$|?Z4qK287bb;@!toc-?Dp!5k z(V>}3yffM~Bg|4^YN>+Q$HV_xYron$yA?NF@;zSIx?Fy;Efajtyhq~f`g66r-oxJ2 zWiIRY*^=$qQ8f%EH(hQrNAF=aiEFNHPB)!wuwni=&^6gSoW9Ij$jt6{+GISH7|sAS zvJqS^3=0HX7&5#?NGeRrE*U8IPnx_ZF<-Ndg{5UZ&d_vbOlo-p zt=76Cd_4V7RPV$TjfaRCmq4on3ki|E!h3lA)7Wqnq{J(~d42IPyR-H}y7u9G?eS)^ zHZ5X^V2M}vZ)wUTY2=G!&RWjVRAY#bTPx--7%;cK&S~XU%D%>-}Q=O`-*apYc0_-Ut~C z)vtP!5o*EE!}@-frW6$o6XU-9OGhbeFlhc&{(r-bZt)!Je-Z50YMWhtH3T%~(i-wI zcZQs#Jn{vJEuR0zi^fvs`X7qZ0_a{y;#Pat>>BF1apJ%MK(@yZyR*@2P2a2QCZmg} zikz;Owcz@asZ7Da85onzr$JsTx_qcz)k0zNW@X*3{_=1?FbTTh6PAs}&iw&WWOaEv zeSbpg3vKdN%BLPGUOZ;WYWYaGUcpdM^oS2xR4U@Om{CLNu7c-jR*)ctFVE{G{)ae= z3+Ve@j$&?lTARPmh*qQdn(cE~hZ6@ejgOidns7D=eMkKN4U zHtD0z1F4AF$Q%~5qIF@!@WCjCD}s@h9M(p-O6W5F(IUS!NDI`%YuWudU=>ZdU<0~^ z<3O?C&yOTuL>{B=+m1xGhP$Q7>R3qgRhWX1VVy04*yhe$acoOcqjW|+OI_k_e>%E% zvs|BwA}Je*6{yS5g)kC-Ei{JpA7C~Z$X8Mdu(*V&7}?rq$RHeRKg4S>Md_9k{wYO* zEyCb!GKL6iR3#CxZL!xemO9;ruAV=viIIjE041{L@?dLMA*;=aQNmKR_m;Vw zhJHEai!;t<_$e?epaJmd6OKC% zR}BRE56e@&c9jzmHr&MfRSP?_F9mIG+%qYiSA(ne9VGBj)ad-`{rP6+`@>4a^C-wz z7_Ju!D@`w79fKXr`@R#}M4nYMF*$B)&zNS9|b$Q+(7o5yIIodt^~wX1fmtgd5D@VYPy{9OR&v=XhP}X0`8K{ z8DYPnN6i3}rHcaGunDQII8szP)5oU9Mt=nu2qJ8rU3FVS{p+Fc7h+7Eqi;N(e~z-X z1)fp6@#S1OvLfP{I%5L}z&)SV9sv=_90@ zV~~;x9$qd|5H||0Mr~0ofrTS-Jb^3RJDxu>HEu ztM7F*SkmPCvM_{6fKR4=Ytl8Jp{GrDhQ77x_qcGIvj1tYF?*SGr0ZgoCHcEjc1=V68c8Gfw-CxDO&>BC6BB9Q(-tvkVa zd#lf*5sT#b+5L!C0z-Tt5u`zrmp#ihIsny<#w}mEJ3sDRMrDO=D7R%PO6VJj`GhS1 zd(#fesh_YFMr5l^Y$hVVtH(8MEcB-A}TcTnekk8+Mm7p72NKKxWg>+2xzJSuS7%t3z>vU z2@U>zL<~a*l`zP|{uvd(f}xo?yGxdz)u_lSlrCBKY;WAy-0X7S-Z`%B8l(cv)&>u_ z#>cCNH;khLVmYcRRkk+v=cMg7JErM69fMM0LENM%CbQ7U^kppPO$|Odnsq_mWm+g~ z#)F<9)MJA*;|ofve|K9GbTpHq{qerX>wJ=-xT9tb!6uYE!26s)=okNfPWw%f7B8}C z{7QQih3}KKMMdt5LgKDW^?B84RhQq{N>lMH3yOBJ7v0IS9AglRrMzttsJRlOd2M;k zigi32gHSyPt<`0}<=|b&ZNrk4KvQuNj+;!)UjNg5&z8s|2;VfCF?R>tTdIUhnQzr@ zj!6a(KAZhoZ)vRIvoCIQCXP!o%$3L;zaBU~P=sRIJ+BYW@6FVA9oT;X!i)w}GKxHi zG5}x|ud~gFMT3kb-i=vt8tD5QN^vXUM{jOkBUYO*;XK1f`^AX)kz&#ft#%|gpswGp z2`@b^>GM=~pBQ#rY2+C)7y}gho7x{W&%|gd5;VSm8Lu*Rs-M;fU1(hIYe*Z!z_f+x!6A|`-|a%084JfSaz&jrbI$w zTds?rxL6jHa0Uo2pb638|D?rc!P+1rGnqtnrQpENQg%9%GJ9I{lS|yvs{Wt*ODk6> zF!m1!%nlBCKk_b1ZU)Wtl+LF!UU~OF10^^P_jc8Vd*1~-1ci+x68QvbY^SVNc!qtL z%NP3de|%UBPv?J5h;b9rlK$T6NNU{f)TX~WnD4fbp{7!h2ZQ+C942;H+mRlPzwLqI zZLUu~wF>u<1DwdS@QT=ZD2+3AVT@0Un!`np0bHSWGz8Whm`F_UeZ8@6s0 zwlv)b0{8#}m&&naS~O2TpRw0vx6i?35tRg~ng8^Ounf%i6BHTvUni~!yb?|L0}Y|z zFGUzic!g2}H6WJvv)aOkve4J>>#t`kWdmrKXZ@{ZY~RMO?PyPID(!5~PRk253tP>| zqb0SI&8#d>EOBvUZGaza;$=T9U)X;OC6mQd&{sToIPtJI(L>&s7yuiXzC=KPr~q zddRF>A<>BvW@y748vaCRBLvnO(%2Q#=tF_lnw#TQ>l-J`B6bfMn-8M}Q4?n`z19)c zKb!rU?rWXvgkDP6s%3*^6#PGh>TE7QZ4D}Ai~h8bk_hwN~eG{k|HTB45f5~ zG)OnnNP~1rHxhrk8>9~Bdf#(C!`gf8y?*O??ptJxTzxtZj5@xkz(<#DD_}8+yy^=1 zi1&F7-u1B@b6oPHtJxm2j(?a@Dwm90p4xWgApycrygGC~_*NNze=*meXCa47z4&6O z<6As&pJnZgihb+y2Zw;O6P+tgf0*LM+jenPcLhFl=B1XEeadWZ zOkU*f_wU7nm6hA$-sQ57RT{Q%W^G5KZ5T$3HG~B*JNs;tQu`iWB>qaFf}?Qq2sAfV zoj&-QCmi1YXXXAlEz$J;yCrXLOCksf|7Z|z<%OSqZF0`;@Szt&5`a@PBE^+);c(Od zs7x^PR1iHEw|x$13g8S~C66Eor9HLjm1ZIKym8#zB7M{P*o!^;%mk78eipZ3@@MQk zCrv5CLWEWuXm6R)OHO%pbo}Nj=^hV)0MsyMSl1|+RqAele)uph4R*F8J?(6x=(v?C zrKV`xoyq3qGfl{#$N2G})f~I^xop1p-dM!lOtQbsUiWy(sPe`2mX*5z<2m-Z^})i` z8z{mOf~Lg&s-@S|*i~|IzzPC6HJ-w&NWp?JZ7z>)@LFYc> z#g{X&ogWU$VqpjgVW5S=_#!8Zp1fso{G z@^W*dkCcSO-OUZ)yy0Y#lO?v<0jV+ajB&KPZZ3%;W4_~kP(XRv>mJ3ybchE;?C%8k zh*%u=1p8Q$d2OtsySvrU7f&DLDRb>3XkR1QQMz-U?>LAcYVzbqTH${mFF`waea$or(KcmEY{rJtDOliZ8)b^PR^>yQG+#C9U~F z5WBb<-fx2QdCLpeGOI$TLFf8&Nv>G@wtc~pTo35Qi(KeT0GgKfYF%~sLzUqorNL_? z_NsJLpfz(*)wlVMfq!!GqUh=}8@SUrfPI?&FpWO2!&rc-qTI`8xOz2kiD_OI``?;e z{tkD5`Nz*2+y^PClJdI;g7ZsN(Iud;2%^Lan&fUszWVDj?OZPrBweSi6{O|}Wj^Pl zk6DD8PB;p(T1SsHm@C(blIr00JI6a-#g`<-C6=CyX%h7w`$t#7*j7Ue<(1U$%n{+> zh##U#ir))K4JEU2nqanG@F+^@^hc54j<01&=65VnywH zF~B77IB@)QwV8k0e4g94_+e&*p1TGS73m_&w4kpMMxI(=8rz+!dR(@x&^#S>{IEAO zxUli}i~0RXsntuQf&201AnSlZl1lwVctQo|M+u*~(XawD+|bU5Kp3~bnQnd~6JILl z}6wHz{qm<}I&bAUO@>b`_ss`DU%td`Trc!-w+vtXJ)-()bL!W{x|FRw@+SV;*XL?V@_K5YnXxb8e89tCtzhm6wN<#-aJi8OYVkI3u%d*z+NNTgLC*-kD6dc&Zus(GB-s~KT_uCJ9or)J>``W-SH-yR8ah{C~^_DxnFnKakG^w^XO)Z_Vb z&KD~xIHAGBu`9m(k7Eve^83xQr0vl1m3@YcmHp;J=5mP1p{NA&4z4_ zQsl448ETP9yRnOfjgr&OcrGj_;g&cng}Bsa>Ls7Y+wG)nQehboFlOBLZ})I2cYX&BBjL~0f~SK+mA)Q+$KU>XGd(>2O5&=y zyZogajxn*e{`}c}$XKJE?a#lHTztR%hEZlUmA&P|y644SbKLn-)6m{L_Xaiwn7BH^ zgSJOAKma3tHYTjmnR`WOUR*rG0PPQINAahjLr>}#EIx`?FwMBU*%9jUm4~4QiTf=( zU4p@{1b&Yzl`Bs>zey{M9w#opOL+Zk{=-(0o{kUveYzbS{9X6Uh^@2wd#P*5hg4Q2 zJVOWu0M&@pi@@FClIjCc=G+#f{al<>G$y_F51ZeMx!dlp*PYkIcOK2J%-6J@PZ~Iu zWuW0oc(~)Ty~^esC>sy*rGAaT#GoAx$>EjxgiKNUyzq+THTz&rx1jQ2x>;^}Nq zpKFoz4z|Ef9)G#L;xFPf$D?Jz(+zgjK#rFr#&2bN|6#=jYnl?O2cZ&W>-e{FLTDIW zC`do*Yk!hJCVXshV&_W%oONh<-Q1m^W#L!?W zKjV@-Ko$Z5@AOOH0_uB`kWV}A__GHNDHOP4PmF!6xT zzrPT7j!;))`9NU)F+`okHkAUgP`*Ah(%etxV_o8uL~`tV@}tFZ_fXEe-Df}J=w7M* z{+>SG@A}!&Hlk~MWAS-A-d&>PM+Q^4i%a2P7zTuaHol57-qPLskgYQFetkOsg~YS> z>&eH-=BiY;UATR!GmXwvMiEHo!|11(L7`D5Dstk{mk_sV#>RxpeH3N>5>r92afJ@M z@1A?*)D#e}JluTjcRy3g+4M`9&)(y0s;3gnx?O=vJ1T(pZPL(>r-gJuzVWYJu^`o zlIGpbx1=C_hHMZ&u#J3t%-zH2K!G4ZjUGSF2kt&KigAC1pYrto@6ZLm=Z%=q2~S_i z6_;CqF;_1V0VRP!tMvPdvDSZTzjn5;zIR_^0FnzTtwLE!6$J|v!hj&ol&KkO+D5m- z;7RPa-hqJ+84u0ZM-C1SbnC0}19Lr}nhkW^{kf})f5y)Vy01>AT>rf_A*1@-=12~> zxeb1Dsz?u#xI4NtpC5@c`*dnC&LZoy)M#6gMrSso5N@>pm|I@Mc74v<{`p#`UBbh| zukIrSiR;SYlCk&sGbG7D-0SK0OPkmV`%BWTbHpZkMP^k+|K)zA@zRRO2_+6Wzy;_} zQ@;Gu^S54D4k9x9%k_F@H1|#-WxqB#TY_@)5W6((%;eadl#AH&saMwMg1cOw=>W^O7IB+jALwq2HALBLTh?yPMLj1xm zpX^=G2Sr}YiCC6#&6AxPED(v;G=EkNs_2zVDOG4q_^c{ONBZLzLwW4uw4j^qLg7# z!ytg(aM}?(^T_zfbwaUYnY8m02hY2HH919=XcsP{b#$7L34L-n&a4^ zGUFFZeaJV3no`eg>SZ#VxBJ{(mDiUHZOzT=;pdOSF{i3hQ&L2Q(M-otW@^1E*x%xNa)iNE|4(lC%#ZFRYW0^+O3G z!7e?Qa*)DC%(jfAw9$)h%lg!aauqam^g@y`O1lPWF{3>_07_8FWJU+DzpC-O`KBvO z46%VvvdmK$5VSeyXJS(2B2*JRnQgaBx{TECn%YQY0QCOe9p>lv#FO*0k|sEBPkY_j}XTGDr9h{odgwwj6>6M~ET zEq>RJA_DGhrz4eP4HNDHS84CEM60@Ogo}6aeYfrS5AS!%1*|1dx{N?zR z&j!lv66_1Nq#UhDj9=l?FJG7h=RsenySA0>|CrH8q%*HonRxeXHFIzCY!MJ>g?Ue0 zlsbz>P(K}%4@mwk7+9N*`;D80q==Jz#k085dm(b{YayL=cB%Z2~Uv!b; zv!|3c--flzKTsPD2>9;I?X>dcdhBV4)cDCvEOn{LX@5L-x}TjODJo0i^TGY7uLA!y z4wskAAEjQ2`%{spf9`(Q&%BW|^i%9t=^((4C+W>XcPT$(P1}5FfLcL>wiG|uZ+}J% zZ^M*4$jk5X!5HoD&+B1I+CtBv7cyVH{I=Huj}hHv%f(+$4kf;nE~nAhSGSx;w>gy; z9!?MGZl(TP@qOxkUkQJB{I?R=XQ22YEK~Tv_v=d`gk7GYg^VG7!&M7X*G(s-Tdst` z?Ca?7e-*Rd<{-v=^NaB1+ZF6eAFqw*r}M1)@4O0D-iN&E8{?TLh(>yQc%7N6qNHXO zKXzc%$D@Omt9(*|#G9Q|G(J5b`S|kZBnYTZO@mi~KX@Gy1=XoAoK3l4f}~ECQ|fg} zY*O>{=lh}s%)zvqVl^;YtS}vV%2;up;^47*V^Ml{a6#ygGfz23s3(>#CiR{;CV-(3 z^c-C+C@4`xz+^Pb|I(OW%wHz7qaRSyGJp|Ud7>^6>UOMe8|wJ}EXWh(^Pz*KLZ7Wm z_Zb`)ZI^?Tz`gU=29rq9ecn zc|)LTNI_*c(24vO=TSTqKF?c7w%=qCDwC;KI^@hvfhXkLJRMs8Zuav=Dr95nz^8fn ziiW=a;WT4wW0Cr@$#YT(?ZLmwvvgS@Eb5$_yzKj3DF>w=IWpoC2Nl1mK7f@?3=qNb zogXXT_4~Co0Wkmo_qs_j+CP6pR%r z%VycI;1-x9=0rgX^1V;a(E%h;Xi&!GF*=!X(b7t)bh1QhZXyMYe6}AK)(gDW{tG>K z@m$uzUtYa7_E^g`ocn96ta83-p3%C`q%R z*gGXGbk|$aGia|fV9BtNQA9j=eg5&Hz<%`%Pkuc)BlT6OI!B+f1YafPT~lYHAuP!V^BX72*J@f z$z21GN9FjRM2m!z6VR0v_-lzBH-YC}mDFu&RF+1I_*EJvvBIw{*6n;rC0cd5MLF z&Ie-9)6I)g1EGFJCRk^H0mxu=l9cwHf??BR(XUI7^Z%5*Z)8f6TqdWK*^ZUQ z-jo|vPG=UsRCn4Fd@D~tIje0SSzc0Nv&TLb7u36f`IAf5#R3vBP8X-qPuqb}6jLbG zNf+BYjwBT4`YIA2q$!3WJ|ehgRlnLPL`#l4_<{pd*$^U*o%H0Oy9I*DTSP$>w6!Vm z_J<1q<}O$W9C;uurs)cZ!q2y+-;TU{*x7dYfJ!CdwEnBIP3y?PUi@C$Pb)0MoH3n0 zj}8EnpuD8LE7-kkl1cL1E^+SS;^qm0i1?M*0Q z?m0mn)2LZ)E)#vWg$r}uqL{g%7~T(VR!Mr4V#Y|$0)=QX9rHd+EQ5Td=1JP@@{w2N zHMCi25sd04mTsf4;NBO~5$Y^_GBpp&%Wjo5wT+FrwFGkyDZy(K3rcM8gg-L@LwjQtPcj&Fbb3kajWAkHsEfgaVFrVO8DE?Z za=gZ+m@D;S3Kpz9X!CVgF`3?0iXdrKN^`!&-0FMjgYsECQZbBb^L12w1q-P`iuT+1 zjKFK%wBQ~Utm^8fTN4-igN>ef^ScsX!D2}c$WBYiLY0Dlu##4&iYK=afYgcQku#N4 zgXO_*5#C{`=CQV@qJncZ3-8hhc4T1yK@A%30wA?Zu`S(Bam+`&n-Xn96yNKV5~So` z@|nRTu3#v!cEK>7{(~kVSn@5F8kr;=iQIteq{^`ApO-aY`FJgteT#@AKQOtD;#fEAQpN5^`1cq5v~nA(o;JC8= zyt8sDBXi;#IDH{)&2^3BvzdRbWzQJU}yUbhLTD&_~ELJ}_m9riLi! z&B1wxAWkn4y4X7NJM%Vf{nd6}L%nkJWB8o_mbog{J46dD5CK3@F=5UT@7bo16c8b- zIKWX)mn@^|S3QgME5x2HEf<*`ABcHFj4r22CrI)g0z)18wI696p@0kO$g>!8sZX@j zU{#bgeII2fke;4AX4N!*e}BKy`CspH^XGj1B#XSn?f5+{*+yd`2!|_>!T$vhiq%lBab>`aVp(q^O|sbO(Bn|N(v^BS!SVvS(!2) zL-JlzS)7HC!`;YoMzw8Od}F6N|`0%Qk-1G_l_A z^fx{cB7^uaxMrrPfYnf}S5%YBnn75hb|m4NaxQQ^S*;?X3ZF{#leovC^s=Gw?c>ND z4|e#h3U%e)-uTMsk)y}yfv3Tp?54o%E0N9)w3MI}!E9^$eO9gfw*;;A^=TjU8bl0K zTEfffWHBc<{9)lRrJ5JPF+`Y{UE4Q&U;u>(np6y`5S3K_)=e+=*}q^FrEtYDs4Xh1 z*|+^v0`U>Opo)50!z;l?WCy0j~g_qUFH_qd2#1lDLhbbJ=g3 zo8}vV`E`fhy=3;URZ)Xc0A{fGPp*Od((gW#5pvQn26;)^n(PpF5Pav)!b_+;+zhgv z&L!X7OOTu+Fo`Nf8Zc3mfOdO8fQ3Oo!}^C+fe7G#Lu->377k?rSo24Ci>{d=@8Ad+ zmMZE``VhGn=5iL<2w4Op>Nf%PKY>bjq!cok%=lmwATI*1jVokk0icv}9ZmcoBp~pY zRsW$AfHH1yVOV+|X(=bD?D>ymFN-mzqY4$JzRI=gp|pEV?N?iOw%cndk}(dU%k$@C zPGw22EV7Z6(Px9N($LD-*h)maOLhH77(NKz+R5O2A%{5I6mE{KI@jOs)n_EfVU0^s ziF@@<&kENTc;?E7UHl+@&t|hb8cFp*z8G@%i zow`=>`<{#%vwx_)TU@sUr4J6Gp4Q7pAlTYEo^^JOU+ELe2(e~wocL@a2CB>0(&cNr z$Q6tx80RE^)HOPZ9o;nzoa>~z*AB>PYyawH4Y#hVR?j=DQ;Zm$U}v;R(Jp~}q?CT; z5+!`rnC2=KmuOp-_=ZU(P0JvHMkVD{ilwJSU6K!p3LK_2^TSj^F@9^PQd~e6;(rAA zTo*bx9F;xp|9#Aw={5b&?Q!$C-3P@4d~!(r*RQc{dT+#q>@}M-!@6WSJFnvGD-#2u z=zgySB4LV+?%bY;HH-jGp1znlUe)-;>zQ^((^GsSPnvi~`(5@DL^RzotI5sQdH90y zaXqKp^XoK4?BCSdQz~%D-RC8Z(m};lG z-*lS;^QT9Jwi-ubWcst#FW>80uuCaPG16jj(S*YRXDF@NvtWC*{~6euO|Pxs4~Buv z3HLQ#W>~(47D=UVjZ|aMsPw^6$-!#i&KyMKWWNJW5!@zpeIHY){yV{SSLv8xn=@r< zdCrH)(Op&XP4DaHTeQYO<-)}y#bK%EM<$LF9f=hqIaNcr{69K*2{keDqU`qL7FIuL zhTyDkT2Gg{DR_f^_386v)(uu|=NZS0UyW|NE+H8+wtlt7WG#+o_Z!e$DX!HYj1*DQ zwdM0pLe=~mD^<+~KMt*LwXQZR(_8di|IuB~{H~I=`@)Ck`qYUv^#VodynFAXgP)a4 zqlA0QdCySgGiPS0(TR;^;_sK+k&%ai$2nbj6n%fG@gV(<-c?Gv9bOu#4`mvuA+dIN zA=cSl1yTg#dk)Yju8^(lpl;hL6by|3Bmu1gzzf0Y1T$BB(AIs3II^R?P34=exk z1dG;!?Aj^?DoR*@c2QXr$-5YzI5h}!hK`pCi~0=j=25h-^LG4v-=g>8dEfVK)%5!@ ziDs6?my8+YzeP=SxvV2Fw82+Wsb$l7L?Xsv-H@(MBMCHaR%uX72$C`vn`S7k$w}Ut z5LMkDqWGLIdzB&u&L3bV3XLKM6aPRt6-U892@m1y#?XfrW59|C9YNz(s2T&BrK&fqCWWc#Q<>eICy?Us8W*sS}7< zZ7!!B!v$3ns)4#+z+$%KheOz78Nk~CWIggAAbu*(vw)2uTm3Zt0@A%Z2N3?4!Qz9F zLk(xg<&HfM?)|Hn%3rHp@Xji#sgYUylTQ91tP$)gNaV*HO7#4T1hIfvz|hQ02(&`5 zp`Pn}2fA3_fsRl!cyDF;kbu0Abn+FiB3eYv5;AUV>jRwHOH zSbY#JcaVZn(_+qW-A17zd&oPUH0Z^h0ydXS3#v}Zc!18yco zPuC~Rlw7rSfv^PSbI~Xz9u@syk|W(V2W^W%aH2`-ANh@+G<0!lyz62Z3-sH0Z!v8U z!R0ZQU`*7}iPeWukDqb7QrkQfZ{>Vgj>kv8<7;m1NGnBPAbDi;@zLFb^V*Gz z!j^J=z#@z0nPH+zsYxq)c^PgZs}KmxtT!n(>wPe=~_L5)+ zq~&(52^KF&bgASYHvS&6a>G?p2t+XLH(55hOabO>5V$Zt1BS8sMQ!k%om&H5+f%&p z{iDRc_4dbJHsGf={@(?>hl8nR0Ue`ew*zCJ%@4qhtM_qk+P;3B6tALQQixJN0qxlE z@W-;l6$wLjH?QZ)@sYKs>*0OMw`eNu4?C{at9P@R#_2;z&x~E;mXrIQ$D_yJst%E< zTarA2jc#9xzoWo^^ReIqyjQuB)M|T8Gm3;ZrYVna^mjl<2^!xdMF|%GwQfPX@843d z&J|SNTth-=pLdQ^SVT^2N(dWr%7^$PG#(o$NDe@jzQ0HdDow-QZ~Tvd4M;7`I5Q%!Z_bkiT8ss99u>%XP{G9Yf`ZVL>VR z{gkPx3ABoV5GsmIv3&L1;)66bGERUq2h|jZejV~m>N`P(K<0VOBUVfnv_n{?I=#o# ziHg}j9D_evNS4bRXp!{5fMT+F>>35-2#cbgl{%4{@$Uxcgw;cdcE<0`$wo; zE_%p}XOn}P+8@BWQ3Qvn(^ffI0P)ftK~NZ=#1(;tq3M95fkWmmNt1(*g^^rPBcHyh93n7|E8gleIr zN=nsrznb|P{xutWn{O_q^w~vIRoV;Eml810tDzt)ddX_vMdqoISEH1aNMTlqUGU7{ zGKtGR&)v)|zNxBP($ca=(A=z2jD+giM7(=-kr5O1gN{9t8e13+M0E!s%045^WZ8sK zxC1aiIZA#H(l39@W4Mx?pmu1IN}vI&g37)K2m+2GLzE)eD^CwkRioki+{3Pynqm_T zm~v+lr3}25VJ@-#?|0+ut^u~Xey0mrrEOvJuMfQ?Wom_kB?ydHoIG~3uQd1SYTN?7(S+|=Dk?fUeqOeUv@_ej!QBNE+~u&`hlG9xr= z%-{-g(>T^NFX~ooeKzP({YlrrCqk*5OGhp018uZrw(m(-Oo^3gJkQ^g>Z+#2R0ZQk z-=ogK4QE(j;KZzCF&GFUgMr<8SxKmHu4-~HfXJ7DRg42lC4HO8pUvWjW$?sfqV&;` zvM~t#UW3?YXN!Z&R3|!|-Q8^;!dYBZKI)6C!5{wP(lEK(-aja$6npj6Gh5V^m6fZm7>^eny8WN6>%@~*2CfS8s4>~$Y656 zS=^^~3GunVyNp}Irwqr^sGrr^js>^`^p?5BrG{|r_~O|$K7NhQpwE!gfJeen;Q*#u zZireMYZKDsGb0L?_QorWbzZ$g`AeUvFM(r>tRN^+&bU0(cKN6K+J8$+W|>$>)&&8F z=KPJ5^{QZpr+=MU%TKFz4lNK=w`*z0ripUo-e}mR7bSLU8+HF zBr_SU4Ag>#L`y&MlAguObKL8A@-7Wag}RxOUGDC~xgbSWU)G9ov+-eivV=kOdSvrU zaksib@UW}#2aQx84&kes#WXvK5%GWR4>JwbwJsaIheO*Wr5O|h+3Ly)4$lK>JC2Mr zkIQF0N`3y+n&|wW6c$Ub8baO5vX#Yozedhk1N^k>qw+{u^E7f(OB~gyh+zUzzqN%A zi47y~+VAf@0X5c3`ufS~Lvw|tw^HR?P=r63OfCixORug4kK5Hs!<( z>cFNHLKL6@8C7fUe6I|Zq&l=f^|<XZoP@;qCT;u2K8X@#w6w8FvTqeysDQUz#%)M`G`; zKc&CajqLq_Njmzb<#Zt&UspD3WgbMQ+wS;jw|jV@_b8*8doCZLoI38N17kS^(GfA5 z^t(`M3*yHxL%?WZND$bc5Q+04076Eqfxtj18xO-rD~Dkqggz?M!DP`$P)%Iee$6Nc z7t7L9FL$dsKmM0b>(K6)|7!8mCDZjQeR#P%4+1RfiUE$nyEDL)uUy_Uv}twS?0UL- zy2F1NSRLO`4j?s{!JuGFd1wIA{k;HDPEZK^^5}6Z5Z(LtcrE@Qa4}l|Qh1eVllpbP zKp}jPj*kw_x?J2Wp9=5W9it2ll8jiI{wyR@5`{j7ceo61*Nn&n4gy0EThh5}EPdgV z82st$OwQnl5IOO#qPi&gHz6p)5qQI4Ma7CyT*^GO&TqifisJp}2>&bJaRokCwor>O z68Nuzqg+K!2v#gNuG}j+kUkg{&72;h_?#QJ)=CVNj4LcuO;69_YDM&V??$RpF&mpv zHh2%5^-OB~j;d{7;!ldqf2WMcOrn?-#T~BjTZhpfV8?>+F5ts`%!uDw9c08&B=R#J zUN^K|{L7ymeKXa;0zuyYcet35f1l4&*!DDV=sfmRQ~4epIXz;k>|s0J=Cl5*&F=BM z!H+K)qVe2|rRZQq=m_H~FHf;g(3daKd-(|x#p-;@ZB$s!`=j`=uNlLLlYd6OoY^_k zGv5*7O0IQOwYgv2k3RMp`wu^fBCc%i_^6~*-`4hGmAhT((L!s5%ZG_0fx}MbOp&_& z>3@TP_>(y~d8NY|>3G|-($q|(s>5kzg3Mp6)7`uY!jfNqSELElv0wHGL4n-eeQ>CM zXe1|nn{mr#RjO1t$&SiGx07<4-_vv2I=!4HHkJ@?)W83O{CnF;dKzWdZhn4zIRC$a z#{W9AwHUt@_?cF{4Ij9AH2IM>Tx&I&X-K9)sp$8dF7Nf#Ws}nX^Oh-LC__4 zHY;nMa{S6IiGjWwcnulu59b|a`4h5A!~9HBd`$}z&marQ0mw{jcO<4aS-^o(cucWy z8~b=IIqSUzdMuD^4UfdbWAE+vr8?9_zedm9ITvHEhdTEoF`uVT5?L7zUGhIvI^bnA z36p(tz#KmeD9d#122B3tFtg7gun1z`xgg*Q2AFKtK1v2mOwE>bGaF$OWiIwcUvn+J zbuZnw<$f1PP!%XNpw?HsvvX{dHG@T|aorB~rMR4vR&1vM#MderLW{H_X%-P}cmZCP zc4Lpb_(xTF>FoS5b>7%N$OS|xr$23WKD7Tk%1Re*6P@c^?u@?6KVE1le#Js;h9rx@ z0He4}^FG}VhoARZ6@KT1FOdnXsCbAfF!crhL;$CP&+E6=Moj|{6n|U@A%M`U>4TWj zz<3MCjS$QP6%i#?4B9vu%q~1mXhZs4Wvkm!<-oB!P1_e9avU~5KIgSrxJM&*vET7v z%3BV~zh7=nTbr-l?kY~t5ry^Cl?1JS4?isgZ~lE8-28@5EjXnP09smkU!@qF3jpYb zrhv*SxsliZ%(tmaN8`r^_D_xxdQab+QlG1P8Hg=<1!-^71nDRNcv?GIkX_x?3>1u{7fW}zWncR2#TopYH{S*k1zV5jP+dj`kTIM6&S^41i8}CpO3WRI zm9mR_3`Ph8Brd>)13xOkCPXeYP)BK9o+K zKSS?FZa5zI+V)5yw51~yQDxPbu~^}MLIYr{1&E>$sa5G?*;N)YluqgWI~zI*^^=ng zrY)>*7?KEBHG&8h45P)NF&p1&yF8L(vW%D1{`+^~GckkS%1y`njj6-{b(>d$1)jd! zj~jNg6&LzF%hP=yURCFvjN9b{IRGSpzycb*Zr)nez(ZcB$O4*Qe#IBLC_#o{@PQzd zy(`Om<>seNI8YHKjlngO>(3-beZMjN8bN`VJFz>Zr3VgX@Sq-7Y5x0MuMxehmGar! z-5jI(>@#>x;3%xn)4?2q!Hxk#pun71JrRFoWv(KB(<{{efOHEn!9tbw%kw_vm6XWi z>?Hn(a6W#p;O19IWeknhxWmd-nIM8y-^f4L<%N%32ggIw)^>wy$o6zqMOB#=N>Y8! z#+mZ=THYFapO$=GH(Gu=;`kI$U~1U>j!7X3*&*eRAw!Qu#CAYH5Va~m5e(5<(8q=; zi!lZOCy(E#-;29j7KrUnNtTMB1K2~mXFcY>cidzju=_}!ZshzP*CJQi?|VsgXVLMg z?O%^%eJ?7&XD7(>4~dmT4UG?t?x?BBxMeS8;(I|P4SjtiDvTJwNqqD(<{)si|&{+HDjVmU6s_rJCFYDdM4>hwo?z1&+PPSJ!Pghp$bBomfDX8cD;!lZR74zP{ zy9^3LMPGY*SeAHvkhlrt$P~O>2-TddIdS7F`;o%2<w&W zjCay&`xpJj)mcIN>OM3$tL<*U;JD0Ef7k2I-RPH~fZzSN<9(^>r_cX4OyIf&fGxqR zcI|&h$8Yvl2X}vs(u>R=8Va{voMwFUs~*3uE{dMRCjqO4WCfRfiGtl2G&!DVy-7zR zXt)Z9h4{OOt4A(GsF!~^d2c>Fa`cHL09u0~E#%xMTyQnnsuVl~kds^$< zNNY}fx>-`VT$+CN4O{?vo5hkQ)GsjV&iE0F3HkM1IZwZ{+A`QAnq58BbVWA4WRNVV zZPH@-pG;j+6@DbAh-Y}(^_Hlfc|MSuc1+l4y++oay~qbRu@Eu8KV0n;z?_v2qI@)E zJW}5Q009zw$-BMwN9V-xMirW~?vE{E{7^2S>gr7x^0FCyak2S)dHo=C<2<|V^X(Fc zcndnr#1X=pM|;(d>2@e*Ar6O`oct&-Ev% zXI0>9s+;T_274h4QI}!w|JlC3v1PtNKK;HeD-5&>L3(Pa;GyN_EN_uX{PMgu@$$nrJE4)gn$m|`rEDQaZDa2pZ!iMIlUS3aNww}=7DBc6U>Ye7Y9 zPL#_kS71NVx3;Z8U^nZF>GSC@g?tjsxMAt%&^-S#Z$jU*C3Rd%Q-G(&CB0<&lJEG7 zdQorBp9IGpy6m`G)CAdaMOrC3xT@zbWeLV0&S|#6cq$TFfSZT4taE}8v#z1cd$=rN&K6CqO=h?ssU@uU-XDoor!G)_CuZ>&+!Wcrz&4ipRooJ(rsed(fI z-fjHxgJZ$${L%tQF+#;0Lc&2w{0byMG9eF=-OM}Cr2*T!hK!?E){YCWWd}unjf(nD zCPeOB4;lFlV!pvROa)DlI?e@H)mZDEj{)o`iYfGjKgaDzM4br>=!S#7QJ$GHpJ}Q# zZ~~azC|D|mbDmgHQ5v5L)U*4Czab4FwBCP#pW_A7ibE4UWa;SkwOqnrVsjHybKY23 zRW}(*oRo|ro9Wd`m39O@NG}FRL>mr|*bj{U>wR}~An9UD!*i%2HK?kQFff{wcCeEp zm{QP+qU(P3GWu|y3eLu#1h8PSkbt)U0>t`ScNzu&VHw{E)I}oKDGGrVpVJROO2X#9 zPjjWm8TS(hyDOn@iqoR0C@;`Sy~0;FZ+2IrSDvPiByT53N5y>psMZpwBh|YbctqYX zfm(L+dKovIe$_NMeY6A(a#G$#4G3qfSc_&V$@c1-b5`GQs$28gLd|kQC=#`)dS;7X zfAC!sKfn3&zvqcjyP@}QM4rMQIvUou$(xJ`8_R*M8IkCvvsn_T zKRGpn=%0f#HcQUlSzY(*yDD~177kV}s8JmJ8($&kUHVFt9W#TyDd#<*8$&`cfM~v~ zy3R4^ueGA_V-y-?*vVQaSuO@0Ax$jTZ^lQj&y751&4m9P-Sd?0mWnm0{q9fm$iJ-h zRJtdRFcGDSCd`QK40)>4?Q1vPWI;xNvqN(Lt{B=dUGNjyJ++mDK4x$keG2xCxD%a4yl*C)5%q`w#CdN>Fr91=Kw zsO~o{;91;5;!DPpWE>sf%2ZXo?%4Ib+nw23!!)E100RsGNQ|P)cdV~&2VU8VNHU4X3BE-B#U5B$U`kM^C=FTxbSt%>xKj%0O4O{96_^(iw!bMvmyGLH?^3S%Doq-7 z^u-TM!26D&NDD_uLLs35qv+!c$#;W;GF*DGc(U;&CH7|Jbt`@Son(Vr>ioDNW}SeN zRLH^p!rXApqJ&_h$JNxYO(Ec&;Uc>i4+~Ndj>@S#y@}ZY&O;${QIgL>8ArY+y4_mY zvM?|IvSp^R^UHI`#B?IZ_(~=(!*cZWkjLBQqZ82NIMMRirgdactrc#_=JH1pe=tsOHf*dO6YmSVwB-MDkO13UQz)-u^x( z`w@Z&)s#>G%bzQ;;F6gWQBy#E78ApWLcVFmafa8=kd*IWbo9!i;tdv!{kxEt^T1rI z2^B$(r9VYmQWW|W_gnSv?bOnLJC>(M&@WFZ8+uN&62y}Rrz$b7G?xU>E0pn#dM1&H zvJn$Q34+MpYo^dEN8oYsE0aeumqKY+Uk8l4^jQ-0RZ$+^nED;mkpCY5?`CeAwPSIGy*#ffH_nt7m5C9e9z1P%Al?d|;+b-kAd0aGY4o8603j`qV4N(>bss)l?WSWA2LMVu zgsRikqup^GJ%Da_aIp5Um(Kz~t7QSOzu#XO3{RgsdG_LEQ0DIH#&~z{-+b}fdNr=K zZpYscCjB))!%wIlMVdJZMMOkl6KV!>uBo~H-VfGibIFYS$N%_OS!-rF%O2g|8oT<@ zqaW+E^TCasU*B2{-n4WZ(FnM|TShT`R%F_MU}ho^1dO7Nx-uxJNEu_As$N)F@MXES zvihZ~*8@ZYYJD`?Ti@83nK?JVaP0JXfCeD>^zrt}{Ri!KCq@#o=xtE0?vzNKPgb^j zH}8M#%K1O|dN0B`2XO!HE=LKWCYg#LNP{NK5RHgxf{4nJqNRvb%5^mYtd*2OJSwe} z;={l#5CI|$q!ATs5C9{I)wxnO%`wJCVJ*@qg#h}=N{UZ>FAzxODovksdXDg_000Y! zC~cGo#4ydTn&wIfvt&3sKG-O$ddB2y>(yx7O*^wL4sSiW`MAHqzoKfeViUci=bk!25510VI_sDoyOr#iA%qURdZ91put9?fv?_clQtWv?d># z?|=V?KTkfa2uhR?`@8!kI3%!0Z4qQ{1TeKWYvtvnAKfIzZXL>07fR9M<>jB>dH2^h zKe%?~Is-eYSgM4IhYvPC`sm}1kxy>i&Xc^;?(`><+}iVJPwlVoed~=^&L5w5ESeAj zzW>Ynx9$y1LOwbafkVL$JOx(-k#VYVqA4`OCyB0y&O~qsZ;(KQ5Rriqc{)uy^(B~Q zW<-ui`cTt{hd&^S00Jo`!t%t-{7H!W;RRJOM)duE^sVb>dddI(?H{yL`WL@*y<|~@ z3BX=i|M8Dkw)!45`p_70F~p`(wx=lQ;JK=YNuuuETSJ6at5w$?NgKs=IWd;gBzG=? z2uM^)a||Rwg@%=i9I{r60sj04KSx%r?(qns%v2Q@0VALTs6i@-`(#c4%{(~>P3rLW zuir_#vyV46kDX1vdgTNF1UPZOzdK(PJ(Cd72WvaOx^?eg{nndxl<)l2%GO@6MHWIs zhj!wg5rBb+$QWjxzIR0gWGdF1@=)=JWr`>)z*?aq-r3m!K&^nl&vw&>!g$ipZDEzJ zV1P}HP(^d-g!SY|BnUuSjxww@DXn4vA6yv6ahhbtDv=n1 z2V|p7k{D(V-iz>*y71^G=qg?|!s~aqYpQ$5Gq; ziPt9i`MaNdaOYG}kBZw$C&A-;#sw4@)3*c_kIKsl%{mOx<7iO0XKok6HufKkAcJYye z$E#(xI5*gH@4bEZAOF^C0FY)TcwdRj3X`Sn;bebYPOeX-B(_Du9eW^%|W+oZfwiDANT~gi6KZJI;0?j zAR$^~L)0SrU{J2C-ud{(?IdfB#}g^$-o3N+=->w+uyJqg*!6h;c=-6C?sXQstxg&N zpyqz676xH3tTzF!#dPGsp%idvfAb- z!r4 zJx2~97_EX2##*g4yRdTe!Q~4Vl~n+0Z)2;!+5h>@&%1f@g{#-0=|i$K=?})kaX!1i zVuY=TNx*cXZoE?1y8Y>PT~;q#Ilt~Ech{?pKDJsYA&M}e22qiPP$Os-VkV_?h^qEM zE5Za3i~*y;Q6vyj7@ykSH7cz@2?kW8AoGE|Lq#-=a;3IoHLZOTwoDluMh|^01ycSM~Ou{N7doR0fFG3%Dm1^~QoEFcOg0*?G_bm>_= zgG|rr|CBK7$<`w*Ncebj8w77sp)-<7J^TS`hee?dK#9AMk z5S=#3s6=8H1Fo*D_4f|4EF1a80lxgoE4}M_VYa0JGCJHgfBVhzpdWv5b2YIE(a|Av zI`Mj>YA0kx(-ANQAS@(6N4x~W=O&$|u~sxiS^|9J*#5LQ{zz}~B<=MnXf)NKz%+*z zk0M`Drj}?D#~5n^$+?p=2V0u}kRP6jWiC&@{My++|K(=M@rCPGw(mVIx`oP~Q-s9DKXU{ANBzNzv=Xpyj!vTZ?fM^h|D^;XLD_P&#{j+!Z zlUr+Nj`wPT6cJDa8-^O77N`(P#4)N7qKCs2MNj}XZMSER-MRlT@8ws|o&$gn9 zPAx8UvZ%^*>jIEXe#HX#BbV&Dj%v{IxgFd;^er({V2O4A|JIQ6*0$kQ1QK}4nP zRDX$x0*VkMf@@sAZn`JWwQT2LaF9?&+J4Se0;k8y!w=wT*)9IfNku$*+u@TDFd`yL z9H%kY0YtLA)ihO8*2d}vYo%10CQZ}C5EPM8>ZmI}mAC>SN#qb60w|>ttvxG{=}4bf zVij3ojM$m5i>|%0wmuqeE-bcZ@?touhQsmRm=2; zZr)rQRd2n$Hb2|h-YvJb#>R9|Y*htg@(`MFQ;Sk-EB&%*qU+xa>1=CJ=4E$tuirmt zw~JP*m0`0zd$P z@#5?v0GzV$rI#=N=m(!x{q60wgFpVG@7ko?Iv7ze7m0h5>W}{P&yvE}qCJ>+ut6{o zYe2;qMM;ntITlGa8Tvet8>&y4~ZG(o@>Gv9kN~_uoIUu=&YnxC_6WajE`9MT7t@dy-sV4_lj&+d~K?Kmi(9S#K(ZO)A z4*)>P^oeVnH^HIJHGoE8)I)AjQ36(;;=3Q-f9L&2d7db32@I10Do{L;CDMU|;8dg# zH6{?4K|tvsQwMMjVvbVUo<8!SfI`-Sib#uqFdz^T!J(F7$}iF9H}7fI8zKk`1yF}3 zvH011*EHe*g&AaO{`pw|qW}?>al0s@CM1AYuP;A$$*43h+DS@_$H{?@XP&yNlhye*2?@;mFTv`}Bb)xt1UeuIT<9K8D(L`Kk({@(OL1s0f_dbwqYk+(vZB(!z;8~EK z^y%cNg&#Qt4geGdfvin31PuU$#7|igz@g3E(-ZgV5JOEP5kGhCmm@+zj&`Oe{ZBdM z1D-Yl5)ojPD4l8m7*6`FZtFTB!j7OEgLg zOAH}^9=N_Rr(yr(*FKly|+Kt>cvTkexhtKJ=ss0j3<*< zc&HGACz`_0_@$W>s5n*0ETt=JgKvNPXVgw#yK>>{FJI9@0BU|_{@staUOL`tnLPR$ zz{eN_MW*qzhx!~kBmh5$&*^9{pBgm^3L`|sh=8Nv&Y5HFfAxFUBf$3N&OiD3%m48& zO6QtC{DW@+!+-owf7;&}742E)0hJYr01OzABeI&puPHf*fQmr@It~p&Z+>omW_ft9 zORQRXS1Vn+Nq;bi6E7Sh2LuJ6Sdfqm8B);^J>A0==A%I^5lMxKB?2=dBOwSO5(v5I zQ)`x%jwMMFjZPPj-*~X8OrkIiZlaOo$#^;<0LhVs_~&8LQ@$lnW6saPsVNt#0U3yt zkVphUqij$?7`$sBv6_@NDufU@Of^e@0ucp|;;|7SN^k)*Xrrg*W)y0QjR-4~M7Ch( zCed*XbKT<2FTHZ{>})5`tIGZK=kITB?Y;Wy)zha>e0*o)){V!nUORLC^xS^QzkGY6 zVJjx}Ro_aOI;J1a6^Z~5ix2<-M<0Mp-fHg--TlX_Noy&J`uRtzF$QJyy*q1?s8rfN z{l!h6o3^$=&zFrGyJmBDt2L934<yP#F^2x;U7Hz=$>UGfE}TDO!DVUP zxLQU{r_%{Fj>jdEwkGwSSqMo93UL4q!8x1S5-6Z`+2V%}b`M;~Up6op>C| z=a%O)kMBQNyL^7OE7FJ7%61%uO0062uk7y&0GOF#Zcd4+C)LNd_h;r>m`LSyt9L9i z8B_ugNupxMY_t*|l7`oA+~bSOlyoM+ee}VH>yOv{)$0PVH=JaJ`S{NEWOC3>W+93Q zDs2Ru03!q;<)RhpmCh?}C7s2<0XprhCxhLC-rQ2Yh@RPW=*EHFd2i$18tw=8ZN1*? zx@KIip8x-|_n%FcW!ZHgxc5Hd-uv`(?H*dEh}4ypSy=)opa_B>!R9nM-PZGIY;5K~ z&3u_JV?8ryBc}lpgdj?yL{?^HrHahZ%EQC8dh)7s&ffFkzUSeQnNFx?e0rG(*u?n0{NM?f5k+F!h-X)AS1>!X$}; zjGZrs04e|o5^_I|F}IXMaDt>9wsJ(B2FlZ-n4Rk?En7RihLXAY+Mtj;%>;}< zBpjywI0iss4FC*5zynnivw%SpE5>8(BLD#cQ6dUNQE*iG*jDYN$!4PjY^DD`b`G#Q z_6&p~x{~?fk-^TB#vfPGi3&AMe#zvObz%@}^`xZ$o?Bizc6K9x2mneK0E!|TAaZkN z?rSf-^xnVypWpxS{cr#7e61y~ymhK(9_??r#l;It?FhyXe)5y@;o}&hTF}fo0Dyvo zSScyJ(3InPww|qLkm=d_-#FvcY&3TEd&8_~SR30o2qO{#y!EwLvN9z0$wctiU%YtW z^zhChR1GjHM2|ouctGN;7z;p}_Fb7Kaia_pN4g%D8WDUMlf}puc^MfSMYY1aOqa|- zlL^j6Q4AM7g3zK!~S4P;`Zv+4oBJ-g<%O(kLGEnDhQB* z03^(nDf^_l1tgrnn(1T+3SzaFOiiCBbtOI#K1|sqIcA3{PYvzMlt2KGD(qDRt5~Qo zD#KwK&eY+=sk1Z9=H&1Z0Vn|99~6%s_2b&i+UkDIrF+Gw)M(}#J};~whoBU(4}pWV zkuVpos3q}@8~2?v?arJc%|V2rO1ck$W0N>>!IiCAMB`MI>C${uGY%jJjsdKqs?A># z1Oxo6o3lAUc2S{0J310jDSeAcB+JNdR;%TbN%k06+TaFMsfZ zAOBze&;Qdm-gx2G!!;?3*hT^cpaX=!f`CXGpsawa0uEC?@MuDaM6}U8?>2geC(d6! zvCu72pXfNTYUGMSt0Ehet{4n5MUo_16Cy^&L`s36k|SdjtXjF%2SgC&AOecTu|Nnx zn5~I@kbpk6dTxGxZf;hr*&LVYIA=~uaYS0gAA5sTfffJ&Bn0*4=Di$Yq$h(-Pw*!T zun&Z&l-9<$q8yL2II@jKE6=k4g)2)|6h{dmmSrhQAx@P#FyS1P(gfnX_s*j*>IQ;B z7W5%_W_GM&G)*uZ4%6;z^5%;tY5*C?d@cH;@4Yq1U?u?sc=76qOBa?`8pZ(RWlwy@ z!G>UoNPMVpVZ}aF{$LfJCXv7_0E$eSrD@@3W*1+5^~y@y3`hM>Zr-@mUb=kw-1TcW z9&T>F`t5Jb*Xw`v!3W(IU%A-5boPzUZeH7qi}NchNxiwYvC*tWFI~QJ>+XYJ{NmPI zSKoN;#pmAt_~VgS78;9`2zx^aH#*wWd8eq{zmqjT^KqMe2OyZb*@GgqG zrBZu?y}$l>FIN=Loh2{7y4@cqd}sa9Jlj;$>MtMYY#f@4khHN|*Bl29RPRQ%10*3I z6C|~!vQZA`eeh9~2y{3Eq5?n*K_J#P3PljdEn`|Xd2oC8;r6XZ2m5=yVbd(Hub2Jd zMo#|Ge(&MxM!T_W2+NeU(!dOeM2I4V0E5=1#o}!eA&P(-dS6J{N@f!qjT4h8LNxad zhqt#5TeU_zp1Ho7LMd%FKl*HqlbL3HArym+A}u9WXaI&^yoJBA;Z|i@D`FAhN>hpx zZ%mQ0EDM*sF_a08UR{Rb8r3N?%H7# zeM`lP**)o8fDjP?h%lf+s=65*S!0YI4o5b!b2F_h>lIlEILVVnfGCbgDIX+?tmi^t ztPz70ETxDOaA-WhxPml{flNT<1T!Dl6|94cIS)k?LQ7aF=v_QtTWK}ju--{pb8nvh z*?af4*ZQ4K9Tf@#Rv`j_Bmja!BIp4FA`{DmT&}jFqv@||AXW%~NC+heiabT$M>$+#xK9_Y<>USMZR?95`-2zy7SSE2X~xm zEC#fWv&32e81!?4nxrHZi97>L&(<@@^lbeDuOq#0HBq5NR6q$+mx3d9s46z;zxZ{I z`yK;y8p-*U`B<{i;V_LCjENB-&GW6To$J?cjmPQ53ujlB7WZ;@@8QP1)jgnwR#6Fv zu7rZ4NC#US9315183b5cdywa8Vy!irP&;q{fJ*1=g#`?i#4NceP=H1oLPbOYSXd$( zXX9*RbyLrbXHU*~=18eleTFE#xw&(=xf}ZiyOCG`p2!tYstiyD^n_MH#EPwe<51=! z9VIFvFDT47DH>^_pb)@HE+E86)z*R&j|W6yz@sfqrh5`1P~|xefFS1hgjwaU&4OCR zrpz9GbmP@Oc?9GbnC0=_c;{fdGkdnYpWeB*^XkQw|Lec{9s`sB3(Ipg`}KCawNpwM z?ibC=43Lv49W-MM>;ae&7zw;6A_Q=yi>wty76vE<0*E0%5Dy%V%mAwSGW2AX27pJs zfnI3&c5|iM{qrCHZIO-t@SER=x=Y;O$bAWZV`3u8QdTRa@0K9m1mJlLPi?3W&ln z0|1dZh#*fOLdV(~$KZpb$O{(CN=Ow{0uWLR9H88bNFgQCh$13GMhxqQZXzRM07*0@ zQ3skF5RkO@tjRKn(SR5+XJSGC0W!J~JD;PtAQ(81Mq~iAB#{kDLO?IIC=NQ=DAmSi zJEZL}t%GSb7nbjC_^2<9X1Biw-G&8LS?-KRp;EX6AP5orh*h5ygCt(bP`Ofu8o5DH zy)Z$UK1&!mKp+*`HUe!?K)f_j3o$C)u_uBka6KU)JrjciDZmqcC95P#01J}|O5_sl zb!p3B*pya>dI1?hX;taCaI6qb;aqI+>)(3eZ~oKYXwyhM`ToJZy$x`qPCe<5#*fzb z`}=!FM8)k#>$tafxV5+IUA<0q?f2PqGS=t{qeuyI0C7lY%Ys_1y?^z+i=W)M_sOrW z|BFBV9fFdf-mbS7y0sr)hwuMlcYdbM%nZ78WulD(L{c7DnFyhdPzQv$)*K1Xcjqpj zc?+Ncx(@&&$-oB>_jVqSTJ;VGAQK}R z5D!ow6kIh&1V|JBBJw1_TQdlw0014OtWSt%41h{Niv$6bD(4Cq0TfQ=ak26x!Y6*D z03x70m?vkfYNP=JdI2OLJn9kxRbMiIQQC^%-@2g+*Q^_e6HGded~dW{j)#kRdoUVz zPc8toB0UrQ_CY@U*-sxoH?yqIth~Rr`f!AEopAQl(!%12yKDQ`Z?5hih--9`SeL4< zK!x%IWj&XtMPo;_C2d=Vg3N#2EkF%T&dkRSovR55@6VvL<>cXDIq=VlXQHg|T| zXUi+gmrpI-eYm%_S&&j?S>lt#kf|aL#j*DyL_kU@L?H^k41i=~B7*S5d%XIJsk0w7gX0F(e>>YyF~ z#s(Syu;a1^5ASyxX4bY(EG~TX!L66h&nSu=z`LK{rr^K(@(Td)`Mu44zvyLQy9syi z?-;F7JV6i;Kn+3y#l+=-kJuVM#?TQ+5C{kXt4v#A5L8O{MkNEh{@T?y-hO?#JG0Nh z2Oq~V02E%diR+DKo|V(-hKbHlka02vU0HXaAXe#HT1ZgW;)b9S5nV$~fC!=VtS+2C zJNw3)=lXrnx7XIzck?2LC{lH0xu~Eokz$4r7*(a91BfCd(5Q@2?ER@TotIubefRcV zqvGeUUKp3@Fi%_ET3M~bO9%|rLE_5ULP!LWh*(5?$Uy?4R>}%0 zW&mLf4pA6Ann1#YI*ybB7>>pX6()+o2c=?R*dHEHgs)#bv$3gfKS*s`A&RNwmuB|MjMHJ9)K5Hr`QFx}x>38k<?#m7ak?Fwqf`2#O*M!VZu`q;v*Q0uU-BkWeCcBxC>) z_MR()M2!jpiGV02tPqGq0R&CpB`_JU8`>tyM0MLnWrii^4uUh|{ao03vPeh?IW7fQ z8LM?P8Wx1yh+ABY#Rmi81tL=q-n$%=5S7G1v98AbysN_NM1(~YR385xL~G!x;RK8z z8K8&|B0tf<9RYPF0SHwQFF}JUB;;itfr*q!-Prq5*Q%Zf1Q#Nk3=YR1{d$CIAs<7m z6Djj?HnOI(SMvYo&pz(9=4*2oN27r)*f66jXFVn{LTEIFF!!kpZ+Olu#5| zR73AV3H|xHvMrlQ)|^qUbj@a~qiXNozJB{I&o$3P3IYT&&MGD%jfAW*9u9oDwY%#D zYla?fZtHr&*4$p*JkQQ4tf|q#foNEeqJcU=Q5qB@AL?DfhLSoVM^XF!&f&S4Q25M7 z^#`k?Pz2r70SOo<+G7y_770fp?I$|lrv_n6sBn!$`K8RnK%$HYKdl|fnI>LStz9)ciG2dx;s%FyH4dbXZH zrf2JaBlv6*mO_EWMA2NlFwQd#07%V7V|jUHZ|}Nuc@oD-QX`PTXjp3}W#M=C%UZKG z5A*4`&w+`JU?iXbpx$UkQEhP8LotMO6d5tG`ywF-AS66VojTe(nAsDFc&+gHvloKk z+WPh{e*Uw=!;Sy(fBZkrc9t%lKXGUEeZz^RNGQP6suiHpHW&^JQYftegp@Iwg7cvi zMWj^3^5i2|Wt_;=X#7Yy1^-zH^(+5rP|Bv!!Z?%nZrs25@SbRVxU=6`TG{6PAG!@H zR1`1dK>gBwaC7I6UOx>`c9eT{sW5YM^R0#;mic@LUO?J2U8U87jmMnSyNy`@diBc6 z+S*zXBu6ow_Wn2>?)Mj(t$;o_kBZaGz4FRCi_49lz5Amq`_tuCv(YfY59>9q*GWQI zc_slGmTAf}nyL)>fPkUovFb_7z!OVR4 z>pR!%#%K_dS}Ozx5D*E(B3On}z~e-9$I}`Drn@_Xc#y#22?A6j8RBd0`t^Hj?YWun zT)kBN)7$;w*4DwfGv@%nYFierPz4B)Qp{WdQ=Fc}3E7A!NRxAjB%-E3ty0Md0$PRM z=nQJtALYB*27t;7l4w?IW}?zN4Th=#H4lhnG>J^ac?Ak1kWwm+iqUYp2l2yEDKouZ zsqgL*75%haf84LvIw+b@lcKT+UWqbC1cVc#KL5V1R%zv2d34X4s=NkI_MuV7^R>xcdUS^R4AYtxZ>*h#2}8eCRD)} z0)oJWFQ7_eo^)F(he(;?TR+wBii8NOVSN#*3_BrUU{PEehg=dPVye)20d^q}BWho| z(JY7>-+l%c|nOBal_k?s`5xHnz{6V*i&ra7koRXF(ckyk~~9Wx}scJ#B5swB9wX(QZrpOAL>5hqs+x0^ zSW)Oa|H;nq!~fK`)GQocnAtW zpd<+tBoI79O3&6a$nR_p03vg6u1vF35a#PK0zhLi{{7z%KAr6>L8V6WT#FkZ08|3FDaoUR z6^K!W0jaVC556Q3LrNj|G6gM4C0+sqs^XfaycQkXo2v1ZzhmKKr2RL2mt5(Jytgt_ zd-aVMYl=e%w>A&f2fi#B$RdP18-Z=vB;M+$V~`pYMVY;Ha#lk${WZyP47S`hWm-VO zo^YQ&gJyH;SIo8A| zz6$QHAxT&Ai^XV#UFfhJLDW`5D9Fe zx%s7AtB;GIva~eT`T&F|QYF2@lWyfvGHfMuL&AyLO#xzM@KDtd-UnXbY4%V_PkK;r~MuZt=1dT{w1|lR;6@U-{E4k0plD+GP7p|N=F`wMHy}ZVXnCe!(ST>0W z5DEf@;26+o4FJJO>73T2wABz?Suk^CV@2p7u!JLppRll0GxU{ajsXIQ3%;61M?eP9 zAWRaf!NC1N_LKK+{EHK(qDXD``={D%0A2WeoRy1nD**7gpKtFB8SLCUbLv#TU#{PM2-*dKIF9o?1+t_~5JnNfDz=!bNww+F zJcxyBBTh565L3Qt+Z-n z*d30>+sv^sU5t#00+!+BD<@IIdmr4jQLL;fJfkrRg?c-t?ElW|vr7vd$=%1-wg&yJ zZ@qbrN#A`47tWk%CoL??UJjq%&kj>8+12Yvh~nXhFFHz@h9_1EJek)!4w*!rdaNDS zgH8%nfyifcYNSLCg_8fAlY_cCMdmFr}~MdJGXbn**JtC!dfdulh9ag4G)VsZFSA;?`=Dj zg-$Duqv2rGjKxy@aF}@!Run=e3RnW7fClhhrvZKM#BB4*OS9fJqT0+xZ~W=4-lqqT zn+Zfw!lFWOK|Co1ReZvce*>^U6<;A!-~>;NCPJm7!zm-g6>>U$j_|jkrl-XyLSMpE zUky=&MIkCf7Tecv>|DElXRCj=+cy9F8^6ltKvw*H$iLH~%qyRy$> zW~CGY%yzpcPMrSfPv3p#oww(^ohuj4Ub%2Kb8zFetbQY>F3vP zJpaPkcfR%7?OTtjG&+j=qY?m!Fo=ZU5kNdx(%uK>%P6)0SeD*K+Bpv(Mxizu1YGdU zEFwWT0B-K=etPHg{wO`YeEP)Vg27Je%B`LL<465&{Uibfp92!11{7uvo~=m`42uUs z34wzrMDI%*B}NlC?~4o!MNuM%We7k(Pe~nq^C5atJxt2nz+9E+Uu@+~_Cyj1e6Vr$ z)Y99Rm#go*cKP(jo1+gtU(JePU8|xDMF7tkpgAbZnr*ad&EN7imb%LT;DHrTe~@OQ zgHEj`s@U0ouy}(0)$3nV0Q*G|O8)4^qhEb+?N9#Qj~mUV)yo*oXvO`}sGdZn8wZzb zjfgA&N*79V>JxQnTJ4pGkB9&MZ+_v`;k`#<>x3lOxh?gkRtU5LU zVf5ma29bbZ5H*So)f~7mDVU(yV>8D949F-95JUn>00=0;0%Rie;r(A-!{C9i-fBkm z`eBy6_u=)0W;>2+A{e}I;7YbOts`iHe5a}yss0cGCP73(0U=TV%pvp#gVU!LUVrHV z2@JE~a@VQHoag0eWVzOeYbmNA)%cAFu%B3&gCH5nw2Xv!G&oqAIe+zBHya*&@cuPQ z=MMA18{dBO<;B@w-rn&(AaRhCp&%0@$+DXXp6%2^3i%7F;ae*K2+9P@Gj3VNMd7Q@qv+07%DRwMWWd$tt)&P z3Ko&rT5G`{k|NbnAi}=?APQ2KkQIkG+>_=qM$Nn^1r)PW)p6r$R#A|{#36rTRUsn4 zAxO|js48Bxy5f%68L}MJ!SVzdG6;$gAb1fX3PrY$FqRN{Lx`KU+hp<(hOD9Y&dVoi zNqb|faKgrd8>Hp_!=zFBo!3u|GnYGQ&$KRGSorMb`t_gQ``vF}`r4}}tCv4B+uGeZ zIDcswpmXk&(vh7}vjC=-jXwPB6JzEXeE}oG31Lm1Xoe@Vn3GtBC)XW6DYz#`;n-F8 zl$|33Ah0d-^7Nef!*5;rWOeZUcOR`R%>4d$&p*GCi~zE{TwB{E$#FS zo<~)?cC(i`YvQCB5KJ9E*AZa21(*;-q@cyj5=nUetUAHM$+pN~V_aAm5M z!l1N{0=pv5G3c3yT8gvW#W-}%`BAJ@yJ@{9@M!lTbHOJ`i=xN{213#(nqq}XnT|)R zHYr~{{|3eg0L90L#m-u-eTh`W0D=W#Z^_^h3utqc8si5KR0 z@84hT<%2h#I}ad1#sm&Qk+clP1s&=n6dp-y-DniSgCbO(!2#8QV)5Pu&%g|z6tU7G z;aL&)Y(0Za&(?nu@|-EOxK+}S&9)syq*POskI%?fF@S_i%H4?n)SwZ5q|<*qQnhtdbq z0_Zsm4~C>j8_j^8xhM*QrL{H)8)K7Nk{87=&5-E+qqWcPeVS!?Bc5y4TQ-V8nVmxy z*~K_n9H-kzg{7E*y!S*36aFC12ek}j6!8-k_}4JdO}Uz zh(vxXLPbOo3?V3mL|@HEv9Q)UF)@S^0E+T(`(T(s^RUN>HivtI=g-XgQa-tNJC5tc z(A>IaQss$n3Y8YrWz#C zhr_~W>hRw7nAel`D>j+avLA|3oq#y7^9Y8REe7SYLNvqFgm7Z{EhvH$lM)dTQYa9P zE9q$>lR3JqD-Y^w#F>Nv1Z(wXCFFOWi?P=f&(FjV*!jwfkDwx&CSHaFPzmmE8UTfr zT8gISN97S7g7=|}3`Le^=V!N5C<=*;Qq6_#N|S2C&5i95lMAsZCzJYxagxb2K_{69 z71sswOv8W!064{adAWIG{mKh7FD}mB@8>`L%t8i0K}5tx=Hb_OHX;?rwdFhODN|;3<0rq~@xfSaHASnxT4x-_ zwq@J11qZJRd%TJR5DusS0EO8x=VXjVQCf3So9*mwK1?A1x=^CR1w?Q;10sQfsUc%P z@g#)k{8PsyErfuS5LZrPBuJnT@7~)sI=OJ+jjg;KGZ$sfz&1840gw;~8ILUFt3wAM z&N->zPAEoYhJY+A{3MwGfPoZ{n#MB=A7K&}AqK_3iUO2wtYGxV|NI@|aPQ8>%JRbH z)3fjX`r(hi|M_dLo%rtCZwT=H*7nNEl2yg1ci0={MCR1#lK^nz?&ire^G%~xXH8en3&|G{5d+e3r;(@1`|8GG?b7nxi|yHFJ<)k6!o_nl&#xpmRgaN>z%7L8w0@V`riIV(XibpYK7oG`FQhGy>W7B!7=>BkMBKxoL>Ff zX#jZd#@4NyhqEV742sMHD5Y3fX@vxNp0Y2Y))@yJ6=PN%R4{Vh4WQBNq@^3CebBDe zYN9g3tOT;g))0tTBLGsNO*2o&>8M%PbpW$68=pT@-ybVN6@mzQBuxM!fu_=1ArL{r zX;+q7VoK*t=Lq z&I@8$<|8DmC&{Ftr6N?0CkgP+*0c2tGCf=W`&v~X(fr)(?c4W%_VZt6X*wA6jWNb( zYonr+tYllCskP_5<94HQ?UVa=Zaj#Sx{ft)F-pfjfA7OsxrLe5#nY!7^|~v{PP-k& z2@3Rky&Lx*K3shSC9^=1G_{1@VBiB^xOo2Uci#4agD*e3dFS5!yK!yaCd%rjm}K*C zz*yEBEn{kB2xMWLrGlp0o$dEVf*2)woc3MFF+{bb6MQyI(d$oSG{ zX`f7N;(9nrpRLLsH2+!D+~08+B~aAV%{BlGCH~p`umc{Jnv}Xx z$98%op{{6_voYa)$Cw59rqvU8uT~g z`PwXHeCztX>$l%~{^G0Odim@1BwCzroI7=HFe`KctqD1w}otvx5l{$zb0qPHyl}l zz@%Hw6`_D2?3oEcl7^{u001EXifJ|t*VN|dFwY7m7fBV*b+p6tRFotVcLrI;?4drB zQc?n>Dt4v?CVAoI7Zkv+Ke(QaTzh7g!2vKcC}jk-ObqD1x*r0^=IbhvUWG*EShm%% zt1V2mI+LH799pc9zmU>O03ejEum){pK}48~BG*xDjCR7#J3+9-Xa+=9wOAn~BAU4hm9FSSb^L~bDHZ5c7-MR+ zLX4E2+aAcUY(Qc?C`Uz=SvASrK?0mu*Q03C96q3<3>%7`^ zXI6Jqt_Gs^5FRCRZM=s0+K!Q}AYeo#-YojYS5#iuNfk+iH(ppVPze&8Ff>OZ_g;EsRg^Tl-7Uluq^!$RbSfdPsD{$$=X#iN=T1Uu|Xc@}1Ud!5Z^Wbxk z6u{);U6-}M=rYTTi2wG^;m*#(`@8A=?O&fd z%m*omL7FC(_4^4?mDN?`~XQ9S{GxYe@VX8&NT zws^8ctyB$X*IWCueJy8D;Ee4v%z-|E@UijzbuqqRju@0}~P zR*^O6gA^rrE}d{S!bhagSQliR=XnXW&WzTz{-_YB*{BI(Kop2&ECy=3SMFba@#Xs5 zsp>$SIMr-CdVK%pgI2gqR5_*w0I9C=qw6Ecl(L&xe-lhRQG!eYl6d+Mo7{5v^tqVe z@#^7$f1iE(?~Jf>8O&!;~m?er%%Xm@nwF^=v(ZOwZOoD$VrY za8(bs5O8s6>BREl>e}PLe7L`_lxlTUcVQvl85GW8n%kra5&1Ir!*Ku;OvKDdEonB? z!#mf${@M%QeB+Jk-EZx0Fo$}*vAjIzwb}0t1JiJrvS>g?rQiDco3jgZk2d#~SC(sy zx~U~5iItiY7n7|=wZ>wnNa{2k73nb3HS2S~cxs+~<8ZHNw&qbs{lPZH$;sK;)-H?G zle*^MS%3vdDG>0UNlL;1B7q5mK^;#iya1qni}L#`x1J}x!>8@_s(M{1<@3YCy&!OM zT=x2-k|CSyRO zbe%otic?KBuicq*Sr_MirYIbYXd-QN` zrhQVWcvSS12`D5GgCJ0lCkXSYFv=-eaSTFDXsxH&&Z8)&>K|2@1_T(YJl*P|3?l4> zNGWYZJWHUXVH=U)9V(@?W|0s=pvqJdz4z4zoz!gvNEiTwIWR})2r!2b0ErceM`8eg zV1g=z970UsNf-kG8v!(4O$eDUrJo7_f#~3{2!KqYdfS7DjLS10a;+s$MNc6COtPv) zh=@T_@0VD*6RDmpoK-E0{ zL>CpL)$WvKSr*0VGpBZUHiI~&R7J%orJg`nAruthYS162?})_zJ28%eGD zTfAfRi z``x$RdFz{6vhTd~8~|*md17t5?v_p^HKVU>?(e43T7n=$BNBP>g8oRe`cGIw~6&0a#>&0ESN3DBnKZ8I--2Z36HI*Y92b=#!c536J`i3!F?C zCY~tssEVRsWcDD_m_HGQfE0uYQ4tDHS_4)0BTQUfs;4Jc`P_&?6PE8c;iV@nBsoSN zesM>uAR7`AVO{{zQsK8CnyBX5Je`GGQ*Xfc#~9t6!WbYaAR$PDqmhyZ3F+>xQPK_4 zAtjA;cS?6R(hbt}?!BJh^ZX0D&hB&WZ+$@PAB86Uy74=c$-1i)p2nVUxoUEvdjMy`>r^K zCy~GFrvP6E5RDVw{zip(;z3&7H=hce81#HEE_Y8~+yy0bPUWo%XCKaA=A`B|8r)D^ zh!9Xpc|>ivhtR*w98HQm{#qB-=k@bC0XZ@T&ZFC{wOb*^7SHwuo{fDyU+?gQs8gRt!?U(G z{Y&t6#SMm?K7W&1r{dcB;yM*vGfnhg_joLrSD2%UdA?oO5n8wzy?H9~+lb(dHbPQL zl)wv^9U|Wr)6KGEDZ)}9^b8Tcd*>^bWSTu+cW`YlWTxQ$pWr0 zJ@g0K2_l#uK2|RmkM;AiEhTo{Fpw- zM*$~PzbBT#e;-za545oLpO;Xdi{Jk{1p%xydL50VCh&a8{!K8+3II44k|ZH;09G`Q zfzEPd(U*oo6*NUn&`&S!9#z5#BbYcJ9s}E&cD`UJ_xvQM-aT=>au!;oAbj?nv#gW* zC9Q=kDHa=!lT$qQmy{Y7kYMatAsbR`M}sa;dxlidjOP99r&zy5$3=n?Yr*_%{?VNnZEv>t;sH z9J2%%{f>#N60N!TIQ|oIPAxtmdj^5~H#|{OMP{i%B6s|dPCA56F``L&Iz^2&i0+qa`Z2Gv!V z%(n6=)-ZRI8X$!p9IrwMYE5J{Mxf7O{{_Y2!ia@L)|J!aXf){i)r_(=JKUy44wy7E zr0kMKe&KWZ4lRCZ>~KD8MaY4cS5~$?bT_U07>YXxF054JWu~Me=EP77UdjSCb`O15 zI3tv3e*Sf+&(;J$!M z?&$etY5Q5=7DumT0wI>#yS1r4v+eSU6wN%(A4dJ)Y-S9Rh)Vzx^mXFp*Qs;!9t*w1_+618 z^F{L?3|g+|R8!IK2eSkULJcpVGGHbjcvm2WQWG5*zqoEqa?f%W6Mmy;X`6>KK1Lvt}HLMyt2=jz@p!i zj9d&K_jiR%AwM!v42T6mH(&SUfzytxLJ)()}(Z1!z*5Uug z_@R-Jy6j}DxWeLHen<;_jT+_U8cSuH&t~`jSf;?WXDMdl58*5656IPYRpSo!jju}) zd&?bH{q}rpoq8=blV?s99AT9F7k!O-{}{7`7R#TRD>|+$ua=V)fStvt&EqcALTf@o zT<$w?*g3y4B8O}zY7Tg3E*gqT1Vq`(q?Rq_0l*p}Xp7%w4%6a&KNaKm z@5{Tih0sdc3%=DqA)f-7tN-Y~?D#!^UwTP)cDP6wG>ARLaB`41{qaBI0u8Xh2-2jY zaB7#cSucl%01?Z>w%zQQo_RlEOw;4}u>(dGc@Q*3xVe4+&PRyErhNEdFYjl<#km>KYQUA(UU|e!CWjd zC{Um(Dp-!|SHgm`k5BypASGQgM2_SLU~gsvUQ*_!n@EzzPy)aMz6LQPh^a9JWt&yb zmUz%qaVZ2PI#n$Iu)8;&pzbJ@TF8oFsLb0DNrfDMT!WggD|F`i=FhLqn4_M1u}0CD|P<<=1&#M5pP;P z@qTL(GuD1$i8MdplT6tJzWJ1%4(3vyLp;{3xnSB?^M2*pnwHACG<{vl=;@vwJ>!$G zBmxWa4#z3gB@uccbm&#jAekvQA4Kh(v)kkxgL#M9V7PQy{##$6tUHOCnCd z;6mXD6=tteTLqxaO%tXMGvMGW+uA-|ZBrB{KS>ZPV2Du@X9QqulEX~#kSoi-6|P7u z<(^M)X}z(qqAI_xzr8GI()fxIH&syuQA&vas0P;pFZ11kaW!H-@vPMmH_mNBb1g#vdP-sN4O7 zb7;4=++I`jmEY&JN@<0T4!|L9lkoS^EB&^Ty|zqBz(d;^8Q?^ z5rutW5PGVkQxy=fVg}gfr9{`C~%?~UQV+AO#;D(^=$qA`pKCF7ad=kJ4uC0 zzW2?)vP-Z3$y`%MI*QXhywh3N(6W5aY?OtD0>UJ<9AZsr?k?OZ$xL`=)61hyR->X$ z);4MI^qRa225b867e~uiIjOS*{0ei-{mca3OIlrekOjFUn%iKG?^ZnRunV`$S^UHP z;Cp*QLeUTGlwnIi1&zHaH`XwiqFUI>wg zi18foW1ypmA`of(D%6fE*Y9{aNUb^SUbzpx0g5)ZJe>dC+KMn6R~Q;vyFvTRlR?&O z$YSApbiyL=c-zWZ;1&cS@tfDB~|tiY@rqkP9^U= z+&NPqWXoC$Rs{6W)}Tv5O0T(f8-`qSi+gy7NFM7F=4lK1dnT8jGws^z?6;08$zka@ z)op>oWLEK#*uOhkE26yw0n90(lv)z9KjyG1hnF5BC+ zINryi`;I0yDpzn^ZqE`rr;W!H4#aaYCWS3(grrM&pKDt{L?!TwyF(!3we?Gy74b9q zj{E)WAKxmL)UQvGsDRP{e5h9j3+dTMPn%~xaCR>i_Jf47v#HLBsh5>m3v0O3ukoAj zJ)8Eiy%$d-$0uH;d6TJ01jBMpTwWm|Rx#AsB7Q%WE8kFI!wTk#wB#P!-Vmppuo@RU zRr)<-OMjdRj|EPu4u>gbCo!A@ehF`dqRV{V7VEGNS5nReo5I0na!TLHT5t78mGF^} z!MLO*Ger&0i`QP64Q%~!_~#!x$9A)Bn~H8KMf6#^JKw&;-cLU<9JHRcx?oFJ_T24S z>$)zdSR1dm#5l2aYG~+q*$0PXkx}q!xJvrIK4?1GzB9r@4s>W(o*VMqi`}QrLnbmn zii?x>mbR=5^naMn7AD*aMhmM`0XuJ~d(jGUU466f32{67xgaUXA}(gBgLu0*V3oHf zy13Ya<9dtzGHs9ABNq1qMK7fYJCwC=tSe8yuU5S-f?P{q}|nA&^|t5vJt1 zZWB`3QAIisg_(;Z{B>PqVYmkKlkLQ4C9B)+k`)ebp5)#=hFrFvYx?_W%)l>=s%s#Yloc*+@-tms?U3J@r>e6 zDU&a|R|wFGK0ZG7&m^j(-db#0M*UE>)WwvJtNNj;+6)NohhmmEn*iX|7ScE+t8$dK{jH`(_J(%2Wtd0YqG%_&M&$&9bf8`z7 zsVn-Trola4Zd91A28Ol{JNexYYd!d`FZ5G|3cN& zU;wk&D*I>Sh7UES;v)-K5|yeUEi#ACFncf-cU_T*ZHgM%n2Vt7LW z#mav~N^Xn!`I#2dM+N)SmD2@u7A10U5RxYT_@PGg&T~UGPq<~Cg*U{AfsK!sZPl=n zL4H1bpa}m>9W>A$#0&&}vGa30GE|PN3#hw}s>}Qo<4`m0qGF^34z`!cXO8Y7TI_ia zEJ(GD+~}H*Z#H{)y6N#0lC@r;DgL>*dKmLvcrvqk1oG*FLI4iJ8)+pv8W@Khs^m{2 z>LJWTnm1@uam>$+rUuOE-4>*ONmRWMaDS%FREjH>zK|hjcy{}PL{l1-X~6ijcD8n| zrfD&}b7V+SC)baRovfG{J04p6ro;$_>auZf(JJ&}6tmlpWevKdV&=kE;Op#AnDS3I zlV7XVb7ew$Hr(-pDfxv_vk5HzAQ~w~0B67aAfg8hwO4ie0X@ep<;-?Z4kJPFX3_Q` zS)}PJ=GtrE`u)=4PQ_fkDTRp^peU;Dv2ZanQ()C{uHmsD7S$0V)nNSEMepGc|mJnHQJ z$aJ!j;9)JnJo)c#t)KmU=E2*L$NT3NFY?B@)0ewdE9IJ_uS!F}!>~JJ%Lccnc{D+r zl}LRLY7N!|%7MLUJjb+=v5m<%8r&afbDv-gro9kR=`RiE09ZaD6B3*RrKXhRRv;>2 zcyVznE)DKv-4L=YkZJ0q^cL+NV&B~77JP#gNVM%@9Q`yq4(>uv=Rnaf%h1%;(gp#z zhB2c&X8%jsk0~KcZr0N{SG~l6lBO`FW(x-JCFQ>1`u<52c4$be=y&vo>=SK{C~N3cS|-Jh zin7_G`cxRHKDxOKE7SWv_p{SBcoW{4KRONM>2;Me@;yo$E3&RCEZ6qWvDU054@-|O zvhGc7Dh1Z;<DRN!%f@B1QShU zhC)^22iaFauYCqGAUq_XSbi)1#fPat8rxG-V+;n9*dO2X6(o?2EuFN)hI-^n!^(tM za2^6<<_7XwbUBlo)K|-UHuIuv@L6(cN@$pjX~C>3VTXCOg9GusQToph6%+7f)HtIA z?lc6!KosULyxuQOa+TQv2mmiicvC?NZHsrUeWi@&0cHEk9tpLbT_nY!*Se>zk6DM> z^t$ik;nIU|DwX$19mdg-)qMDK>3HU4*O}d3$8Bb^zQ@j%{`2hk>mN6Lp8ET|Y(2#H z7+k}nP>jw1|Hb;H6$3Or%BTcJ^>Fy6V|e#$`Ww;As1O>+)cz12B4OV-gaT@ zc@#Vr*oV9EEC2vQRKWgQkim|iN*~Hg+g5be(oBk09H*>}%~@X7!OVV(zTeMP>-EJ& zEuW|J%;XAS=fgv@ZNrwNlc5C_0Ej|ZAj*{xC9wVJkeaGHdUl<+**%)6GqTDJ?UT{nDFbi3;?FSK!)IqgIS& zyenj+gbYcwlIJ4`W`3>gXY`n7nqMPG5gjoIr2!Q<8;F|>(wTo7z^AJU^J%QRH@`x* zM*s}XC7Ax2)>{z3q#UyRo6}8oVk$s!_Qd|$LEY}YGb8dkrb8^P442TUgxen{d-S~@ z51Y=~JZXRib7RRhnUZ>uT)eh3X(N2(*OMeXMv*)ftkoBcviR_IIPl5sar)+_HoPnf zw`l#y|F;TrW9;J}Rr%l)i5vm0^yuNiIqVxR9I7%&|^3(;5kc!!Upn6#zm6hl34c|CAC?k|}&1p6V21 zQqe{lC+K|W+xGh#r4i>P1)7`=8iW3J{U=c3VIzoa`nH`=(N{N`mR&H7MAn&5P^7WV zS4#JsRLTW{NN&5LgJW1Tor;qc#tOqv3F;sEQeo*QrK9$De?qMWN@SdimL4`B2|Sl+ zFOZj1&sw=r{N{#>mL!_QIs$K#@Zfu#O|jO*MI{6wnrVHflU1gdq=OWMr6Pt@|69tP zd@nJVR59{}KmtDYn!XY7bUVY0C+53-B@6TvgM0=lub_&RQ&YKG{ecIzZ0$H6=3fu> zJ*i)|^`GrMEbfmg+fKz^*8MI!^x8bH%jfl0*Uo%ir9{sBY`k~6gO_j5)>>WmP8!w@ zKcsa$+|>l0te*MYE-O>Mp4+V(b=kE)@7l6sl_k}KQPqS=s4`I#8OCL=YaK8sukgCo zmHeww#0){G?eaBUN0s{K4ND=96|-et0|$&O5e}&y-y^%02fyQ1bL#uGRuGZNt;Osm z|DfPHf#LErn;?%@7MXTuuWR|7tu7~zXFtxr{*}F6B1wM8_HBJs^u1My#|1oXtj^-t zoy;uPv=3s@pooU2XptsyvJyQjWhS2MBw-|kOeJTCbdXN?5Ozdb2Ye#fp-If=kF(fo zoJ_4LI5H3}U7-pi>QdURy6D;bncG}mM_KypFBNG$8eWY6380&5qTj-mjg(g%mtunV zF()y`+iI(-p8pIqc>m5Hcs8{2Lmgc#fF3Cw82OWl|H=e(o?O>uKOH}F48ae*sIzwO zhnIeUqmSd5-lOsM)#3M7q-#)IdbSzk z+WF5KY}rd^$%K-l+BQxno3E{&S23@PZV836O3lZ=RZEEH5tkq%yJ+7`h84YI7kYm>?o}{)8>eQh{CUUb$IgqCDx83a z7RXSbhAOUoJ+*4r;qa|}(U)8Lb-TsQ(tnxD25>i>{k*jk@vGyxUuRE&4G8<4!gs({ z9!cfn$ydVEytUE;506xfHK+?jq$Gdxd7h)H>mT=d1}ab4)}4v8>j*i81WuIo(HQ6A zD*2zkZ$4OLo&GOjqofjQNkt37o0Q$Y2vCVGDUoqXiGC3Qcp(D{5;-*bRo1+WvK%K& z@qh>_?Cp0?sgu$c+en0{KrWTGN%w<-4P(qf-9MK@4;h_xs0`8Wpk{@L-Lq9;&iBbq4wGaYO_S$1n7ZK zanwjg+0PvU2gN9+&~K(^L*gmrRkJ?`y6N&IK~O&SDcrlQFsExz>utW_aMpLVwwNKs zPF>njz}&aj4oEx+bZ=QJeLSPIn5sh)>14F{3f_gf@0CT%ItO;)z7@vEjRFEp@x+1= zl*JE{h`~sa;*mtDxVoZmr%aTosIb>O%y#-SalG1k&RSniG+sAm+21qePOp#M9rA;0A zcST^(@Jn9ZpfCUz|06XnKt{22T|*Hw>SO@vQ-X1Xww!Fx9R( zz20Pc8jErXyJtj$?5*~`Qfq=Oxzp(_NHSEKn?LS^E#QHqMc;VPfrXJW z+T%@t;4binKZtbd`227-!LGga=}O<1f4@bEd&rTn)vds2&$JmC)B$JLkOs5eC*)by$M*B)@*2%iR|cn2=!L{+?`Rlm%2N>q;nZeu?{> zFbod=24N1zhl$Y;ATi=)@`X)wcsE@

e?*pKqeH>F|E2Y@KZNzW9rBc6(FLORTuh zlFaVs-ZJ>TB5nqC;XWRpDB~?u;_^~%Px0M2+zVN{QFw(m%vO+{)y$$aTtQl#?}L=f zQ=68q#yfnrPj67>sdhZ5=v~BF0%YR@pUdb`vVFaIzc;o_xa4i>zn(vrXMQc8-Q9hY z9PK*%PvrP`*FzcbvZ(*cE%)W~L*VV2*@gOrSI3>jfiBnN+3V$e&*pEuZ5+SzE8q1| z7yP%08<*T){TfZjSkKO=e#^=5MAhdxEB%lt|AE`R*|piQcK@)lGGbrbw>H}D+|RhQ z&`RB}Q}yQ6XCxrSO~ZGE5qny>I1_rA~bPI9}4#f6=nwaHID zKSN*N3YYuKuxYe4R4*h2YX3NF_o&91TSxw`=H~Yn2udY!UV4(rDkpadT1r})Ecz7s~ z8WSQIFivew?dB3RC47FqE6@o<9B5P}yB!}L>s7|r#05v^U7XsMmSPz4;R{oH!Lg_R z*+zkbG|@61zMn90AyL(3yrjKz&8?bRdXj*G*-1B+!d`6(@sx+ZKmhJfR3`)RV&232 zk=ma{txnIr>!fc26lyN+r&$2@_gldQ1;NjO&wTaoJKf;7(!S@-*GrN!;-VI=s{@0w z&&LHb=%}RrXdtpW87LuHX%0;%xIi>)1Db*h3IGEnUIN)Z|j#VnhrojR#-dQtq19R@rve zd=@snpv>~S2re6ROn%2Uc6Np%I>qV&Hb|7rk`2OT@gM^J@Mx;8HrTpvaXY0IFP$aF zRzXl?#Oxd)=LYO(g@K?TZMzfe$}{T>O-+P-1mh7zn*kU-ObQ7=1x5s^6yhr( zX5!s)sJ$v!Hu)B#thi z0DYtmI}X24^Imy9WPWb@_ZQWa(gO`0f>>lkpi8j1P_^|bi!zOAFk3e;A++QVZ>Y2m zMam~-Ftb%T;BEsKEew24P%S~1s9^C~dQNifUg-xYCo#O-p$kPn+&zycck55v6_R~d zM-v@BfKVlXd=S{@Q8)yP4Uhi;rn-@^!kd*u(lQJ*<5-0$r%?>>aPy=?J+w?MM5lQi zA|#?}e%5~_9&wy8#STrJDgv`quh24&m&hJgwQD*0yu_}ArT`EYK3l>Kz-RH?5?mbhJ0 z&M#D%X!o+YoD6{--5}hpzV=W*DP!*Tc*St;r4u6xOdRtq3}RyU??VtNM&Ho8hW-gQcov*NSsf*esh0eoW4XNxowf6#C zevTepmP@P4ns!HbPCokJ;tqX=M`__Y_qjT|0q zPoJB9I(|mQAcH)G(wBp%0l3=fWOxt#N?*W|x(G$HRQr1{6t)A0QeLh-XJ!sGv|rsU zXPT1a@cCUfS+wMwl8RS3dNdsY!YBQebK?RVT|Z}aa1a1a*G}!MSKB=D(ifUgYW*%p zlbX~s=84g<^EU6AFyxj6{=a48Fbc*M7lr??Hjq$3LAWxT32f7LXKlWlU12cH9NY!z z=DL>Gse7*ROmn{#G9k~}3%gfK7tD~8)W1{r7=Yh3LVCLL+N0kwLIfTK7?y2_3IH(@ z2N~PVVVXzaAtWu~`>lHxyK5ZZKh6tBv&6f^x(5ibHU?lKhnmS%&Ee^yCvs|5xnFH? zsPvs0RUR-Pr{3!kM}xYlRnzBgqr2$}{u-@ha1kG@X=Mx#*XQ?n_(#H_F)N@EF1anp z?$fZWoG|H#Kv+<`YB5@T!}rGaQw)fvW_0^aBFH5-0`dmiYi@r*+hp|g z=H?Ej(E2nwCOG1jp6QARhN(0wQ&>THn)FT6M!(|22Jfd(K)5&?vXzk#EQKMMFo=6l zY~d}BVUh_Gnx+jAI06+#ydX5_Ek3jO-4x$6w;o{$p_<@ZKNVofLk<4mX9!u#2 z))f|HM~*8PW!^XP7`O4gkQ22xe9eR)w zAsE4eqa8Em<|a$-N`%)kp1o{ETjzB$X6<=**^Yd*@#!g^L^59;-}fvtncP57biOg_g0v{g7{Rf8)ICGz z3rT&O;he?W=Rm9*6!M%ASMTP@vT>y39?c;B8o6mo{FgStQ zM!dXIw`al?^w)#h**g~e*o^G0cim&Ba9d;-V?49T%g@ZuEqTyvCkOHC`KJjaQJw)! z0l|gUHSdW7*VBrd23PE@hYSpr#ERwU{-z^Ru62t$koM< z3;Kd9t4lt`t0e>VOHsIpqN4WGu}xudpRUUi)?G`5X4yR-*rieZn+V4BTWWl+`;MVC zF9YkE4-wyv3@C+oYo3O7lGM%K0?KSF*x9lero!G6Z){xTji&bORA%dDwDGSixVhGw znWm#x5^f)l$R%&h6+aJ%q@~)JSj?UM(zXDg3X_%t_X4;-llcAbVs1!bYBc#4l)!3} zy)Hs@qLP(u&BOk+Ydgf@UAxQeO=gQ5HxrO>Q|ZoG(6&zJ^vTG@$+j+4gTiW^BM*{!eXud|{!kw!pKtrL9n zZSXK`>g{j#w&7SzCM-`S6JrPy_Qo6BUi9tizrY&-u6Gj^>+AY_vw|+)EUvpu<4Ef2 znWkrwDr`r0c0Rykwr$nA6vt?oLJK8NmVH0usvLwyB?u5=k@v^Oqupu@(|B~k@mide zODFG+ucCq#FjS4dxq4{xD@p@l0pYlbY0_zY08iYWTrCN7&8l0)=4;03)|q0oI&rYa z)Jyi?1`u^=ctN484#n2%I}U>rOevQ zf}z z*TO3x1q4XZ1ZiT1f;j@fC|LbFl=2fih|*HA2m5(Ozuw!E}6cIojr4@_-2t zKb~ZeF=17p6l8wje0HZKc@v_DDt?)?`IAa4P7|A`&+03>ZmyWb25bFb9ZdEIr*tv5 z{1Q?USN<(3F#=f%g7+*GHC&YiBqTp@qE*+@)>bFfT)m)sKSwEw07(30!4GV_tc!@q z6T2v-NUtv>${{8O2`dEVQL@D1`E9QS%xP11yK`&nYJ*^`!-=`Uv^EpN`1*IP)r@jalR zDyLNskyAUNwF6zrbG4=8JIt)iZqts**|G1AHo_}QGc4}mLDujHU4|}(EeSME!0(Ta_beZiVYYDRk z96INgua3Uh|Ms%y81$B@pVgzpe5@l2PxpTA%KO4Da@%Id<|R0(dcLt11tqb8!PhKF zni+JQ7<6iYzgrsE;rik498j!o!dq@&cj#>633O6v^Xq$&UJ+*-=v*i739?ES)eFt`O#FWggHPvCTz@#|wDbMy0Bxpk%Ai6Als6Lxk&L^|65ahlCcC{AVYfK5m z&H2jZvOJd-bh3&mehx#5TIoyWW9bLHSAhT!iT@^chLNhiWs#*TvWV$xL`J7`M-L#& z;XtM@N*%Pq{mk#U5g3U&Yp^2Bn(>}KKi-+}TFSGQ;*D5(85{vJ!!h^IVuVz1y+(#+@7T{bzb*`#nRZc3*ORVCDkM?evP5tRd+Ag-dOcWx~C zCRPFg$czPpP)%T1B15=A=k7kDK{Dm<%%PayuyrBmFdz*8;U55C5M8h%T!*4@B{WMv z4(loWEf9?DFO3jyR>{h9gf^@>z(y#sIW%Tns?0U!mFo`;{tC-kOCM!gBf$lYB?xIJ(%21&*~mOZ|t(K`)Rg2+nP#}squf0YIC&mdhJV& z=q*~ynE`0ame_+sRaH-VGpVvQe+`qMPZc*3PKLxQ1AfmHSs8^_m$9j46@H+u*-K`Q z4xl%O1lBWZ@=!F)yXt#eY)?djv9zz2MuK!#B*dH;MF^_qe@2m|1 z=OzE~LJ5x(L~}ZjI=EY2f9T)Zdct|V*`3RKwmxQ+DaT_m5_vxNJXZ+W*ARL9r(@UV za4_;YF&X~twxWiJ&a%ba^{*H=YT*^Ec99MaGv}w5Usee5^h8|yEmM@-XzbDjW!^NGMQ$E zCGB=&$}Ti}FPY=#yJ`&Ep@fk*=jWdP#QsRBf)tm=`$l)Y(cvX6O5OOYVk@ z$2MLz**H5PM#ZtWx76MGPbYzmgKmE+1^sq2gLh)6Ze5Y9#SaTGhA*srID(!B+Cp#| zrhLzi9UoT?656^9m7D1-bg%{T+N=b8FnPL{z&`)_oBk$R_#LOud-{5OiyegkaZAJ# zf0t+%W=-Jcb4nvZ!N6}!c!z*aUDCV)ZU9;C7gFkIWafb2zP|1#zD7wd+qxqO>~Q%5 zIJy9W3xrr&@f24(ua3#*akb3rApUu58=w(wn*+~ui$YncXfGw|YggXj)U>tvgT5r< zHtbQCt$l22{I)%JI@UOw#WX}C_EQwoYK|vbrx<4@KcCp<%nXNW9b!Tuvphp#agIQP zT7#3=J3?eir)rQ$!d8QzGZk1~70Ow73h3lO@DIgFGNG&n(>j`Lb^^K+(g>vO@!NZg za5?E>L>VQOSaMfaDV42Nak@|~{qZ~FKp>27KONT)p&SE7W-%n8d^|ZuVjy4!`A0B9 z5qp}n!cU~YY)37?H0v)Wte<$eop27x`-s>}aEYms1VpXCvls0%z9UpAdWgb(sy1aw zTz;VXZ5EFFkhaZqt7rkCMVD;%1JvzS&F62PO6tKN%{P^oO9Gt`W)5@&ZdugsG9|+u ziuVrM39<^Ipm1)W*V%CHjHFdLUf0L7HU_8!GiMymS~9)ZGU;#`0E>$Y-GJzvHFR)< zoCor84@QV@oT`(S>hT;!BJ#4I7m+-++U()w=63G)kmq-KAEgsCD&=-_8xlyO-|T7s zn;}&1;KF>tdQK{DGKnNhe|=?XS-_g^x-BqJJ&%j@4KbV7&g{IM-s9hE4Sn6Os~s*z z<$9$tlG_+0yZWu3r>nQVLcAhwXI;o46Ol%cOJT||J^zhCpBK5z`=N4Zd#({X=6>QO zC8y1k!2-*Gm)xoeqmc|B6p>g=LQ<;heGMZgG)PpsUk+`9kPHNrB!=Mo*T!}Y%uBKr z<$HW{i+z2}UaN|W{uVX(Q@W_?iNWt4EgsE(OER5IcNW3ju{q`ro|W^tBzfkIwh%)i z73-^8GS2|wGO;)YwLOyvbK)Ui5M#m6O$X8Iaqer59y|P8b~5*V05;5c&)y%HmSf1D ztuEcA`u)3(V5yP$_l#5jP^cDxECvXmr!geE$0HX!pYZzKQGfOoVcz#L45wf&)|^g% zW|r!s+2JVv97sg7< zfSq2rwdMM5lbpphxT&e>gp%p2h|h~-*TY!P?3g^h@xM|Wsy9?~p&l8wEURI8sojAD zPxp@$XMVV?###q?`;IiHAsq{x6{fJ)4!`SS=!Jp6$sEO8?^Ylxkg;n4LmhF9$VS9M z00Cb*1{bV%RKM&y5m;he)xeP4O=iS5K4;riu_JWZSK-7VM6}c8 z#l#(#9102}e*W+Loj3ZG_YNB`F2?S#dp2L9Aa_xKkCO6q0DeciehkT*)U-&;PJe`} zHRY_V5K(pF?y{(tQLI^Z_N?A!K$c)zt-D`=KthHv@6nUyBqt0B7O;8R7V_+?pRTSI z9Z}Z$?`h@gE24pIuRo2{Ob~kKWd4WZCp$8%{EG2#T~wK4eSRcB{X?c#3<|9fXDrMd zFF+K-DZ)Z{B%&;G?=T!8(R-4fDBD(o>k1lKtCX#ura$2M`bB3Vzyt@MjZKa3LbvO+Ovii|_Mnp8O8MahzJGWI<3u6H@4XbSd^+q#xZcVnn0x*_ z%G7D;n4|uOGr~SPHoDg8t4LsKaomOi0ugwNg32x2-0ZjR!e|F}n_CVpjDE3yg)?KO zW<3F{sH|m#aKv+sQ)T(J4XmMYp;pk8AkgK5yYRH~+!knNlKqd1w&PcGlgt zvaTcwLNGLZrxPB^nHJ2VW1`huRV9<3z<066GIfcQOmdks>vi6R^Mr__L=5CO)sUd# zE56AxxDd2`uATGGbW(>#&b{R{(c(W73!Eb-i<=o5dZx3Ia5xM|*EPNqJJDMXc`N?` zFD%!bo0)g+!`|MH@Rg+CGuOgl@qid)55129zU?vu%J%T7tv4oG+ox@Hxr|ZK2@A$D zToXO=Tl1K|l^FsMRE!{}e|6F#7q#67j2 z>)mG{1kI@=3HrUff%%YtAO?gK2Fp}~1_4w&s4ZtYRM3^8Xi?0MqY3c}IHJ1%Xr8%= z(sZC~3_M0bD;Pud~!F+|=>#JXC~}4GEiCZj&`m6Us}} z^NQ>6X$-!B{rTTe;1MwaK{p>j#L7I|Ab)WK1f}Z=7x`nl%?(7WDA{7huw=XSH-9S@ zEt831jKlbQ;>thfkR?*yhRqw^uZ^nH(g1I2Cxmictjl}LmcEqtu?x9f-3WbcN;+a; z7l&yKEFDk6@lek81>weX zA>$^m9Gutd=uq==iIUtB?UU%=CPxh+w%217D$4n0T(a@3WHY_FVB@x%vEAjiEFEc_ zhJ+pp-ZLa0tBd`q%>hMG^ShEg=cE*~aLb{HDSrC5zzJN!8VD|@*iEG{b!r+_rqsLX zyeo<|z9^G(VfR$?YamyEYLWzH0%ub#RhEDRIK8;i9FnFh?+R#L1`}b?fZS zdv%>7H={r>D}+4tedtVAEQGb+9JMQNo>18HEJ|l@{oq5oR7_T*-|Nd*+anXxI|Mj$ z8x<%9;bbm^+gT+g;c>9EBf3D#^S}mQckAYs8LbRkHh;}%q2e-!9NKJa9yQ{hKv>jC z`T|gCNbLS%Zs|JRO5blY*h6)^&HeOcwFRKmoOwNqr85;r8^RPl{^o15=l$Hz`-Ao8 zT`p9hL0FzEE%S$*-PYOt3s1*;fWmVh4+$coXvg7+tsU=HJcO#)kFn-{X)e=c1QmH8(w`EQ9R)sI}!8m!XZ#5qs(DFj(Nmc=Fdg= zbro`C+a>5q?}KmyK3MAC|38|}GAyb#T-ys6VCbQ{21H6qItLh1T0lxtx+Nut@Fk>E zx+P>l8l)Q$kdRJ6K)SoWIljI3|M|6Mt><{|`--!6(C>?8uscvfM*I;XXI>$80q(&^ zHD4dKT}jUVp97TCL6Z#p5VWL=RH@XB5T5#tFk0?GU#6l`~Uh_rum7Fw4?Y@4&dRv*bc0x%Bv5KjC92Jqt&B>`6 z?)hv${BS|E!km+h&p+oHX-Pmg$ETrxiJrBq^Zu{ZB&U5;*w-DjZh!sCyan|TS0V-smb@!&Y)B07U2 zPx7(buq0r4^Mu3Gjry60N$S2KRm&Q3E^NVm6N+2_&I${2ViF>TnGfvCB*&+qr{G?w z{$H5^t8cYu%D{jTZMceKd9w4sK#7WK{QU+^X<+Q-JDtmq_PX3~We~yy-`jHZ^?@*G z)~(vi@j&*m*E`d(9Brlt0p{)Uvi4C!Kv6+ zC`*>K$N5_D&BNluyKE^tZaoehUb<-O@_^iVe0htNiS=gW)~eg?m(tBdz0PhG=_YytXcMJxuQQ2G z_wfd0d+*zJYy_uWSbByV%_Qgk5&hwPyw}Ii3E*lwT^dQxld+IJpty`B65%!Zeu>@r z)YIOud?j=JPqX7L^`nM;~qq6WCl#s$8*ue

Xl?>y_sl}iJ3VN#Zn_NGSh*m{~ef|aCQ$E>Z zYXA6%J(McTvG`AuUK|Jb+_+~JF-Nv2LA$s2G`Q#5;kKL7x-m?oZLdiqU5Hya8GX|}pf?Kp*+mzH$cyzrbA<#wA)XPsfZl zi4wGjyJuuXaW>qMD%Cpai)-h@VgDz_z@3(!Q$;Y@>PUn&*#Od%Yk9Og;K--2FW@{?R*|I*wyx%*BILbG8*77m3& zw3tIl^7%7~!E7b<06II}*_RXq!}G=X!LJSTINA<`(dgO;A8Lui?ezgnYR0|qHEN1Tp=jUcBLsr_W6 zmi`CxRR=G$6raY$9L2&vb|7W8==!MW$xQZ=t}?Z{3|+k+`#*aIqB3%FN6!j`wcn9I zz$2>Kna?6)!1fMu2*FnwB}1QBf7DiLr==2Al7$Ai?;dVfne3*C0mMwqI|h}$7S-}Q z|FN55m*DGv&|Z+ER=|aT$Ro?v5E#kmjFR9w1c*<(BAck&7(29L{5t_?s5od$0DOz+wJKf6(O3!(>ljWqYc0WZ4z!H9a=Ds+PQ-XHAkx^#C^7NS>zeIs z^W!k?0mV}?m>I9=^k+?#`EcP>G_7t-5Bxj$t}$DAxw-lnF^k=cUWP405T`J4e}NCPV7*rho(t z&>KwGRF!uD>Ucy0;0JXwfc5?(v^zLZ_yF5+Rm=6 z8I@D}k_{3DD>z)NRPF=5e=mH_u2wo}-YXw-9NSRNd}5E+E8^rNj06&~G)Z=bFl{V? zK-9sW3=L}WW3EAif-N4;+%tv5@#->!{YbV=n_v}el!J3!Wh_f?C6&xGO9q z%t`!XVn=PlG;GQ|B`H_k?ETOXLt?S*7~zm-kqM|)>@vv3*4E6-n10GT{7|Yrzhi~J zXrV8NwK<|?slOnwFy~3Jqf?mCj&SMX8$#N+}{b_^OoJsmPpGnHWx4s;ab{d8$QY7Oc!bWVUI5@nab7{Y4UzN-Inpcx!E>SnZk2gnaf~no$U0#x;;<_&dEL zwZ5^*yHTWxHWnXrxcv6$$~(l6){;yoIt-GCIRn8qNaaS z&}g^@KW^Y{X}CmPWXXUR`Ijaz1bSB_4V4@O9aaJeCMc$ef1_l89W&VNn`>4z&C>oU zy_G-&DmO)}`uYFpzt+mD3;rArgv$Dktl5+$XR^($o&f%q1`Cw(v5{a>6^5iqQfCH| zLcY7MNLAWrU1K;v2D{Cpr~UaW(vNm#t#G375K)U*mIM+q;;r^@6EX@5SPDdoqbS9L zM-&^%Hfgl?ebi$mS?8j@xuT+tT`ilYgjrw(bGuSl(S8jl`@f7Rc0N)PsL_$7HHtLf zP3;V|f^-@2_7vk6oSK4~=bc!z4by;PGJE={--g!FYJG)~y4j7NbLvvPm<8BI#m8D| zJyp3B1T~NfWLR)5qsj*6NPadIQh+;J&YoUD+kEa9*?r;YvV1TtyD(NU_kKdCi0TdQ zsX6w|7vf2mu630}f#G<$HJ}SUri@r85f-g5=by(KHQ`<@gU`+Eg3b;cNEYdY3fjmO zR+z~Z69Cw`+;2|`hzA}SKD4!vBLa0F0;dAI1EV}@XYKF;gvV9bdi01(l83+3Ewyp| zPS3TMbay-H{p91yo&KxyJuA%1qkrq+;H7Qambg6*I-=c_w+W`r6)krh#(fdXT@FY; z{u{Mr2(Ha|2IRMVR^=Bi7-^bPq$`1)TpRnZEYoMYeDzp^d0%Wb@&S7`0uuX20rwNu zg@x1E@5hLfpz6ihR)pM=U;dCn9mt>a7cj)(5>GUYq$?!IgCpgNQCi)LZhzXy0g2K= z?k!63mTCN=wRV9-es_C%k7;GnEmmiEAd$>de?$bu^yEDnBD)1($9h<1${la*!8Ty+ z1&ZeaJs;aCO?|z*SKfAfzrQ9O1lFn_4=)GCcJFokJ*ETqj5X0tnA_x`tq7BXn$fKhHHl$9? zchgwdJg;}KOH@BmkZ0IOCSMeSdsO=N@6_$WhcE1uWWj|1%#rg`L83ATxhRN`Xb+b$ zM`>I8=lQ>TwoEnvj9*tbb>Nc8{!JFpV)-_Nv2_hfdIx=hPMejbP3DCndN4t|urEv4 zquCOyj(ZaM0R%iGtjqU(B}*6rRA%l}tpt?tJF@jk>R^FV@iJR%s6V+ep_BBPE08fW zIrRC>R3XMCe(oEMqCgRdkbaNj0tzKaEd@UF0tnUSW{1vonXgN?BYK^$Yi|kC?IEKw zFfc$l!;1u{@?UJpW5>B3rO5<5YGfMdU zRmRvUk;ncs-Yr6g{RSOSWw5}lU})wfRmgGiv2JK?tG)jlCH7fw#9S?zZ`CJf)sg&f z-PDrNXI2Eaj&8sC95$klb+mrMY(*dI)j+`KR`WXUy3~UMeDZRL9NCVbN)dZSB~iD1 z3EDdwo7>0Xhg$A>{cu4cAt5S)MqwWcBJPh&H)VE$M#@6>TA@t}hUl=?scANz=l~0w zis^myed)&Y-^S@O0cWwD67KuguE85w$U|-bhKv1WjWRtQ`O|oGr{aC|<%~km(wE`K zzlDMQ&t_4G1|6&UuPcyxopoe`27FzVlhgIptdk~Q!5Kc$#wq5N?+V|FYzR8jhkuKI zIyNEF?Dy}2w=d?Qlrph~17;gY5f1Lb-klR3{F^`V&=2gyw$)~#Q3^PJUVhtdA3N)o z*`Kbs^WZpPmftA7q6;;vCnPS!o?PTVkz@FKF8vR0mornrt|wH90s_eC!Yj$}e2?Uh z*#z9_{Ev_g%%F+P$Vpa?9FLho*^(l59X-8|=EN$b0`lOHdURMCOqJOIyxx`-$-1Xy z_%3m+<&Y{>hfM=MF0m~S=o%LNY5#R*qD0KzD`$1_g$V&gW=vpDEZC`=(nWO94aA;{ zrgAbBK#{2e?oM1y9UZBx_G!hk#|3N1Um|QlHX-q+3p$hnYF_G`cY7xB75Si%%ItlN}sT~(*m+H!@3vSLF@PLq|JBbO~ zhJqAdSCFJscbIqZ>bm)N_=Ujy27~am!(U`XQJ^ZfWRo_Z>CKFkrheuTJ3Iu*P5f56LJ&&!1%yu(i#aNzrq5J&o>4M zM;d1%#On5nyZX5Q6We*yOg{osU?_NB+q!(@MD)eB@FvVqM3p8-7{ecc5$2MYD?OI{ z?_nh(ZUqzI5Tf~@tT4T12FO)fU{#&Mjg;$Y-qLKus#Q+bOQ}-x|BUU-txeh|I-Ws?sESwE*B5vE1Z5SCb20 zh5T-8cRAUF40;KPY3GqKIvAKvIkNJqh~z(cW5by;_iXA#VskRItw^{sbi=mvJ7O&( zMW^QrcMp40L9NhlS`Z)RpkB5@ywv00g@53Z6Z zq(X<6{l>tZYo$8*{r*J8)bn52qJg!uN06Z^>SbxG%IT=9)J19V z1#=2hCXi3U-k$YWa)z&0Tsrl61=sz^8CFjvcta5D1X#-vV(qa&HNr3nt7O?HnY6#B zr?R!N!AY2xDEg`0O`=P;sW88H5u=l%F{nRg_mL^uoKH|VJV3B^M$_v{LzL?>>N_)h zH)N+?jI1MM0uazDU%`DeHJtFAiGk5qZ|-PY8J+XYvU7gc{#(iM@336XP=)67;}~C- zFv*hbF$JmRJ!aq9n_USg((d;PMj;}%^C2<%dgKOh`~I<(wR+VAt%05xeq}WHV0;NegEX!|NwY!(m zG81coi?ygiZGo~s?}a1-Ct1+cML&2QD0)q@Lcx=uU)oOrdFb=qq9+vQJ#A@$&bewZ z7TuQ@0}@j6y;d8Pvy9hFRpxEhJ=mJd7!)4?lH+EgKALV0C_slE$qp4{AA5NRLDzm8 zwYwGwMgwB_7565w8PRpd#iL_Sx-lJP!>;i0#p6PikMY-pIFMd$IuhjrcvcX(zS{-G zV)b`wy85X^vK+{IiV!W=P_3FtEABs3Ombx`?87@{Nl-{$2;JiVl_jL5&UR6L)r+Vf7H^IsqB zz{PPnqAd*#QX{YEt+|KfNZ^jl0+=3Yf~8-!qoWV>89{ZG^VMyIWP0n}L)X?_q=K1Q zm00Ghtx3{%MZ zI37>w=J);7LPF`4NFIW18n3zR;8+p1Ka!p@2f&~cKP z#alpg;%+ZNN8Vj9UYrR{j%+!e$gDGS@Cwxv(4JMF*4> z3~t`%r$~LU+&M0|iH%LoL08VI07;bjhkRE>y{k#z_?HffUweEyt6L89aaA@YcX=F&bq$D*@JhVJsClbL;n?KE1AfSVNvMzRk|_I}`+LVwHE$0fTCSpQ#otsp+Bk zu+)f23YEek0HDI`z7T^a6Cl)v)o+&WW~g?#ySNki#a)1|kb(23d+gQge>r=>Cug1V zxWAwfnsmt6U z(3Ex&0>(t=Lr)%WUfV`Aa2RPN0HVMDIzeG%+EDy;3w)>rapkmUGB+)n_Q=JfS_oyy z=NR9Cu40@}E;vUC0XA=_vMO8FK;Y;z46r>5q8l68hldK-Maqxvlh_b56T{Z|2Z?+o zcb`HJrK=|G1%y8|Lz8Z!4u@6V&iId{cO{C9Q2#jvk- zpF{{>(Db(io#MgFx2&7;$18ZS6jrz(CoW96Zie-)VFi{1CJogw(qjEqhbCxO)R4wc zr~rncK?>2#iQUFNlCI~XSf*a0Xz)LHZ*M3pF^F;WAlvP+mg>WU?vq0_uU?&n`(7PpdZ6?JGA?@T zFDG0im;++M7G}@YHu{f5FJYJ60lsZLDL<6|q@Ta?r|9`*Pb`z3MG4N@uc>Wy*I9YH zbEP4a=XcumTC)ezg|5*ggpl;#I!{QX8e@0Z-RAqr{f;EhN9m49Q_1Vxb4HFmS$y@P2*mLKaW@@27Z z$x=em%E$Zs`E{X?e*Q!2Jd4=f%DN99PUp;>LDpDsZB?P#{azy8LKO+5js3jXb4$3n zvvZCD*j|)wrQ^fV_~g>k{nGC3X@*rP+PT}enLXEm0nu%E*9X_$%Wir8u0)BeS=#yi z=_+o=mHt&`bZ4ZRxgVhGHil4UOkJts*lr9iA-C zJQ}%11Ah8CKR;pyJyhA>cPCDkeJp~6GFcUChj6~|8#LPK&Ub30HC)2lZ2I&EVEuKF z*ZJWQF2O^8ZVZGbfqgVz@^^m|UKvkPS*>z-l ziY5;yKKvGt67S5Azk4i>!m{3Go*g4XD!3HY}(P#&{~3ziL=tWWAhTMkG6Qw zI5*+uvt0LPbcQ`)Z<}8yTX3CyetxA?+(DEoBV4T_x{cj=e#di?+vZPB?AsDM zuGPp%ke=top||T-rbs}CY(ja=KZ$7w%@CHrkN)wUf!$vzj<}*Z326L(Dswh8^}_Z# z1=ng^W}@td#<7K=?J_BGbyKat%iR*nTpZx( z?rQop=T2S|UV|3JZn|m|eDR7m;N~YU8sf^r%5gLJNi#S@kOD&uvx#&{%VXEKJ)R3W zKpK3H-Pu~>cena<%tCxyB;#8jJX7FbYSJNQNu`qA7p9{2D*svoG&;WM2yz; zBxZ`*{{BuPlvR!Cdrj|MT+nEqxa|(;5rD@5BM>n?Fhr%Q#Zrt}?xKx)uodj;p9*37 z&+SR-@oqBFi#(0HLJ+^tmNS)O3qRJg4|EAyu0m#GCwn69w7kpaUwja`7$()-0q2(} z#_E${*?{(qs*G>b9Gn1*54siWe71UZd`*dJSrYpZ!UK~&BwASd+4|4wQ~pA9QtSZdUSP2`maz$YD+1+TgEO;{a0AG;YGS*GJY4@6~L*R;!N`}Gxm0an-3v!$xgYs8if9ygA^jHgU z$0QsTKC;HO3z$q-JS&Yf!UN1x_`}Kh{C}Z? zNZi$a(vm>sE}!WVXhC`+{KtXpR$1qaEha}Fu`^*+8~lv&0Czc>5S6J_<9 za(hc7H!JvdgamlFNa68=BIF4E33Vw|mB_ z5eM2w|7tEzNI$iAw_mKNemz(ib@KSu-cd78Bh*IJBgQOvcU8AlMs={#(t46w9ekDi zYSv}xfnAmBRWzS3V{PRFs_Mpfz3ZIFEZFg8CTBjwUXBcHGP<6EkjK~D0tbkJGs9v7 zz+-rPxc-bLoAoow(S~rUkjQ!%WKEKnkijHiCpBIA{`wG`F^~kPTn|mI9tUn|f;Llmx4&my2P^$-h=&r1s&W_N!|0umUrR65RL zd#9F%hG*^=%k$bja%gfKMV6I%osab$Een~<6SO6I#E>=;PQ^{zraLC8MmCXVF zE0f&6Q$bX8;d=%T*z*;rK3X`nMnp_8CondbISz%{U?E_|#-kc^B1&R-@w>H1 z2D>mYg7#GvJW`9A1p#Q!*a@BCdO1bsll>rF*+ig{XVV}~Q4SHd+=O4{!nl{ zHV_lL`YjesyGNVVM=th;pH9s0ylcJZx-frwy{8A&hU)d|z(>Y_S|znO3cq>U#Wn*C zaN}$8J05FRX2DZfRta-JyXm&asWQV*PPTT~X3+eQsb&(HyT3 zc|oWu%TMz%D^(Nu(PuI%cwcdWLSr%~@trQLfM(g_gWV{|H;QYrvgBIDPzql{u=I~pWE^3>3 zhm(A{DNOq%1-Zo-hfu;$C~BEq7D3}5TN#5db_u95kxX>GCH}dFiOK9siJ4Pk#B|iJ z{YpFR(=_{7AbSb)2x^Qh=rLg4L!Ct;(~+ME9KckW>YMn9j2T+6r6n&87E3lJiHsQ? zYMYsfYEi4S;A7mE<+Z!-R6rI`9c{8U%B_kDlu?EXg2QaEUCaFwB_?NkRB_^|krFdO z<=5KD&7tH~RfQpu}^TUvv*6L5C*FU@d(;YOjPb zj@~6adRG}JC$$6WV_tSDQ|*TH++;^=GY07@%zYM(TlneIm?yQO?F#@^tB2Ljgn6-X z02P33u2sN~rOK5LSa2u5Ucf%eFwW60$^cNISCzt>{=AKT2|3d`HBA8QA0 zqS?8-oJgf2?P6w~FWjfKBTYVKGE_n|hQ~YrRZ$`Yhn$+Jf87wmYOpO2fm^3Q6-f1- zgWx4X%>1|jKTE_(-Pc%-;oVpf={Eo1EctPj{WelX-M*d?y1_3KPCTpaSqctz)3n>w zsUHrmHhKs^{2GfPMK&xqf5+ZBo^2?ZEsPzcF1`utPW-7Z&srGULpnm@Fg4=yP-W-% z-FfZOO^}A5v5-3o=QIFbF+&+Nv3vBI_w5JpPR(F>xOh+wxrP0Kr^wD{r&B{}AUkt( zsY#>#=2LvfT~4VDtvirzaW!pA@t;^*u=Pi;--jdcHEk2vJ1y1w&wkPDZM;RO415TH zKFy*BKosG0)%}bO%JE}GX*&})&qYUIU}m?MDjm0zTqRsF!X+=%i>+d$@Bbz*ex(g} z&s4;!V1HFy+bcV-Rtx3;Z85O|+@P)==H@?ceC$|?O3GzqdR6BuO$*4$v?5at%Z25! zs>KPmUC(vofoY~7aV#EW=et=2@3=#CbI`RPdx5uk5qe~ulj_b}rm(U^K`pAR*^fBp za?0{(igK{`!a%+bL9$(!0qrN;0=CmQ{&ysOxZk2K{uC*B-v+`(4LBAUiHqiO0|@tb{9@i~|6v^U4JBDwheRlA3{(O=xq zw^`2iT86|+kT8Ariquc!_?cwih(6OI^FbKu-&!HgyknYoFFxrxoxO#z=*|96U7%59 zp!+avM!OHyTFhACL3VI?HN?tN}o=1h;IkL5D$t*k4TgJ8p$B!mSEo!JH* zs~6&^Drau{i49`37dU7xp{lPGrX91K@p0(%zsTA!%n+A+6o=DOqWpy@-}6z$Tp~ql z{@F~D)uYrZ)C z6hqeVu8~B3I9XfMm=Rv720d-;@bt7gW45x^!e@495i`{vh+G+Js3CEN63enuFt!D2 ztxDMq?rq6M0d*h>0k~?sx??B4CO0}L8Up&9pv6H}xo3f<&*bI8dpgIW=s$AvAbe|9 zLh$UPo+-71Y0LM^z~Gac?Ks!N?`CbSbbOP#w$u{9l--^v=4unx#sWJozH$hx>s8$Z zG#Ws+M@Q(F%0|+m+e0gDHJ_-80&)s3fn^yR#U~bMmUOkPJmKF2lwl%KqfTr|I$+Ar!{Zgvdn|OJs)4C^XeB=u(Gzcw%}2HO>@Hu z#U^6l`Sjk)OG?*4%Q8uA*?7MB>_Mia>?^whf;IXh7g@rZ*&T22FDKj9sb&4IoVYq= zaC?|~G*~u)+CeziBMt-gLuGiuz;jMaL^K((y3VEfz5-cP%)!aW0~uVe zDYp0r##e(?(+JF+g3en^eU6w*%& zLVMPA4@nQ^1<_t@mFdVI4=!kD-{Z#9eEDCQC7I5G0u4$=9Q!*u3rHw-f9qbX;vw4X zA8hU4xcE09qRVzU&d0*Xhl<1Uzs%>{vb*-~!M3e3H2N#h(6d}JGz3wQRUlWSW9Hav z+cBxLo|ctw)iL(M##~>sT-$@~9sNP5Sebn`i9$oqZOB1TC9M*`x{fGHL{@aq%$SST z#@gV%TNm}Hs^G?uq6%2=P-s8vVCO<;rL9Za%X1?@@E+#8yQqufR91%Kc5_g$FKf%ZiCbp6H3{N1Z0Zz7~{Uc;D5pfO}})=2q$#kx`M z-tKSHPC~Tq7C!@Y;oAC(>lWGAZO<93AhPE21?)bZSH`7VNi%uv`kTT52PI*StvA?= z5>}_SxxLlW?gPY^T+MEEPlwo9oLEQgU=y4_RAUOSoEISR6ldT#vtpdfJX;1Ma2<|QeS8oYVa zB9Hg-1)|Bt>G9w5rn}Kxeqw=#ivH?*)lXlHG&txGOyQ7#@n~gc0skWhh-LV{cHXbU zViA$5SyUhA84a?(H`R92L6O;4%z&S=XXTWlcB-^5tl~fg0aK2=t5>*VwFP%USNMt1 zIG{SiK>01_+0UhFk+^$HhO!9@=(^R)?wT#E5QfRNz}uQzle$6xG4Wlj zy}qr(d!ym1a#qpDmV!b&2Md9%1tf5e*MQ1NcfcV5-$I2oiVD&9+7K1g1U%u>4M>%B z-rqHlkW7At&zl$SC$=yskZVoSQk%{tKQ#iTgs z*NAd)ELwSDC5gdqO!q<=SsSB>qU0mMmIj!d-CY?d_7rK~{C=s)DB*p5(ZsXd{2@L= zuWYPVQgr%C zM2+a&<*xpV|IRG_x&j^IClUh@V9<7P>96|SHc#rT@3k#XK%(nrp-ys+m`BC52Bx{S zH3loY0=t0sn^`eXA;W@MPuS*+D3~b0(P-1RLOFin|0L)sdIf(&E+H*HH3Ejx)`aVJWq^u4W5O=wi=m!gH@1#=WVYnVTvQ3^ zFUiYhjq=6v_R(=E_jit3D~}Vjqk{CYSUe#3k4yrjAX5!urWnqg;FC)x3qj-8uC0^! zetbessp`tIrzjn%!_R3`=1_U{*-pRI2^tCJm^z~LaYY^;lFifz^ubgePc+Qw$fIGy z`93QP4x%(RvBK!NVnOWazFRxbS3W%0xCI5d-1bcrVRq3nR##mLzgQGKBnqe~TOOiO zFeD4BRlyyWtkI?udb(~hm2ORNLR&Hn^buUxd6z*#HXaJ6yG(LsyOG~$z+IE~i)!a) zw1`vtYxpKj#iH_JN;CVzc8;+VDuk?x7MTz$OsHi1@R*OQSkiv*_`?d@rqvnHkCrO~ zKLZr)j7E#u-}Aq$tgW9|UO8}%Jya8k3*}21#+#czkZ46COPq}QQd{UZ~bLXkb)*!2u;*y42AbLyV4!01HUi9!q=c$TQb*r45ap%1W% z_l+;5WIj3W-JA_lzC!HH9ts*gHsy-pYoV2el^aUVGVmO&m|h^MU*mE`qjO@x{>>E% zyx-ef74^5Yu>e^@vlLF|U)TM-`tMVOufroOJSr40;GzE!?^@C7GmL>ZRTuI5c6jSt zr^&!jLNeJ{$m{NM?2TErSmQYUn$O#sga*@~KmT@7RY6SoRs2kGkkkq3@HH{538x9y z;5(=1pNPbXDD@$PsTdx+qF!Q=;&nq zPV(kO!lt6C8UblLgOYqzrY#D!f>_jy zpGNqo4{ZoeD z&`cA4drh&oXgpAs2{91oHF!Sv7_H7Py|k`=9C_E%C0+e+H|;ogYZbx zqBDwl`s-urg1+2vLwjT@=5M!E-g`i>*0kE@x88Lh#e!$i|u}U}u#0 z?zTUE?04HH&+N5+qSe(oI+_!2 zzHHO3j0`95pq+)y_da}ff*d;T{NG;3USD-w{{7R!2GHf^%oe;MM*$pm`eN_eld*Fp z1Kpy~;U4p~M?_7aL6nO*d97iDnlcU@vaC3`J= zN8CdIlVgs{JZVXv({bjHB?PxfWT+Cn6z$B*yAK1)&PAp42H_Ve{tUW4%Omh7zGZi5EZriUHU6U3 zJ)*1RnHQqmKWg&{=4bs&&Ppn?=C|~l2kEspMs@awM6r<-hMEc7h@{!as28R zL-W-_S$aIYpIk)pvt0J&RE<|eT2EBVO+on6^~04W<6hhCQq+j2;BdyEkC|w|_2{1Q zQ}t{WJQgW)-&@*on%eSowVBuExivDY$#5Gl({Xhrvl3bR$oMod-*WJN?{Ij1;-!Si z{l5ls*R$1|l^u>`IvECJM`uYOx&^LSh3}w32;b{`)i(`BHK@W2R%>0=Il8T{za&XJ z1HN25-DEAM^SDTQdtW}C-$n4cRIM6}&PM+mlW5_PuW>&hdNMr!o6*8~ws^VQWF~og z|KsCg>+zdvXBU@wMaQan=fp+wCYhC85f1|gZ$@b7BqB17T0wbQ+s!MI6ScX7O9Yil zke3UNoz{Y2jOaM*C5hn~pK1j&QzsGckn%9+7~zFTRFEMsO7HFllW!uaAgNvW{tbBh zUM;cu((CmpwMhJZ5`N(WJzbYH_upp90wzohrebb6BYOp5MrY?YWn1bbX5Zg8Nm$GJ zoc7cm7ke-(h=cY_+!)&4Br*hF6(!-D|Yp8JG_uPNo+dgLe{<_OH0ddO2y!Iwt z3~X1_W{@(MI(@IUofmwEV;Qi0+rCjs6m+#|D*>dZxzd>!-L~`Qp}NB$9LjwENyd{X zt4We*aRbMH{9Wl}+_`tMK|s1|L$bi9jXoldC?=qc7zQ%epPhN5FPs;+RTE2pHFmT2 z(xXnext0^{e)Zw_c@h|MpDP#JWwF-1Z zG|lfYgDC7<;;90%JEfE`^2Hi|2(_}X{<+4Bg$B-XjDAC6b|Qi_YDnThv+{}RgE0Ui@#HvIVPFG zXZQVgw0pdtpA$1T?S~$HIZ;c?mhhnK&lB_V+gT&=uv6L{Dk$lEn9w|Hb87fLg9c?T z^dM9LFcU*5x4T~I>h*I2tlUs#<><0B4JN*5oRE+(rq9YRBak^EvV2CE+GXfF;;xhq zt#|_OVMHc+`jG!nWAgcVjTJU^K8cv$zb;ZNS0ZoPy0-uo!CgFKmj6k4=_M}8Q{cfE zOp+_=ajh)8QjjAlR#%H6*XypZ?tZn6Uz+ExGWjmEXownJi9mo6gP^j1z1bs__q9}p z4FTHlc5Zg?W6kq0=k4%(uVETNACf@n;|omU&#ym(5l0dHm+>J?LV6JpFkjKC>cy(#voHTH zn&tg$t$jpQX?~1dGK~tztGE%CxL=KV`!UHwsUzqQ;seV`H>sJ_B6nqBXve*ORA`Kdq@IAF_^Msy}L zAaa(yGWp0Ckk>|;==?kyyf$!u(eGlwgq_vCwhB>I^eHA_an$eJRdidE_0t32gM+h7 z-fs;N19o2$*xG7qA4dMnTLjm4&`c77wbIzFe~-CM-Oe}9-xrJ6nF}Dwc9BZ^lIuoY zqo(Jh+wo0;IDlMvJ|C51&u5|hI;Rc0VUSu;^T=}EQ~%!6Psa9lYO{;zMQL%aALcmC zGx_-8_P;UNKWX8sE31Xc58~|#7S9U;Caaq_@~CUYqdCWUm|5itHIMtNmNIjW#6$y@ zg2?*;S6Jv!j}diA8Mg-xa~u>-xWWv5iHDdma-^4Y8~YHdY;Uy=k^9z-p`=3Mc+iIW zPb6()|C{N9cBEzE&=iqtf%6!@4L5iM?{8Bdy$>!~J)5#D4t(=d%JtS)ZR{BMbE;r% zLeC^jK{>HP*GYJyU7&%Z4_gmmqO!XGraYB#aPSA4(IiVoi$U6tBq4z;rY4XioZSAG z7Db1Ikj%)3gU99R(VPs7v*((O?SWT1+G*ve1?jtMb;*{z8opQ`-qWwmYtp6G(OqI( zN!QW2q8}=)k~#wpa)_jt0#5ayoJj#fdvK*RLJZ&yj3xlVofQ@HMe8zRQBUt+%fkQj zs-f9i@mk&Bd1Xv!MN~x8vO{ zm#JJLsPt5lGFOSH8~q85f;$~Gnm%s9<=X-sRd>J&pW}4JpK-1Z0^xv|TM(B`7eXcJ z$RmgtCH)Vhd}P`JT%A8<#0sl&@j?uMW~x}x>pEM_G1ecNE01ey_xtm8r2t(QHv)4A z&;h7AK1fj50O{LC8HM|#R+&P{+?T+1^-8n%52=&BjEt7CU(&aKjd`UfGL9bao;Je5 za$=K}zMzPx*tY3ME?LDKL@Ttl>HCdMvME2-h}Y20<-?z|D`3IQE7Sv+@(J+&YXu^F zB8nt_W^ulz?ftXJ7}z5geARguE!*sNu+@3@*y)c_%^x>Kf{waE3@zdc!Dt9-wjKx> z5tN%bJYP^T5zEHrQ?!lE7h&PXbyi{==tc8OaK2iAdc11O|1K$?Zoq} zQo){I#CBa*Iy{{HC06GboV|?dK6xyB#%vhkt~>MC){x@KKiw_$Ia)aw8w-5cTQ&Hc zqY40PsG20(E%C&{jw>ILMw#2|_pKSIUft4PbVlNS|6usdR=rnGr`V&4r`18*iw80{ zVNd6I!Q)34MfJ}jYXrn!?`3hNSm%@Fmy;7UJe&2$f)#lpKLUy0McI0~=vWijblDLk zU3I@HgHD*nUSh#nS#i)~m<&>9#Ah9vJd^0e1CEE5_L-WaPi{&X{2$8)2TIU-JtysC zf`kG5FyjsnpDH0Q-!Bqpf0IMRVC>Ncv7@5{OT@W3EiZ8+)ODjwmX-_|FPWq12LR}> z?5N(t*G>jcq!3FB1;cNSQ!8v?rs%FqyQ}>_8Pzg@kpR=_s_(Ad1m0KU=|i{cFqtRg z5LL#vpT&a;mv@{hkNz7b6w%L>3A`K$nPOb_y}LY&)t{MLGFqLR_uXl!F5<(E^gs*0!r#5|{%WskWX*|PT=vCe){u_?5+-7K5nvG7r%m5y0-0bj z1TnAxvmvFlBG6i4k@wrpW;1IJN29#V6HenK1(32FAdce5kd8bv3L`Kuf>-RMZkf)V zIb;vD4U+;uyU)$QgdQqm^FI>6y@%Gk*uRVL_mFGL# zJNVuk8#iy2rgZi0K|)%NLw%#aH-ivfa64Rz0%t5$Ae0Ja6aGJ8MI~$`@j_;{YL8#Bme>lh#tO z3rVc=B7_ixl^{))y`NeJ;pflHe&to-gIKv=U)>PcD^1oZ0*o{Rz`@1Y%NKjDC{R_6 zWcI7ipLyq#ODRQ9oIP>t`rU;i)3b-$_S#xg`$4fiJvY;r=zfVInXMqMe+4TMZ~%+| zOnn(;l>)Q?0FB8S$@%MR7cO6o5^cT9vRH(?ud-$~J2Q0^kq|g=97SNX)K7E`z#u}b z!`jB)o)Cib9s<-c(^_i=se54&5D_A(agNM>YI>?HZBdjWY@LfmDHCa>1B3IPzQ3FFbx?FzC1A=Hgsb2QBhgk~(pb;=_%{IFVQIQ`^z; z9!;SUtFaFNVEmomyG$RXx(Xcn$oLiWgou51C905ST*W20M zZFCzVphbf)gJM$RDil^)BLcItWtC=WYT_(Q4<9=jM6Io@5|J@RfXCZTWuBKS@*cd6UDv<5^VP>P#o?>F%jRb1^_OJ-o26B#wK7L7HZ9nv8eq`@Y`cL$kou z?|kPw^(!why!OWXt6Sy#(W66 z>&{64G>8a$0IszpgeC}hCza*-#ihrec$^}&I5$TCnbHB|*3QOgccZK0c5AMcwMoZX z0Rr6F9ew|&7hXMkW^tyg0t`pCHQi{YbG;0f@7#aq=Gv**xx>$&>6g`&>)Y%z(jgF% z)aG#*Yt{Crmm>%<5@jMecYIZj^*2-|~sS_`bH(i3 z>h{jY;nn!`GcTVyYA@a1OdBc)I=0i(*|X*^e(>yu1+teDl?(=CWFy zBhx8o=_+rPQ!I4A`mLP)hEf9zxu2f&kmlZm6L4xysD*w|l}j)$M@ND#y;QnsuB zxZUaGqd{RU0U0u;5r^PyRVbsq_beR90TG}R4vfO!E8Ycg;1vMOw$iATLI{=TP%=1R zC~OpYMZpq4&?04i|6m$Dz0?H&fEGZrF@?>Ke4cv`aAK*cA+Z*QMVWSDrGkN(>F%Ke zhq{YOOIyFcmM`C`T(Oi)&rZ*pb|agn(3z2om+lA9F}4~W4tC{0GusZ;*4Enb`9`Ft z4Mfb&vki?^BU255p&dc&6dMs2tPh}qckFYd$U1g;1lVe2sCm$CRjp*Yx6=}no21v! zHq+Y%CZ^24a`;$1-5Czp~D&gc;J5ip`hwiz8{I7XwT#~bb*mcNsTPR0!Bxq zl(jZ+g@~vW00i%ug8{9SiVfKiD(50Xt+b9KvEF$v!YWZ|5|NcWFE|9P)5vJyV6Bzl z$RKDMzmPs95F4ivPb9ee%|-&hJYN~#k$BD6Q+9y^;D@gI8ZaMG~h9!zp< zAq4}h>Ys;5L161D6uiIbnpvhyW?i^^b*n$D8d)MN3Ty17I1W0F8m4*U#vRS0B%TJN z@89p;TR+c`=-7u~fjp^*(1@Ubns`_0z+v7us;5bO?XSLcqF+ss`1xCN5)9rW_$XG( zE89<>oPF-8V@ro;yWQsf;r4v9BLD{uACQs(U}mwMnsjyZT5!9NEKJWF6v}f~c3QK| zPB)6`U<2%SI^HW#F&GC%DGDkcr`#5!E$2q>fAId!&IoinZ8o%ts-hZ=^1uJ_>u(cG zO;2^Z-J-}nhuVZ$_nB5a5wai=5fOXuy=M;I2@()$L|_SkgebxS!iX$@f`FnLjn<`0 zm#c8ud2$wFod#!>(x5;^ik0{hiD_ebE91DVs@1Lar9(?Qqg+>26l>w&1Khg4yxI%# z`mRdbSu?H*&q0$RO4Y|V){ZaDy>R--3#XwJc>B#uGp*Sa;KtT&npX3jHb8s3;_wBemY6^QdKdbbj*(zwlo`fE$T9 ze(bTJ&FadPU0*Kf1VRZuL8ZFW)8kJPtV;(7OiBsZE6@I`-~P^KF)W-r+Meod=Ea8Z z9V|TvMYMBwbLIZ61M_nS=jNAY<`!B_XLA8z3u+LB9Uq$r&;eO3K#bu*F;6i5d zN+}UdV_Gc$5Te%vBu)vnb?4rln?HNcfA7V|2%3fU_qX~vw~TKjbLXO|;nwKNmAh9- z=2FiAV@uh!mHVR~zjOBV$ph0T_gx9_d4RzaDx8#kh1X}#7VgO#72;G~!)tSgE{66Z;U@ z`-o5}1;EbP5UMyfh|1ZL8C9YXiA8zJF&a=+Dvkg^ODI64it@_Fyl5N%Scyhb#%lOD zK#EeO)8X2R{eQf6eQL^yNM7P-80@Z9hmTp13`PLS$M5#DrTA<|4R)`ccqaa%ubq8! zdF5|DxZj@2zwx!j-~H{WKl{_muRJ&R%*oF8f4aH8GLy}${r-0j9$P8i`0E`iJ3tyi z|May%lx3&qLC7)qH{ZSb)Aviz{eT-W#1V5TZ5z@qRtZfNtc-Fh1eCNty!g_WmR@>t z@z4JA+q)a1$Is6H55Irz&FjOz`uU)vw`E|io^Rd0y8P8|re_ytUj4GUcxAIt^FD;X z`SaV6WWWFWOV2;oy}YjPZ%;)rXuUo5vHv;>uKi|+&lJTL_LMh(faq8N6vd5D39}`o zKmdt_0|$rVwI-8j>!kA5i;tpM$0Uf%!TKB_QUHljK7?vCOtRD{0F5BPz6`z`uW#yT z7ud^PLfI!9n=FIIzbXC_jo#QEKmIb4�xqhDeX?>ZAlwpiZE!$@=mI9T<%v`;2>i z9o8XBYyg0%3U#a)An5>#t?q8Rpn{5{ry)fJsm>DnNx|VPA&n7tGlv_l&&+fnRUYG=3~O z011i8NkzBrg`c0_eBs>OLbv(;o#oqim%sP&t9>6DQRn)d?JDFa7uuKB?_9ocdnP*N ziwHFjcJFtZhYrPXJ(K%)m*YT&aBJgwp6>!uRTakQ%DTCkS*7%7RNUX#`t~zkp`?)>SSw|awoYo|YO%bg^;ad&s+e%WbvC--g=Q3wGr0PIsd$V3)^6KP)k zhX?=@FaZbPKr(qtR4StDSJw};I@gx(V?zsAjVf4Da-b|g=pS$y)$u|}2=DimJZ7+kpB6JN2uU4M1?UNdd~ z{-unnyj;qw}a`dUXEj)=%Q0(h6fESC;Rtudo04 zU;Xv}<)8hYRtbO$1c3vi5E38;2_T|X6u`5u(xfR6GXMd;f92l!3s+msrrjynjx+!w z&dkoh>JKi-vritWC1OlRn{>;k~V>rzj1S|-I&Re?1w+OjQ(OY)oHggVH5<JK2t-2gB20t=6hc5mBocOLOOrNyU~6rnqcT814*(9; zk3YP8{McN#)e2ErT2GKF-txm`Lk9tlQ>;m&_^`g#-`W_(Mpr%w5`v5bf(8UoKl$kg z=il4@@Bi1A5g<+iOAi3p;mxZm$?P}2+HQ9YL|eI70}IW#|Fc`~&6artuVz^k)V5Oq zkX*XC^X>=Ne(QISBOpXQQM*W}csf*5K&5~cFoZfXD%f&VYyof(C!(q%GBI~&%?v=2 zGW{t(4L89 zMAc^6v|{l$U2EXyRWAa}QDlPkwz682i6ZZ8{fv9ZWK0~9cQ!EFs*2-SX)WG|z(pvG zGA4>BgsQ5B!%>{XaU65t(mNSLoolX(?4LHv!&JKm)H?a4xx6wqr;j(ElO_uUkm^vT zT9jAw@?|{Z_y-^e@=O1IlI%2|@uOA{7}-0IqK(pufWfo3rBg~9t-u%cZkCX=5(b_C zKtaeWK;}poZ6$~fsODPNbBY>OUhhZ7Avp4n9Mb<|){+89B#K0sSVZag;|r^|Z{DAp zY2LVhpTT_pZ*Tfg`U?6xA&TkJ@#yZ_hA$5`TT2CB4>B+*Rn~v9zP7Tt-QU>$;DbvT z$Q5~=T2YpHqmdz!^Y>ztByn=$%&BJgz%akhs8J(V&Xtu`q!AA-Egf8J-??``9}bc< z24zABS<*<;m^rxMII#DgS*ps05CG5^UH41OQftPPQV2w(5JiAPs9)^N!5=!bsCC@$ z=fa92Hlz&WCMnlv0$WX+8>Cn=I{YZT9l~iXEjz z;gr%WB7z)3Wc1GVaDBChS|bFlGT`L;wJlPVWu03$H(X^2)pU2tI!2Nj$usdL;jj7x ziwFpV2p~Z{)FNgwQMTJJ{=?tAsW2psj`dYQ1t2Uuzj*NO-K~4eug55PQM$B?z|B_k zyWe=#Os@C{P+A-gu9McO>FjI|2660N<=j95g%CsCi>Vfn*KgIkIti(>CyRbynaFhNwCG0i?>Tmo9WW+<;#EmUtWLp+2HlPhPWy#^foh?0&L~Q`Q^2(^(}?CvEAS9 zZ9Deo-+R9|-I|-4O}f(uTI~_@&h9Q5V^Nfjno4N^LuRVmNn+D#Hw9^HXLr!=wWFw3 z!`Fy_@StO20Ty7-K|GVkv4jT4^frMSC!vrqFa$&)FFK&|MKxe?&1M#Y-}@EgDARFE ztF$Nt02bzF&!2z)#L|Ihj~qF3WHEyX0M>VRy(^dI7U$TNgW+K9=)&A=clOpz8Vw2?R*oH#-}}akfA%+PS1)W()$%@TXQt7a z+Fq}sIBI4wGdWjDDW;YA%Av}1+He>Tt60(oO6;frCq?-c0UMn9~uU}uzlJtAu>yE6td~13AZWil<%eUS8YUR+u*1!IvL#6n4 zuijg^fC(}!_-PV)GI&*&lo15~)$wLrl+sE$01hF9KuQszF{ZMW^PZGKz{nU>G&W(#o&s+V(2x5rZx2>Rbq6j| z}`j%z-7K zcu_G2B}jtF=5Dz;s3bXxCcU}28OKhcSFNll>4S^A?M{|7qdaU2AyS&^_|m1#k3%U{ zqHu{Chyr8+i71WeD$v|NEyu>lJo>=}=zg&ROEnN}^$}_ZQ#)3!`l# zYfaB|ytVAT_g1$WiP4o81|=d$1kB#s5ST~_3ji`p-Ads&`HH!|70HBMBamg;U;Xvp zI)I}`PxlAV8!}Kt;KX@y7&tHxYF%*ED@!OqshQXSOE6J{6ajkYaa2TAKG@xHu1M0P zQ)it45(gFtQQX#A0(+$tDP^5ck}fF>9wfLli2-$4dQuuu$yjB_zj}``9(hfK(-SH! z2^i~rqtV2moOc8fXcvG15m6Pni%lyg&DJ+Ny5M0s%#H3CfUC=Q|LQOQ3I$uOjxkA4 zm=DX|=CV?>1K_ICXa%5bnX|JEB#Gh9)$>1iO%5M9nS#$pLvSii6B|74e|zsAKRl}C z9-UwFsDJZs{>`ID;nDd=+|F*V@BHGUU%lFr> z-@g6HwHxnVxb%y6-p43;>dB{46Ay#@-8+|l_U=_si2y}Pab?>LI(O=5FE8G`c=Lk` z%gbv+>mbjoZ+`VFzw^?wYyI6%KDs!!xH#2pu9fA5i&p`Z1&RVEWY0cvwi)R&Cyzhz z*qM{Zj~zL7)Eipc+Ooq!1OZi9R)Iw+CCtuw5m8D30+CW$3z82Zu&_X2Wuq>E%`w1HdPjZ*A=k?yPNZ_bU@;&bxGW##ZjV_dmRT zbNSGLgIUt(Rdy}6Kl$04AAfQwX*RNEOLVe38s1so`S{9}Nc!^|%{FbEhOO;;=gxFa9cwf*{k3xkzWV&3 z&Qt<%BdAoxk@r=o%9!AHzx~w9rw*BRq(kxK+39v`)XZ#edv$KAeeU$ZnU4PE*Pedm z`4g1sYs)usS2U)Z!9ahMCrLx=xU_;QRdLHQVVrT)5b}VQA!1Mh+-PWR1jQ@Fey?|L zBmeOH*8EiSyDvT#dzT<3TBG=xR_D&391U%xld`lTK_S<=I}}E!m_#h5+cAh7 zgkg`WxA&KzVZX3m1Ob465Q#vT0|!7MA^>3yz)VO+A+ohqMMRO-0s@G`-1V({^TOpK zL^IuaD|q8tvAw-HbtF05>K;AR?KHFdCA{|fwO%es+BDjb03b2y05&x^+NC_NjvnnC zo|!(l(6sCnv{x@0b=%>E|(wPGVN|0*$N#Gv zGvQE2kaA!}RDY&${Ufk2OFe<%dVyWLBWu1`?NmWR1OX0V;-5zxo2Kv|8c6|s262uL zqHwVG{MG5z^;JxfVv)dMyy4dbM5TAdC{IZfQQ)x8r6nT3!Va7wl|>DsBJWB?mP6sH zTp@VpggpT}XKU;`002I3Fm4wuz>J+76mz;wZ~Z+8kN5pwd=X$1TvA6+IkUz*r?2L^`i> z5ue3+)a%Vp&%XHVsY3^5ybt~UAdU?JcvodvVzeF=Mdf^r>=eN9%Zix=lp+AI)`h@h zluh6eLh!YE6YBq0wp>_T{My%Fee&_+SFhdM*e;Sz$6IGWMWjPznHe=N*Oe%spNqiD8 zRZH@4qFMdSzyNXo&YwMStvqD}LQrEq4-$}eK|mQYi83)F1);zwLVzlS`pr})iB;^& zsaE#XW2Zj4aPh2U8PGv*^;Pvy@Uw`YOO522n*hdmVo;REPzx_{t zr~v-m56@q{zS3-TP<-CoId*9AyWf0zp`Gk~>%VyK!}I4a=YtAOV@eSH;OOzgPd{N}O_s*D_s>gAXdLLY1QVMGc7a|8qqNFUV zvMNL1tdTKEcXs-*$4(tzI@oQb7N8P<%HFxX`r{wHxl>fxbcadR1aS6VSXyc7N-F{h zYM+=QR%lBCwq?<3q}`dRt({%#CAeW4NfOTmPCXkYKr%`t>{AvmRDmb~1%Lzs;4qZC zySt~4O#KhP^9%rNm!o#lr~ux-cpMHsQwKEA&u`xP@Zt^2#tCQ-z5vp(i82;qAR!dw z0AR$E4*}yKP*(ll`sQOV9qeot#cY}?fB^7se|Wps8~(5V{G5#P(g$d$j#iqI|McOV z^Y7j4v}SGP2tyPJvj@k=jak9p#?;lz+A1P>ZeqmGeu0I{yBtkW@*ZZwivQs_o$J}l=B%8BFcIML$h z=C$(9`c9)e-TRz@nq-h@lGXp=l;gEYk}~PHk)2H4jecz zGu0KackkccURSNgqW6X5Fg@>0t3MoJ-ai1)L|x|9I%wB5NIG~(N4pV_isOt0SPeuC zfEy+#*ljL_Dz6zM9}XAx2RIh~9f^Eg~9Yh=>Hd_s)AjFj1tn4$R*BP)la_ zAsq+_aetug=a)~lb`%7Va1toJ2e{uy44XVI6N|iPQrg5yDIeJRzyPESDNTx4=pS*{ zeFz+Z<5en5ND&GU2?AHv=0$0fI7*Tj6^wRDRYX~4SQr(C;DKtK86d$Z z>AYO;_3r7|6gk@p(Ig1qYy|*FTIoocgemethyWkvr~S9vGKD%MC{DZxvQ4NQ_O`7@ z9~sg!X>_b|w}ac9ZY{b|JRI(t$TpiD_8PQkgiuz7aHg9vhhbg}%c9e5x^iT7Kt zKXZ@~u3WiWRTZHIrKJRK9cGaO+w8Vtt%f^WP}#F5&V2V9XA=#t{pe@+?%r#+8^S)0 zZJ3+#yqh_sHhGDyn$5imx&CyS8I3k>nC~f}wNgMF@7gv7v(>K5*q*DZ?5EL^pTQ_*=l_wj`?(0AQ zsI;6WnYT6~80_?#v)MOaK67kwF?aUb`777*om3ga7`3`9m+u%OXV3os*!!<0&8{m; z5ZvONbHyiIduSbjKtKZoNKlhWCM&a;C9=AwtE!*ItY60FFU>>QO!wH7b!S&sWu>U3 zNHUWWAPEfwLTlIZQ&*gG_MV6PeI6cA1Vypx!H1d#%0Jsm=9`016Cg zmeesoo~=GuDwlVBvTt|QG;ay;oz0PT22s63=soX34}x(k|FhV z0ibD`?DqOutDRWVFd}SAwBFZbz_^4skFhN(KqUY-`Z5AV@EFQoZ};5s>3{Pl&uwh= z{>%6NaeZ^=pMLvW7f&uKKm>?&up*Qq04>$!zyIqW2H!bx_~d9?cGh>!Urb;A{OOJR zx2IEg?C^OQPop=ljv+vJ>%HrpLnlwqEvy2(c6se5KYRB}U;gaQ#?r0p_ZQ|5^#>Jm zD$taCUdeN04tYct#H!w%pM@_zd-Ckz#SB0J-rB8Sd1rX}z1P3_(!#f&d-|2j@84Rz z|L0%++Lh()@Bi{fJyOS=#3eoyss(^R^KOpA7zGfGv554@$jNwMM8<3mM~F#8Q-*=7wc&=-0?v z_AXIQraM9;A+kgRK`B(O1|%*r)YK7=hO1r&Nx~77>138pE8eQ=LSh1tSJlWlYPZ{> zUP6t2wkX#FtUEL1>wod&b2=poKD<%pmftvH5~GLLB{Wmaza z2wT#%Y2HpLN+gOQRFy9cbBts$ffT&)99$?Ml!}62Y#=RNgqK5V{uR8sV@>e$e3d!K#&+>WM*fr0#s!gV>H$}Yf+*0zQIV$ zgpG~Mhq}ric=72+Wu58Rk&=dkfpg9}8$$%dy?;!5>b<50osdyc1QndI##v$$qWTcg zm~m5PoVWIyy?ODGIu%66&M(auScxdA)@8AK@>uuu$zuR?{7@EZ{=xU}Z*A?QsTGJK z0kAQe1VB~t;we`8)vr8x{#57g%AMKinYzw?^y7DT%K{jR!GPH&X(qtrGDRD-5f^?a zf9bzYYYxF8C1km%2aYQ#Hcua)Mhrv2tzGKv(A*)L*|5GmSeTuk?don_Y;VT8a_A7n zSN)yOK70C)K67da@Z+CfYjxe@kDb`r8r-;ctKDgT@tJcYfM31wet$5W>U0<9X4cpG zyZw53Yio6T(3zepic;!gab~)|y@P(Y+cw0e+iArZBzkL%sx&I!cDrq?4Iwab>QW#q z%ZiY!vvpnj+J_j4NChUmrV;^Llt3Y zWdv=UL72$_fojws8pmGpirTolBF3PPoxfBS#nSSvg+sIJo13Eo(|m?fR#e=7pY0im zqgCZcY!R?Y#%pFxaj&C*dpG_*$OI|~G5AU~&{)n#fCfMst4LxhiXy}y>JyvCit{{+ z=tX@|3<$N;a=t3F#9{DdG02=bd*;N-(tQ;nwEaOLitVIL#G*2}d9`U@5EEdhu6=}2 zQSG|S*(?E*6aj)@lr|~1P5CeX^m7Z{&H-dPSij>sm}NRxzXi}npJgS6pim=NFczR3 z6(y)80*hXv1q5QpjKIUX$cQsTzq-8itJkijdD|LSS2dBKG9UmOXQqGjqsvjW+i7)@ zq%4QVuqbq!}!LWoFN!pI3KLqrt_ zA)$mBLme#|iv}V`=%z_mLo;qu5hIer4^51W!5zi zMLjAppK7_*iDRc%H{j&?qc^XuLZy*rH#Tr{w@TRpz}O~m{N6|csB6zWSXr;Ab@|%L z+S=~fBZo(;gPqOZpMCRbF>~Ml!JEDg&LtWJPz4l~K?#8fDY41Q%JL6}8~*8Y&z?Jv zgs;83di7>#wOj4P0YJOe9gGI+{r<}8_OL&g?kpAquTh8us3C&(ifi3V(ApOgGp_Yi z_ceMRs)TeBeG6l86z(gIagUN38<92tk4O>5!JpXpa{&NxLn}nF2oAXd=+(7N>`dNf z!73IuaTqBKhW&1<<08i@f-w*yFuQ~$R^CI_nMpMDp|B=Lf--C|X4bR=Rxu8f4AUep z%BmPudEQm7Y^$A8JNgh~kJvSpiM5uX3ud4o5HlcAtRNyNg0donCe(-hHG1nzi)W*bj8On58USmO zh+y@<`XG}&^f2C&pf%hM8NjFlqF@L?MMMxln2j-POoLT~nTd>H1r0Gq6%uGvdlSlN zQj9+`lY<}aYk?R;G=|QeJ=gE|M#GV{)`zgCNJ83cIwE1C0o92lPeSktU>!2C8dd<6 z7#rh-->O){F-YCBW|$PQND3qxQPrS+?uE16R^Hz!kF*kO!TIxZKmAERnh4YcFz6nE zX2=9lmDtU6CWo1`rvPAeCnjpzdFsXQl;sOAT&(JN^Y(+xrF%XXf1uXf*rc3`Vc|0B zZEapUJ@w~bdZzjA_ujnuM(?eE`$zx$*7E8vwpahnKY7^!Q~s7G7pkJIVnClF|Uv3p|rapSm-J`{uJE*vr@$&wrZE*zg9Mo1aH^Xi>fUb~zm zS*JZyhbX8;F$@6~7Z-z~0ts*(MPd}y#2Ujq)?tbkWeI>)T@#V0HngXR!bGzN04g9& zU{~YmgILwRD28AB;%9dH_Wqrvt}8$P!nupf1$CVfaIO?o1zsEQ4C5`l~o&G5LVAwTd zdy|yEeO;adsC2LnAk)G6LoQ2vdvo}s*IyaMD%6~XG!zmEg@9sUk;FMrO_J2t5k%Xq z+!v#bqEhFK1_I3!4nBZFL>Ax}Da+@X#9)xRVD%PVE$Smh!`ak)zduNTXQ!t}!vO%? zTVLPZ-g)7%3%53R{^ol>`?GI-uI0=-*KTI5{K%oji*xf^k6pa`{?gOWJaO^#Lch|# z`Okm*;K7|%mL6I-{JGD){Q4W0uV240H$O8fOA(0BxV@1NlIN{&e*KG%Xrv_~&b8am zzwnvweCI9H4jVR3YgQ!T7{CWI>_NqlQA7bE1Vlw*OM(*6R~W3=G&QM|zRs<(;h~pf=y#}BphIV_~8`bF0RQpf=`5(`9vJ_zGho~`k z89)TG&bR<%0Mh*M&T#2_KY2IFYU-97!-mcC*b!K&U z{qp5Ivx_Gx=ayG)k9J2>u66VMcOHA;{F6uK|Kev?1vGUzcqSmlfE+;sAqbE}^byXV zJ^AgI&aSSlUB7be!llQ~9a>zz)9WPRxicq0;mH$6A3ymo8DKOYf2Oyi2r;WPpKsp` z67IR0$w$bgbaGUh?2vs~i~B6ub>aar3FbrsP-=|Y8>xsYD+I&X?#3gqU(@5tPU~N&|ot(JDr@JHkt`o;G2M;vvN?h#jCFQcq+G zrK31fFhU$+tbD0xyanJP!?wyCNDjnoLKXVfxS%OU--bHWP92d;2?**0ytKH8FpQyX zIIT*si4uWG1yqqRXrdqRIr#mv*D&#nAIB#(opZn@iF#}!8Dk)TG)ZENWmz(_OA=#E zS(bHKS!<0o&N=j<;g12E*1o8Qy&Z47UM58$ejuWEFM_6t(ILbbCB$fn7)i&OX%Fi+ z1&AULBN16+06;>BAp~D5sC9`kHiTyEDH1urCLu~c1QN#H5lRXGBm{s$MoHbI`l_p7^BpDk6=&f;4qmRC+ZkY`D09BlBXII|8dFS4m z$Zjj2-CRz0Ry{9foo>rdccb^a+dKS_{Zr9>9s0@FaeNp5KCcTxk`UEbX_k~#e{QDx z?XNw%xw-r9<$F&(c`g_FAO84@Q%s}v!HL?zhI0`jfF@9tyF)~Eps0{I0I(1|m}L3hb#T*L8>=diBrRvty4H**394Be3i{KDAM>8Hi!dAfs}(80tHnIV8#aG&8R$76PlRnMM5%9wMdaV`@IYCs`S(pXo;=`%+^|NLW&+U|B4VOUhcsMox{;z*_=hmtrnwpwwXLf6I4M|2-VXf5$P$DT*01=RJ0`~w>kcv_H#N*x5 z$LA0`C(pe2i=V%;wYsf@HYJN(OY>HSN0S2Z!2aQ29YCgo^@m#oPzBxW=cXX7hC2qN zHcrU2h=S8Vy$Y(jX6&;RmZ8_*_7SO_`BCR)$Q~>7|7GPlk09MxSwmYUvyfhm9&EJ3b(8A*X^5_3j0On_= ze!lws`TKD3^jA9x7WE(mDXY5E&5s>FbmaKL|Na-do7)w;`8tpy0R(`$u6Cb!>eS)+ zX$45)_?7bbnZ+9yj$gaoZ{@i_pk~^YL{k+|P{|lcxbXl2LIA?Xqe`18SmTL7rRj|$ z0y}Ps9cII%k6v3fIgu05%7KtQA0G1e3)lrIy-VafgDN|)1Y6LNcL8I@RG_k~W zRgajhh*7lTz!Ml^m|}HcRy7Jj4p4$Bh+!1~7O)&4Rd2~fH!BJhMo2*+2UUdbRYArWP?eyHqKHUDPDuo!lEk_o5Vj5xLx{c( ziA$_?fqbnY#z;iWCP`czclSYKYz(vaX^T`FBvD0_n8(3uKv?fa_9i>- zMtO{NmG1!d(uyjIUb-2i0XGqo7)hZ{T^sxq$dGavfhCNF;&i9o%3LdTt;A_90MJe( z`ZAbArINU7$cma81x-vyB!pos>(w2t@0O!Y>!#Y%PiUvJ-5ZRmn#f+canD$nb~+JI zRS1AIB7g!RQV5`mY+MXVXxK*4>LF9{+sXd-UoaLtmM#pTxB2ulM>BvQy>aEoKfC_^ zgAQ7P92VwuabfH*9fGhG)^YG8{ZRg_Sc zC6HR{*f3ZJpkNq)mp6N_{`BWbGBclb%4!%AFqTI})#=QB^{b!z&fop0-`loKMO7Nh zB5^d_v1BS_iT&V%F-BC`8U+}PMtPprWicEUtya!#Mx&8)4w34rGS(oI%CM{pKs|N# z*w?=N6#zi#m!nmeXU+W*0d_XFQB0C$YU;YKJSZU;0}%o>M~wu?g#~XU_XSl{2ir@it93fLGi0K>Y@m7L12M)J0{dASRfqth zAgBTiO@2eeU}6xmiD(q5UwHD&iRlgi3>89@xh&yq@#NvfyEm@C`{wJ1Kljp~eB-nK z?|=7~iRG!Bd%Ih)u8nhmhR7j~onuu{fl&a)j|qDTxyWh^TPXJQPs5HnE~VzfvK!rn!3HI#|-iXmxtIx`8t z#`ad8qKyNM!OPC8^4K)U#>V z+5|N0nfxfIkP*So?2+}ArGNMDzjOHbA(ON=x1|V&uin9p?YHWxHyYH7hYPWGWvdLe zGelI!QGd|Q!P%}a@y_b5$dt`<*E;#)vyX*%=x0BDC6cM_Y@W5&xB9#5tBW%WM@}4< z&Y{pr?%dg_wuTF?oq$yg0~ktTz0hV62r`8{_-H~%G|7J+Fe^dqhxzsAwS=hyo-{ha4G@8+wnF0TrlJbrPqM zV&oD9i4p(-Ap_ia6evif005)}0*VH~3TR`{H?}8>;3!v+80$uXFEKRCn23N#su_6? zwm_&=bAS|pKq6qXr71#D+5$BI3|ImXXu_a?L4jBWLQn*uOjV86Agq`J)PN;`g0f{B zgGOIQ(@gjXDIyRG0tO|mga{mxD%8ZRq5_IQpiRh%meojnZ09)$s6;j%vy*lyc0vOpjt@Qm64hFXWDwN10C z93i-ln@=I(q&=$C8_trhiYSmtt#MA-K^%J(HVS3{KoBMsq13@>SVRS56ZkDATtDIB zKpP=9 zsj4t4iV&n6R${pv6|GKQ`+86gXF9W^D*CESlcX#|Rh3Deq2YcpLSrQ8uqbVks;~Nk zVXK2oG^~mgT3S<44tyx`cK-P%IQHyZ1Zo&Rg$3m^-xC&fJ?fR_@(?z&vdz z)s)ph6THr>3e1c#NDNU`jd6&ksz!M?jf$IFJ;k`Zd_7BN4jr1QM}wVSNIPxhsz2;= zRZO*x5jn3T@?gNJ#(g#@`oUZD1Al8=M?@M{U&k#78FOqwjcD+7gh;3mJOI4*)?5Gi zdq3`UrjF0#|KiX8dBWUE%s>3#C!Kuy$x9a&7uwrfo5et*uMsguL1a;EeA*E}p~>-+ z=5Zl0pkS=MVXMiD(oF0Re?Du!^GfWRPtvz14W>-M7PUzelK^4_02PMj7b$>-#5#+eey<)5{O(p23p>?X@HK4@ z{GcGl6a7(ke{Ce%O4ClL%Eei}c*?c2a&r)N`o*P73$ydt=Eh)seeK=%Z>_FxY;J5M zhi2ncR;ph(dU$@Og-AQw10vJ!mvMW8tKB+*0fiu%R&07CCC64L*bKpiNKrWo%+92T zXY&;8rNi?tK7I-zymx2ot;;v(I&>(@63&2Vp*`i&>Gjd`Oc7R1pMFCVY(oFg!Abt>dd=vL}rO4H}QZF=zw?QU&h=Ht9yq zl}-Bw6~=PA{qEOINvsV_Xqx^^2p}pkajvY2x~`(cs4>KV6DzQfVwq4sB2MDRJRnknnsu@;U3nvRJQw`sGiEhR0yqM=m*+illiJel$U-ROeunOC{(KiOv@!< zIP}Htg$s)vXB8^I0+G|Uy>YL%u{~6XC;}CWPot7VfnciHKfGJ)a(%- zl89`Rl-RI!h%DOplrDKDzowKJd0D!R=hr=vQ98)=B;i~#|X@6E@-Q$F3A;L5OQg! ziV(rNq>U!?5n7!?*3J!v0l76bb8Ilg*WS7P^H;ByrJb5OwDMs6-tyM%<*i@7_Fh>8 zMu$oo>KMEst3jfVD8!UllhqYSWW%|T8jJO4cczWcUYI|Ba_+>DnRez@mRAk+&!0X# z9Eyher6*6!&Sv*+-%pZOt2G@$B-A|p@I@I z5qcj$u@SXC30lkOOb6=^w+6*#p7;LwH=mu)Z3UD~`aJL6-2CO+D;v85U{sZ;5F-dtf8f9T#S4G@ z%>3om>c4#F=cBqH7L^mZ60O|Km^JNo-O>p1St* z{M6RQgTMQSH@XW)UcbEV(U?}ZCQ<=|gzSo<_`#3f_|{jS%ZxE>qEHlZ?5}N(?k#UQ zJFVaV!=v_E6Z@%g?~TTS6a^(hAOJ-TfKBd%>3WK9wRkh-rd#HQL!)J9+H<`6r&nJiGVc{?t_ag(FWqSljvA|NM_h91#$p z1j3b_<>^C*X0qv|Ofd4=s2Xs!v3c)Mr;~R&p^B0HkKcSNYdvxC)I6M80H}U->;6Cf z{HkkDzw*YFcSC<6oypRL<=yR=Wpi^gz1~jkYi4SE7ifZZ8kQhQlBVnz5CUkldrgw2 zf*>}Ac&H$ZG`1bbX2yi}!3t3jBFgg|ghB{tsF~9qzv-0}R2$R4W=3V=twxPTUxjeu zMl~ttG)jz-i5eL3!N$0Lq{8Uq>qEfNsC??eY>dPA-oNt9Q;%=;!p?RHlr#)hb4C5u zOH_zysx?)XmG?feDH7Guf9jH9?3$sX)){8%5BoDyQ)O9gZ*LzydSqCPeDH}izn)9_ z5brdBcgmnU+q++Q`F!f|FaD3e{h$8T*SCx8&C3t61fvfCf^3>1hXBbq00?6cNX$k7 zV(>*-T5Fk66{#`Gn=q42hLPcikwRmm3DI%$;*m3nx{o!JM`M!qvrIaH?no3x6o8ox zpo&z!Qb^cXBC2DMD6tW-0XS%_CEel5 z@P|KNKYWBf`^=H0m3sLBY;LZdI1yib?!2LPIjlGV1>>w8_NU%|_iC(B0eUqSgQ5Qqi&Cnn{m{o zUactoAz!(+76ny1$gYmi?k+4ZZ``_je|COaf)`(ag&<`e%21a@o@LJHHKc%AF_2=^y)O5CTC61GAI(7L20MZ z8Do9if)IV3*wkQ}WbX3iWw7YF3pXF^7^sQ3tSitoN_hL7JMUjzs(oq5CEb(5deEAi zQx%hvK(ojntLxw&g2pv@9?Sy7h8*xHK)W7(~2@BYQ#zLgr6rcSh~r8G&lTiQ~m ztxaQyfDHTQ)F&^HrkclbTo7{8&L7v_FfoOu#^Aa!1H(9;-)>?iNCAC_mYmJ(sHp3* zZw(|iVMd7@H3ASQGgHu@AsTBWR-@5Yt2<3vtSdqyG3}Q4qEL;D@P&&f z;37m&0r>V8FO92Kr~zCfr@8p}V{cu1eQB*;oSFOV3n!j?>e5Po@W1}$KW5#zV~Kw5 z$&=@fAG+U@wB;(VTf^b)8-D3QtpS2qa`XML^M8KttwWu3zB^51uB~p@n3HlsYRSbY{ZeC8P-^nxvB`}W1d$k9t$cfXt1PQDNkfwa+9WHXG65rsm%6SH!B`hV zG|mcGPnVJwn4bWAIhy+R>2=7EB zLdB1+2leCN!N-CLg}Mrd59fJmZrySJ>~rVeS{wb})7oTG3$8291ZM)qP z(Xy;6t*y16h?n%k8$+ZJYpA1P2GBG~7`ZG9=UmVTRrOo!*x2L)$1pWYNE{tKG<)*s ziL)nXuRic003^-lSKi01DH4!rM$;8EiZB}@^i^G!Wy1zFln~LxVH`n3nz^QNF^-5x z(%3AE_!##Qf-2)Qu;$jLJyXUH95!z7_atH&R27H_jWu=cYwsPRF(zU5-Zzs@!J{?C z8b;L+MS^Eb<6v4LrHv{M>LNXH=;AlO^wi??bPe7uCO(^f?DYJCg=bH}tFLTbE5pC~ z`V*NW0Dy&TZ+~{D-R&!S_s(Xkbu={@wv#OC`)}U5b@T3}r_a4~q5I);Fn8qC|MizY z>P{c~#+P1bzVh0;H@3F6?CdlHjfYnd$AZ;{7(_u30#zVpzPDXDGp9a#>$N2U3r!c| zH|XMjtOcI}GndS}WZFfI>Gb^lwZZ-GUqv6O!d8B|)r$A;4GEBiZr;7aNnfc>-BIT{ zb*QSKS$n$h>3hq?)^;%%wSV>fw_5EMi~@uRsOoF$tT8r30b(SKw)Mml?d|P;6iD){ zY(`*^6o@$|s@YgV^i`=yQ8gkX8Lw6umCeX|XEP#J%76fcJs5}p)<()qmO`lk$(mFc z29-EdsBksbd3NXS3e|;65(Tg}0WtuvJ1TEJ zSUG$C#1yg@Sz21Hy%?)`-a2{eNC-Pb!o&b5F#^$K4oUX1_Eg47_}DxY6a@f@cecXv z(t|wjEZr+MxB50|dqI_e0E9Lk6l(|T_qPs&c?avaY+f(*hL^7|&%1nKy4??>zx)2r zfAIIeSSv^8PoG@O%s@+Hfb9M_5Yl6B_E{t2x<5VM@a3D=FPKXA^+8xG+8mzG@ zFG>VZWR~$43CJKCQ6w^;q)NsBYApd((6U8B1!-z~1yoEB9AiT0MAXMf#u6or&O#yq znJk0Z+1SY~@2)LhyY~827pJ<5074)P5ugUB0X#qi8A2efBU;`bZrxqEfAaX*V@Hl7 zo4Y&1AKtlj{Mift|E=`YS4 zpSyT;VR>ig?(Lh07v^q1*cM8kyx8r<&7Z&e*5!9@-M)A2_R6ih_qVTIUS3~YkFj2u zZ_T%}gfX>r`TCXB)vY9L-C13^w{+v)o%?t1tlV2)zPo<&=;6akeC5XN_4OT9Tw7be ze&fN-o7=T-QL78w@V7K!bjOU^csvu(88aNn`!{yg^V&+Wwp_b*HzGU~Bku+Lew>N> zN8Tv=gkg+=8iOQA?I?`s7@WmCv$Fsh8q1bNF+^uwm)IJcX>1|pE(;-+OfVc4w{EYm zEbkNr=lKz1<}hhfK4r2Q*PgNYjM}!R9Nbhkeb^=KJ2&n~fHci()rjC+LcpQ)B0y|a zGzLHnKP@fdIMo!tBb*vvEn5S5@>e0IQE)LDM{s zB2fZcA{N0=*X8HG`1G;k-QLd1^N*has^5F>?Ww6G#L<^te(K3H$CGw4Ki6)xlB?Hl z5hEK_@Ib+8mJ(citZO-GcXH?K+gESAdwF$su|1Qzx8L9XhabKE)TNV)o&4U)_MN){ z&Ad_#=s*4xee`+S6Sp;N{9d}6h#JP!8YSansLSH?$s>!?-FM!<_P_t9@9z$(OBYVH zt&N;qzkWBy`1s@J=jYnjuDydG-S%_{(Ff1O)>=@Fd-I3#@VY=mQNTw1PJ}RJd0t{N z8i}!a5JGZF2uP8vs#*+>UpRRn%sW^IEYrdIZ?!B)8+^{10YJZG!9{e*>o<5j2bTrKX;EPW@Hq*-Ir=||&`JaCE`Rm{N4!FV5 z+4*mOMYn!*0R##6PdlEu3!BK*i zgw8wL&tZ#eE-esX>gbF=~L}C#0UVSx-%MW`TCggqW)Vi zFNi3R^$NRX_njN-_m+2{)v;iCV4i>B?3u%@*Dv?4UfHlolI9>M9tc6vU|5SQQ1>mQ859n~%E4kDd0kG2Ad{b>c;dNQ{hohQczo>j(T$v;2c$toYF64I4bX zFZ=7`N`A#hCgUd&O$e%N3=zi|d|iV|;u6CKL?y;pdyxp@jCIa(QPxDv#u5W_UIa>8 z@$fMMfSd2(>hg3oYQYrz{g2)`I@fvzXzo;Zb+|JEcqT~pjW(u=$7;7v{_Q{-NGW0?!C=o_NggK@dG$O`*PVTg49sh|p%E#5OQNfDN zfmlL|7=xC^h@#X%oM}lw0@ThE ztgH^w#5O+TF+_+%t8M1QBL~Ia5N0|M8SXyV><>=T6rk(9^o7%{Sstptc;V=q*LGKT zR~%au`px6E#&HmnkBs}CAQ*ua5XeO2t=$3u(D2mk;{BEFU%q?!^G{y-%+u#?-o8KG z={)_^#UK9tceXco=Vqq@1!8i}8DkVwB<{5~s5y3%<`j?3#+#0d12lk4Mw(G500uA! zd{C$ztOLk&u>M;wRRDC>ph})40AO5F2*-4a+IgC_>uRV))tv@ay?OhFuZoM0KYeC) zs`R-Jkt|MEiaOaorz5DHFK9}ZcVwlmF zaTL)wMpj_~MHaQqh|9#ySO7!-F-TYYmESR$cwZ1ZRgajV8c`2a8k3A_M`UAJ#^`4| z{LB*4|;_l1|9C_}h%?QU+JU|E%eNCA*0&u{>sMp>sQii*gSVgdlT zDanH>ARA)@B!+4t1KX=2_Larhcsw*BK4Y|#TBvCdWdyMTcAyzzf(U>;fJ`A5VooTt zz&hwylMoV(IJH8uTaH{ian|fcOBp_XH(q$*iKFuX04xA}+_ikRaMUKCiX2Z&WdLsP(s=rC=ha&)KYe?-+%BF! zIrp_oM=U^pVf5}VhEvDpG?pj?5t|@KPyrPjYwmR4)VMwZOh5sUkN`wLjiC_g_us$O z-yL>Z>CDW`#^x@jSrs+ZK_ak0LKGEMMNlPB5Kx)~<35TIm|)^S+t@xdlTnS~Vbo?E zZ_H_ocS!f_v*GuV>!}Jr*izLPW8w^P79%MzfG9Syu;1~QNQ`O>5s`|@{)X*`$e<7G z8%%26$;92>CMRYas0NA1r7;F!!%UN$AKY_I9P^T6B3Gd?0Vj}9 zgG5G*8ol3Vi%0Zvh4}|M<`2OSVieW5@8f9P^VWdL?BRI(h9{*XjO`u9Mh>K?#00>> z2VZ-`#t@T*09dOJF#sSlBQ>LSsuD#}z^j=JmEYPJE_SBB@zvv7+tX8BTr113ed#j~ zZrxfR^iCe`zVp`A2q$(o2T&(73te9q%C8diWp^Ktv&^5F&uz-QAg;o+isxRfj-3yQ@=ECdq=YqNoxD z)geHw7?B((p*7r`5u%_Wcn?fWtSX=&f-2xEQG~=o2rQ5S7?G-(;Tlg^Do&zW_cwCJ zAGgsG#7VsCI1W|;6&L{}LiDOcdDyvboap$hqY%f zo&*4_BLpO4>X9GywxE5)5x@V=b%7Q`%9tXg5(4WeRCS4F%1o`7@S|7Pzx9oUXU?7b z)$6_~_PTS~`BRHCfDC4>gh4sPv;yn^m=8o3eY!{2-ZNrPb^()*4uY&eQR%^h`|#{} zFH{DZo$kE)^EZwhIeL0_>R#}_QtQ}uc;o)Y z%(2#NC$XhwHfgeiPM$e$K)mLdVx3Iyfql?p7|GtfG9%%g+Uo(ol{6a!6J(W zR#TRG`O4ClPnT()42xoKLY_x63*3Y>UfK{MjOyF0tjK6m1CpLwwiH5>9CqE|yU z#JZ~LV8LW*@X?9Aa&PlTKY8Qq$y3jrI|KkV$c3YaXL620FJGEx0OR{VfAxBv%_#}6 zYE&Yg6yF2@pez`Hgunn2vSD^(+v|x1@kI3_6Qdz~*lLFl*6|1sMWS$;bu3^N`d(@j zFr09sW5WuVfDlkd<<7B1{@P2=&LvqR^NWaOsAGU@%l%hhx!g|JCG&N3hyV=4zQGCr z2xtKkByL!g8H-TubY1(-yKmM@+h2P5%m2^+=^Kfmy70HQHV-XMo{_6g8+kWM7Fs%BPIN}ZdTPu7zmNAEz9DEKYVp=cKYbCL%#N-VlXo`PlCO2S4n*x8~dLa0o>yec`R`-fe*6F;?rFOV2(3#8+NAhY}*h;3K8kfBeDn z(&`{}4Nz!o=JjDB74Z1ZB(Ro5W0W8wq?W<%h1-s`d7ldN?>|lY@Y#p~u|zefSl6TZ zIeOvglkZ+xFTBwRQ)%xr&z-!#(OX{gDg%{D!Z6V+;WUP@xv^%ERMi;FGOG~EdSqOZ z@(e|4#<5s@QH)T;*(?F=F3#S&v%AyZN^L@^L*%h_B$28-e4N8L2D#yj9vV%K*>hD9 zL&gB8h)9glJL9Z1gu2(-V`5`mU{GZr0R@1pb-6-4Dm;SK7!dNM2fMHMH?Cg36@oP; z9TxGm*RO7E#M}3`lcZBrs6r|XN~(s@=B?BN{NmP)rB%Io-~Z?>nVr@$$h(&}wsuBu zUVX5-w)2@w7dAJyUj5~=_Z>Y5SlhIJkvQ`=JKYag{?cOkN zws)`c~d$11H0c1K@|IJ`iJI>EG+Z^){636+XB#yDKqTM6oV81-rIij##>AO?5ltJ^5Z9({wN?WOm%E)#-|-) zJj9v=Nil|qO2`J36p6{JRuVjsVIUk^_NWknB1!NuU@Qndfrv&FrAP#%4USRLMm*Lu z@DYTxq^7;OtzEf&WoE8bSJnFZGQ?om5?fU$M%B!*?Ww8Ra#+-TOxlZ`uKnrzH|yz} zXHFh9>|VdOdiVZ{;|EWjJ-OWa)%zRigXViqQ>!u#1FZlDSRA)!aZO!0Xuu~wz2VE z$EX+)rGaSF1V9TZI($eS>*UB1g6x5(2owN;88{8&-Pe7`LmmZM9}AftJwod;b%XUUUVFc{y_+gF zQ`ICPV=|E>2A`*vY=Q(C3hFiyL9~PsAkMWG9$edbaD4a5-3LRzapv??&IoKrg{-aZ zoIBl8@5Kk(YE{vTXfxG@`XQJp&B-vVh8vZZ%4GD5Cg(s@KKZ5)fcU7gYfRp;Dk}sq zL8+{(Gbay!<>Ik>ckXQL+G8`>zx~==-aht&D}&2dck|9>!n=oBUG!7yOH6j>>rYM} zo}XPGhONSf!O~(2X6H}b-5PGJjW8NAhCqW17LK_m9zU0wW$1V z-`oIh0Wv@^Owv3`6oJO_%OEjGwALtKP>rBUO^y&LVPh)~fJz(`5@Q8103x9vHC-Hy zo>&E9jWrln1%d=HVGLRHh#kQ8gU!LaH*P1+v|AlTXu0-{rO}P0+lH*M2{59DDhx=# zI)pG6Z7k~e_dnSHvNq4&es4o!%u;u2z5o7$og_)GT@N?!Ua!g;L|ZMxk>C>vCjIEv z0xEh1-{iJWj9~#(v6*N>B^3fi=MGn*(VCasl?-TMz#FjlcHQfCM#5&)t| zKu}dgC5R#_dz){B>|=A6@u1>F9nA?qVoB?=CgjD%g<6u4H?=g=PO7lSJR>}k#(S_1 z)&XQXSbvZ;7}V%nwoVby4M(3pIXCQ^mn#f5!g@;z^RK+ zITOC};>^^{q7YT!eDGbPi-~^w^Us|)JQo0Zp^`GrwDMCYPoFq(YN68tP-hJziVrmI z7&YF{_;FA9EKPP-Hm`D4f}BX$ZA!+b7iSz zl86cbo124CQ40Wp1ppBtMz7?u%v<(hXfd)$A!3^5jjNV4nV72LgAyP%#^QT%J)|FC z#Kx0Q_%S=L``$??W4|i4HUq7Ss`9>ej=*>UuP6$Xce^+5?yfxH4|lCVMKuExuo2LUKWz!(=52rM$H$j5Db0yDIE_dmo3pfLs#Vzz83iiidT#_=#4eYm3d-NYYb zi#FBYX?ST|=i`5`6~PCN76t$WwIo?%?Tr|rDaFvC;-@;a`Uvg3&tPx@llEI7ejLm6 z!E0h4w0kPj1z5XgJATx30@yy|oI#w_WPJfA8{DN0OyZYA}rLJRc4QF$Tlz zEUQTEF-;RBtb83pAQXuJz>J(HxhM>K!~fs^^_{3{O|rDSaiZHo1W-DC;^@|3RM*~G zBOi74&c?xcarHNpLya8P;MS9hw@r0bBKlr zdxPDRr>8F*KMVk+FW$X!<(uF9LK}{5^s3k1T(5kcLLwk>@;RZU&SHc>=kRJsb@G z=#Rc}@zTZr@Bi`LqVTSjA!zgw=s=lwunr*8!TN7m_@J}-U@N(EZRhyh^w}ea&mK9v z_b7d1b?vXe_rtAAPyf+N&wk_5Q_Yu${oZ=4&P*?Kz??jM9KiJe?)352`*&VGGrKsy zc>^KuZp*k84XWDH5;FMca+0y2& zBX1G|qQ<5g2-yG%QUp>Ig6KpdA~&oN0;)19jZL&4mgAE_Vnp1Z6Vp@J$1W+UF^ueXGyF>W#FK?q3S^i{& zg<-X%NvmLL@;-r?17H*-M*%IT5YTvO8;ut%&;0HlxckUnJ1QPk2sip7zv0- zSwiBn!JvQs+_A?m9{JUqKY#4v@nTSKt`#pl|JX}Uomn1@QkSl+ZvF7bZ&hV5CJ`lK zjp9EZpz5T~RwN`PX(CdQkTpushVz8Iuq_Vj9>A*+N4Su-PM@2+LW(83cRfML( z#<90c)7EBUv>3hjAs~P;maWkcV~oKE6;+3+b8H~S7y+Xf05YbNrL!U6utzTMb~*!y zKGuEX(v&TQ(pRNLuR9$Dj>paK%^{2d6qG;h%k;F*Q1D~jIlc%~O0!-`M+Z*dkkDpuw z$^n`2_jUWy->Thy^lqV7{&OEa$I zoG~VNFCxYoQH?Pe(?qh?O+zGv0HB0~N-6+EpoHVGSRhqE4XhyqkpQd;;3WVEqJpSJ zVl)vH&?pch8n#SaM9&gIEvPmmOmq1)9vow0Sex{)JzV=cJu7hB7TgE;#`;u+F%Li1 zFXA|9qhTAP_f@PbjGh`R5!GKyb2W2gswz5pS58)4lyzM(NVnU4@`=ZZuqX!BPD`j2 z6@MQlItS}u9YCgo^}CdJ^`ZIM6Q`c|<>i}08Re&QMgz6%7Co0NzJGIxu>IOQxBW<; zK7W`LBA9#ItFPZ&+J5TES<=+P^z`g|8~&}!o1=}*m21micxE&|oAi}d1|Aao;&abv zS$*#Z|M=AD(#07)@^bnQqYs!n^Q4*(|ZlztEZmi_2&{{C0L zc>TtUFE4)U%vk`qcE21|aF}s*XYplm z+c{`Id+9`PYwPlR4_unnA&_ZYA7a$nqGh&G0F|&Iz#7&<2{bA`^f8!B4e!|{>xce8 zFDWCS_ud%W>A0d8h2T{xBm-m>EZeL-<=(k+>(rUAmjc+loZI_Abk_oj3 zmrgNH$6#EpsRIHZ{K#60u>u6^tdB%!LZ}^$3^N<3>k#T%jE>Vgfj|+*CVTpUIrT9X z{%_jP+(S+J-)UcUzlr$-&Q?R!AtEV|1V}QcoPsF+mJHrrX-kiu41A=4t-lt4;lonx zL$u`H7Mt%Af+&kd9sdZ8i|TR3s4ButY)lXdApoGU7Kt!W3^A%;2!TS9CK$0Ac|>+D zLDDJ+Q`VmDR=x&Appi&lfMSer3R=4kty3YZSL+^W2*^%a?|K<1p z_S&uO|HuFGKPLzPwZzJ>hHEXQZ9A*^a>Vum{7mvoe(ijLq zMHDrH@;<#MOoXP*XB5@ihY&)NB<)VSD9S;<-|2R&b)(TxM2s;=6zaMWlf~FL(_oW2 zq55bl5�k;On}MArOMGJT~Z4QB^<#WE4d;fFcqT8${OF_{B8?Kso{Jdr@_dbf$jy z#>(D1ZgSrCl+W_ewPk$23pP_vpaA0QD%2%J?@XgoAKxaQa7(HxAt5xTKBD@tiPEG= z7&g8dGUZI@0aVo2B{_=>2%-=__&n4DZQj8;fJ_JL54g%OstC>8;v2WFuisnu0ovTD z2$FJbA)Q`qohV1cTeo&^zPBO}rDa-&r=ZM#^$&Nq>tK?++v$fy=-it{ws4(hdJ#Z$AS_4Xx4HFfa5@RfgiYPJeHC&Nc5mbVR zGzk_H%Vty+Q5n~EsE?@l8$X1mhYbX%BGF68@}wMj-|wgGu9EdpY?@Yul)=6B=B+c| zn9Z30V7XuXhyU}(CZFxj92$;1Vq=LbE=vIFx~`d^_JwLtgizInGan+NDoPzHwjAn; z6)iCa2vIYeF_xf#NGwGSeG->|1%T~h>o33aqilLHZ@0JBmKLYn^2MEn>FFI`CTNjr zM|NSZWe9?=oOAUcAZQZ^JI;I2eS#|0hi~LZ7aOM{tyXJwb$NYl<>`};0l-U_p1uC= zjkn)?{lbNlv)%4rf9F4b`ODv81|}sYVk26@$N9sJlY5%nTNG`QWQYg>L{(_a#6^fe z#xg^UK>>kn6IaqeJsnrdh)sy@$I&03Pq$2UO=&Y$Y`xuZ30f`6=1|KEHSl8^VHA!TMQG6(j17J~%UaBZ*nzVu+RrQFi zSeHO0su)5QV;uq~i3=eRHFj{Sszog8Iz$j=TUPaOIFhJ948$5EAvZKdS@@Se`&kEY zcXj3D$>W4<5$0y5*VdP%3N}puK~#Uk3RB_N+k%XBYJi4_p}_;XpMh+K@r;5f2E`CV zZ2-Rb^mzdI-+uJ^W?h#6wUeZizV+UnQzx>+bL~+*`}A{!6M4U?yv95htRR};#hPfFTe2g=bt)r?9`d0#`V?VQm-GiHc(0^vc&j7y}r4L3VD_rV`GTD ze!rEbpaDX)v%VFJI!`QVK&?XT(dJVn&t7B=fVK;c)O^<-zP!LO}1` zT-(~J0n{2Ls>-sD8VN?7Oi>2aa5J$!`aVI8P^lE)OUuE!NK~ti>ayo3q()Noq&13n zCRz%Nqq^9HushwQy4a<}9+{h7?u}MA2SnC;-)*-O%h#^oyuEzq&b|954j<_ztvefc z{_eYP`{2lUfFNNKcDe73j30iZeZU4%^zEIhw}8ynT4HRSYKB(iWK)FqDQy4H}$Y@r^dGD^w8}35tGDE{@@Q! znLf(bebm_;e^bXWOvjUONDtGJ$KyFTxx^YLPenvz5>@X#B3Wx04Jb6-^ALk7Ihzro z4@J;{v7Sv7EE%jH)~Y*s%MW)qF=}cv0tGB;UzfEsrE~2A5GfKMiBb@)W3bMRMnzrK zZ0ulI02*XNCh=m++LK{WIdXXZ$lTm;Gz=m&*w@}!9hKfWo+!F-a?Ad{n)442sVYcp zYHOJM5ke(YL|{@PC6a`!mbkuN-drhetc)J4)>|XIU#_mMM2KzF!PRRw)^>*C+|B#j zSKod6*~iZ(N!~B&_pjfTx;%H{5RzNn>aDHZK@63zDnA^R+q3hXLyJd6!h7$%zqQ+c z`q^jZW)}uU{nq6rn@_piqN>)S0?fojDiS3ElFJgCBtc|292jf5ovx35I2;*cjWu;$ zM-jG+05JxUXovyPT4M}XRmH@HjEVpfF#&*KWQGvxP!%>tXRJX|QH`omwb2NQ28|)c z#+cP6&KMJ-FRL<&q=_LUA62l)!p42-RQ;6qKmG=ftUc@fhW2SRjBz4_Q_z4AuyKx2 z4-!BE==kJ90=ES)(aP0o}U%vL<()!w|&h*il*_~0(nG97mDgqgs04ju%0USanb*Lz@ zFeplBn5)QxL1dj`o7NC8s3BvC5u#3JQ@JO{IMeo%d1Pno!jRh zJN?+H$125px0fE=y-^lJln^75MjfL%009jK#1WH;(N{yQG09txojFr_SnKT)N?C0W zM}t@llQazj^uy5)zW@Cjw@z(s_oWQ&)>MDc2g{haYE<0YU5}v0W)6SuxhLB;O_^(i zqAC)HdDf|_(Fex3_Cv*we2Xhc9fpQ%tJS`6?$n)|Z~yB3ciO27Luutx-R|_&J9o-h zwz{)dm)3WB6;g_f)-XuaU(-|74`MY{Kx*9A8W9L0sA!Z(io__*Fjg~XfHamJHQJZ| z%6-gFiJdn5RV;_Q77PP)DFvuE`s?$ZBTrsjynSm~V+6)e zeMnRl@dIibMMT;+#z3EFL+}A^ZI8mjM<#hxb=(*KAYmnA4HBaP5(CoY2#xP8oT#Bx z)mjSx!>S@;Y=-6xBR~wXE-MqG%@fN;t*xbq!2=+flza_w^!#&&o_g$s%U7?x_R5vI ziYiFVk6n5q2D*Rm!KkVbsVMz;EME|q$nvPDV-QeuX!5D~5Mt$PG{}q+eUjSiHy=Fr z)VZmo{qB3$=8habcj8!86$-%2rLT>(d*=-iRDUm*@!sD^Lxd;*NHnqO9%t+*tFU4q z007JB%8FmUuOd)juvxpCq)Rv6QLj_U@m6bUw7vc28}DNdm86H;3ruM-EbiUgE-Sis zZ|$c)`FVHt(Azhb%E8w5&N@P(2xYP3b+kL^Z*KH80J}Ktu3okLQK*W0eoF5ATv8_%c>d^Y0cEn z*zpnpgqpid#rr}+m8Xd+1eFMCoMSdJ=?Z8pLS3OiyET<0Zd4RSF$${A8EZ_8;{c-= zBeMCg{5bkRM`Pjzt_l!A8|h$F5|jw)LBrmMM+L%%c9tL7PbDIZn5Nmx%uMjHlz>P~ zfJEa^+a{-p*%Aj|OBCm9ueZCjy0LKdbgjvt62Yh{fcxx!@PKMMSO+ZA!TJL)rGBq? z^V(ZaUpVsB7oRCJx3Sq*sCu}O&h!8MfBfQO=N7M(qwV2ttBM+>K^t_^%VdGyd+H#>1^;mXEF zb#G%vap-ES#UUdg12F=tLi8*lWi`>FAVI-6L@JF@LMlgoVdhY4db-jm&1^R@jGJNO z@nA(%^#FlTo8;C;gO1#!_7NcOi%1<$Kr}oP3V|qrT2_hG*6!BJ&!2ws?Bb#M?&5T7 zzT<9eURz&#u)eWej&_m+d%j;s+uMm7>syR9;#TyVFFbo;VLHF|{=3_&_bgN?MGA$} zXe1bkMulR<>JdWi3lI+>Xjx5}WHE2YNW)=eDOTlHRc@h_C^dL7!7#z<#sl(Io5c8j z5=s-wvcKyG83zV*(MQkA97aw}+nhS-_By=biV+EXX${*)#J zRM0?!Byps!9C{7fO0(Q#6w#nPdivy`uK)UPzHdxYd$@jM8##~Y!3I(#p^vGSW#V4Q zfV8iUQv@JwCYJyeh)AM96hn-}0h%nu#KAMg*kB)|?voj3PxGlLvsRgiUimRloEN+Bck;SvYd+{?bzID`ZxLQB^(maTMuPoD;ylt*8B14P_5+npKF{lKQ5TybyrZz$9sI|m^8W|(F zI&_AB)znCnWKF!&%81{vBBf^9C9NBmufF@{Ti0%{M79`)>-TTHf92XnudIq# zmsl257NTI*H@2@|yEhs@sIz{hw;$ZRbNA-GJ6kS0LP@)*2C*KRfC3ajR0I*TBuTTh z4xy?_AkOnF#5gJn=WODVI(PtRX4QP{MI>+K#&B5{!G|@`mBZ7bi6|d2w3V;zAd-0=2MAgP=kr*^m z!}udgh}PPy)e;pS11J&^A#!73kH~D;7(`}clQbicP*=#*?o6>wD<4AyU0tc>x@#EcdXSxQy{`jc}@9f?g8sBNv>gR76?AnhB0-B z8UWzRdvCAou5Atb8(Z6;uEmxUthakLC_=%S=LTXuaHj5W?EdXv{}+k0ySC9v6Kh$6 zM?zHrB>_|*WFZn2Fj0!KCS->+?C-vJ`Q0LFG}c-TQmT3lQcIlfv;o<$P;?X#B}k0M zIEj)vbXJGeux-=L-sUe}fA>$nbtYoJKf1p-J!cJ7(5 zlpdlQPz6Dh+|I^YigGYCorPP|{rk5E(jgl;;)cXI;FWH{^>Axm$Z(Xr?Cxp}ZwR~OQv3Vm=iu6T^5VQst*nX%`3z!Le>!F~ z&TQ{!y2G?S3!L6!!ti&Q)<~^)tydw+2wA!cS*a8_6=5AsP~ zY);g~scS&Q&HGR3`<%?sqTr8)hlthRxFsbPf8?v#D;9cfi^<4K=iJ+*s%*fNuS8ay zf710ie)m^da{d|d1=63%&Q1Smf9a0r+cck7G5mK`QVAgeWgl}gxmB7HgQoS*fxB^9 z`{zYOAd}+fq_HwMHY7+A{~*QDn?<8nv+Il8QGFGH8jT`q;lBj>hsC_OwONF+W+KJ2 znAv{B@y~KtXaHfM%OwjVXI`}f0|0Dm%A&$To>!2H(ogc|7$C}8(BN02Jzgf%msYOi z7#$aM1DhUzQrr5H87yxTp!Wd@wN&`%WGkZMh+7|tlK6GVHW@oV-ap9V{WBP6n;jw; zJ`jEPrdRO)lJS3uKYF~fGBY9qv`#k)s7O`<-nKOgulP*IUKE(W<;%+w<1}vi@6&p~ zBWFj%LFUJTO9 z2Aq`2$}Ff@$co%;tWAGpVWz=(f+gGlsEAU01xgzU)W<&7qZr$W&SB+#lGFUfk2f}r zU3J@`@D4k3&?r+~kvUdGlcW&Hx!5f9yz4sz>{et7B@NJL!UAaz2N1qbD;!Pu0`aTakFJ?OclCZ!RST;% z&vx~zgatw{s)6wW$-rVDLzj~#N09-9Q!21fVQqLt{Q}eAz#vXl{Gxw83kO_IZy%1^ zy@{=meK<)Jd!divKiw5z%)T{L#odEV@6=!Yb^4SCMaB!Rp#L=c;P2h3hETOA3B#Uy!gxdk~8f^bnC;gO>2x`LON8P{pg{jRZY zm#bj(>9ZlMd(r<8i4q@z4P(mDOySPRL>8WvVkxGgiReck3o7ztshk@)7J`E!^z(ewCwxgcm)xRIbr`jO1T_2TfIQ;>mY zW0FWB^dffQu)3onv$P&!k?Mpy3uTK$_44pd^^ba!|9DCD#4-!uX{fUKq*S+_(TZfW zh9DLQ?(O%}Gib-IQ16_vc=cI&|MGfeHwPcmie~ zS{Dqf@bn8W+6udwoVEs5p=hT(okma-Mqo$fx7MkXFXdHXR}uT#+t*)7NjH~olEJrL zl3>x&a455>d@uSPj^3AFB(T)VoIYp&+wbT5w-FuwYvTU@w(9m04g)e1)m44%{Y%dQ zNru?ShQC8kCuA!Q>z*otg4y0WuAgkW*oWtx%+Qp?Lg>JxbZJ~4FAX!ud99m2Btrsc zk=&)u&0j~kD6)66e!h;2&GLb|jq7?26)&+B(%gccf{Ps0(Lh3BOjB!n!?^(Vd<{`;YrwlTiG zQwbUy41~>9kV7!*DHI;|#}mNxzu8EwLFL5!02CTWf>uE%DoRIzl7wWGE?!SCUQZM) z#O`cpQ-GlYIf?!@hGV;MiW*Xi!BoYSDG_*3dpSz@7zu!-A~qc3Mouzj)miwNq>}h- zlCJF4(9@O<;bV)A5D(uzd;R2*3TI(A@Rd@_f7KDbfUKwUOo3jOHO}Dxz~Os^_rp!P zB>6AV7VSO(UHkvFT$)~S0rUVPR#)Sj4($fDY!QgOm$deh-*R`I^pGp%5uu$OQlPyzwSx;D{N}8sxxBva@p! zN~bExUts=%SA2IV8}#~3Q$P@PtB@EXk~jUZykPJEg_`7v3BLnM z9pUBxL`tQMprwM?x;ci3Bp`b7NOd zNhMOQkc{040@1H`;BzGDbM`r1IiZNA2J}Yn56KcXDv?PC{~lRBsM1~iP5I{HNJw~Y zib7aWKA_*eC|N1EuG57rU}C{bUJ(nMnFpvtK^@so&VtJ9$A$xI_D2mzQ`CWMqup_e zOl~eAt@-yj(NS7_rcED5e6;xH3BLXC+z!IrrHC!yhEO)1cksWfr(m$8V0+Shn;geb zipb!qmkDIZe_NEwhBx|>g-GWPoawg3@Kpp3L$TZhY7rMS&rrmS04?phB&5<8bkdeT z&Anv(WnJ%XdNIc@?RDP2MKG+=goCXYF^?^$jY}#&xq*k;}YUQr^t|7+z|+0bM4$WIWx`?Kq8S*Ht{l%d>X)#PpWguFhC&- zEUnDRY|a<)(c38-6g}lw0dTG0Q9H=>RzU>0NbnvEgkDz}?3ORNbQG^~X*ysIdS!zC zt-KWXH>YB%K3r?RvkY>Hn9{iN6)T`(wRqPZn~&A1EPf zG1QLsq$B~TYx%SE+U)I;73ADJ-k0Zm)X(`hcw1U_i0nBErf#LLUE}U&o;q9ay)1NY?iK=-K(BK?#KP5?8Ee8-`VY>!*Nf+9}L9R!V_bc zd%3rCPIye1grhR0l9r*$vuvj~_t1Wa+4qM~PzcJ7TZqVN^!tnl&D3fj3@YQ%#`#5T zI_HdQtYPPG4h4gbn|GtVJy@_($Pu;eqOz8_*vb&$V~yOPqnBfE7xsw%c361%51koV z)ALbNhvZW6Kiub)%&{mbvI0=QD7!ybW%NUsM`y3~sOE-Yr=y6Uscde|%QCl{o|f^y zecIm$GLkCA{It(b@1`SFGAg!;uNh_Ow~W`AZUU1jZF{vyI@J6}5{>%q+${fBkm$7Q z^FX9*IS-F^pFKw>5P`7gIqMu(63%-{|Kb;E?8$e!&oSNrcp;Y*7yBd#*^dOk-hN|c zI7rZ3JVb7kcQ4&fFWly1@vn9a5u)n?wLx=5)k_Jx2Hp1qebJX!N^OAgNs)n}6GQj) z)l8G@Hz#u~&pRL2tc#QFj51NT0KLrNmt%vo{Hbz499$3p_-yc9B)WP~=_%uNm+ZA7 zrH{%Jz*^v)hQ*H4d;*Wu31lkTce*dYP)Is{-H{8bV9afegWL?*5t58ri9-bf>i3V= zIs;Fqa4r4q+?r{(lOOQ&gLZcOLgR^|B~V;gcr^3dcLqw1fPpyUFBrYb%$r%vZ4TWq z{wbguAnqHZ0MVhW5EUXjALD$+KZYd-_%%Og5`FcbS1-Ag1oQ%J^Fkdk zVK3Z0tpM2=A(r*=^4`O_yHuBkft9D`u$b#LX%bfJ;Gt3pgQr|{3hY~qt_>BM?wXV! zZEG0YS1J|ktq6b&NEhQFp!Sv|5VWdp2Nf1Hz5NJ+B_hWBR0k1tGf>d|_4z>) zo&V7%-}X3*yJ_KXxj*m$59s~Yh>Pt@)kpuw!=b(Bra4AZC_|UM%dbE<4EbHjgHP~u zJ@goVD3OuCZtB3T#oj@-^Y!ng!KL}pK*@uDznMZ^KFxLRE^tKYqpl(*S=HM#k7oQm z1>Kb|N(4A8s0)F=881+e+^*i7gsCj@B+jkdb)eA+VF!sZ;nf#w-RIZEcLmZ7twuL* z9OSU-jNbhB{%#QFV0u1}q`mW^4LsuxdANC{Xej`e4b04c*>*plE_vK(@HBR}4*c2l z@zrUSwTqT8Q8n9-3NG1uPT@@3i`_fqaR zHV4o}CNGXu)m`UF>chd$!qJw}mcfNVA;)&@_+y{&pB2n6G;2n(NXhkO5byFIE{!kk{EP2xrx1_!BO zmig$4SeQlnq)?>5jC=hAn6eN6oQBfDS;A5*OQPGB{_jNz=)$oC6q9`*0W_I7$sFM_ znP`~Mkwd;cs}ok$1ekXPjvbwo9m{^_pW4F{QdaIAUd=U^v2gptuO4SGh zC0GuFRAyONAWew0kwLIrGKb>tQ;@^xDycziJ@AjDKQBkc;))89~(2 zNk&dbR7i&%z-%GOcBtaW6)Tmb5!0_(jv2ewOnk{CL=>s1!}U9!*rxVl__-_!v%#kQzh)iF}N3rht@bZyHQ$iO}I4co7so{muTHdm$`0XN>n= zwXg`RLIqMcD@SuG?1_*4Ni$*hIYv6J#!)30FARrTg6_NU|Dm28eFC^4H zWQxw%#EiMbp+M?pJSJH3A&=?)dSEVnS&i7+4)N^yf7f{)A!qCNCmxUG7;w)GuM6?n z8C>AHkU->jKMO$UCFBVs)|RG+&*oQBdz?A@;y1S^RBHxD`kD1;KYwXI+O8rwg@EX@(zxS%3xusYFudB<0aU^37un&KD3MT#9C*zj=5`BB+*IQ!Y=2!L!Q$u(yikvicN#Fji^aejU>!#wkGYsQO#1Y30v^-w!ci&7hy}eMM(Fbjm%f31Lxv+LSo?H%ifW))KVwX96FzoIU z6-yg$lJw|qY>@uZ6wk$OBksLh5qEN+#g`}DSOVZTb9^|bsoD@#X*7I_V43HF4s^Xc zq$DbzVR)c0Z?xEtdO4v^2qF=eBSBR1L}eu%Ejq*y#WqL9#5OSe+!mQgVPoEXE2W4y z?&{C9%Th_Piq90y%!N2rkQWR8*Am2ZOy-@z&d3K=`t-41MiI(JRB`$%OE`ny!mPvl zXxv6??Z37-gCmZ-C+s&3Df(iK4IkD!SA{WiZUpF=1C*`j$n$jzMcA#NqGnJV7Y>St z1uma1;isTP(>Hxg_D*gVJA=dfBJ2qwpt z)=WkoPB%0xI#nW;H>U*-ew3)aSkr&6EUk~wBTcr}PC#3xvhl~tKGufjaa^uH@IQJN zOP)RcOAKXg(*giDC1o`V0`}`uIp3QxCdyOdE}C=?07o%xb`?P(x*r0BfszRbn3)n$ zv`G0kIX_a2RCOqd@e`s1SfZkzltrJ^*#?4pZlGMRXp3gMe=~Jnec9c2CSQ_VuJW#- z#j)J7w^58h4^01EO>n~iK;pWe}&+0UcdQburs^|WmDTxVg$5G8#f z1hrh28)=j_ia<{A7cBAt`l832D|Rg!0vc{|pnJi;0)^FaF(;-->f7?fog{B_CYb00 zpih}&)kTDxgIGbvF3jqOH?5yt4fIgV@k(zfz2+fM8OGFR51}g94N&IMnNweWbqD&0))wDX8u*2 z!vT_TLcDq_m2ME zrB^+U_1suI&dJ{G_B>u*>0>@_5%$-v)mIcWoKzxd$^_)nR!iy>9EkK2&(6k1X;r5X zzklab+YX5gPmpB%w|*VEA4<6lckUo0g&5t)F%8@;rj=JX$FBzzb`V;S{qeQ*Fnj>~ z4l9){h8+JP-}ikIAC@D#ylqOQyw^fjD)4W{dYk{^ghVMk^wePOF6~z^MRm*yv50Eq zU6yu1{C`7TE}B=ej4Nj@4;4`$ADsf;a8;2fKi4XYqk)4S=Ix@wL9MH0Sxpb6-=w{L zz1iLT=jX+ipWOvnNO{}2{ha-{yJXz<+8Yi$ggjjS8nEcJuj8wlGU&ej!>U9baz1KOEcN!^yCL&n z(WWI%x-6SA1M}cwKE*@(Ap5ec#5fV*p~Ugdn@jtn(h^5-F`l-3Sdh_m@Ov$?vfC?D zw4PlNS&k;t32TruMZqVPyU1a1m0%&+R0H{De=8NG3pKWNc9|Ulu|@%6E_I^otmRil zz{y{IIN{1rjetZ73^!;-Z1kxe*VMWhd~Kpv2ZK3oUXMY`Zxc6d6N9r>UrJ=<*E!N(aA0{yvTe zY*cbGGU>Pcn`_Bey2$XT;pcDasHv_{F3oCfGc6^O}ya#X_l|bp}|2)e_II`(ebP7m)q+3GX*(9}COf_03&~f_(MitB}Fy69L8#>SWt5 z4EV92jPlxMnHJbc{iVRIaaQloMo(L2@T$UNrXq{Xxixf!XPmHmi?IIS@&El|-;?}9 zsa?20_I;lze|7ww$#+VAzcdjm7l-f4SF}0Yg9Pw6xc+XsK$6QhA1rayaPa5U+l@lP zVzrcsn!ii*FM3YyD`(1?LLc`>d5^nKzosTOIB}7vt*W|?$q#3ww817+CCRfFqs#E0ui9W02Cc127KD$<$+7lxCCom1?n6(? zH){2_)H^1emL&G1l|*N`DgwI>drm@5Tbt?`U``^H&52cmd<@Q7$mS#jrh4IC9fcgU z9Uqi1&`THGoll@wAc!VAl6?KEckY0fhTq(0JkGl@F0Tx0-MxkS>hQ6u=kZ_YZQSEu zkH@*5yOkNO`MK>Dy=L=O=ypoB5}ael43i>zEV4#b=w*q z-*Yw7!f)2rSwH6?wkM`2!IK~mMCPdOMm`#eJ(~OJDcjI{t;-A6(i9#mO3^O@#o>VT z;UX{#&ey_1pb6loL8(b{A_TW?l+wXIg0D>zaZ9on=dC4zR#;} z6z4HT(DWU7_>0))4Y`;UktgvQal(yeICT?7l>!6+q}|e*>D7e&{4mcH&o|lEq|0`- z1OS3HZ&PqW+@j{yZeist`HB?Nc|3CWtjjbn8xi$*&5lll@wnV+QuK~ zGTJ}NPbR3aj_0DXhSpGaTI=aykyy5Az7!!>wyv9Nh=)5X4GQl_D=N3I4_W1eDge=?Pi>*0 z1;>l63gn-6YkyB2O6&^WoX*)>3)-FH)Xb9#JeWt<^4KZ}5f#Qpwek2vty#UhfstQ-6|!SMA#o>5~y@ z=~^ggWYc7y%tctkF<-q4WTaXDX}22ER^Ow536Gk8Tla= zi_UKS4>=RA)bEOLJ`#X&x7moPKi$dqXg+bfDkgl-bS|?Svo<6T*G^!bSH~Blj39tH zWRemW)?zynthGoaP^O-I)@rmB0Hd+V!~Qs*iKBHHuy$cdWvf!(LeEprIP*764k8X- z=tuP>99oIL+1SupGyF})JkrnrHdI3G7Yoo^zn8g2vlK0T#Dq8BX=n8sYYuIXSlBFjy8!4_c&*A}E22=&V90nb{)2;X)K3y)`ntuJwO|#9fDZ z3+CMz*WyS`9)nkl*QFL@a+PXVGoT7a-hZdse`d=y1_J$1j4a}#b8q-h?Gv}aIWsa` zaMoF@x{-Q9;9Max>uMiMPeBjSJg+f!zDg+~W|G%%YS&DvzT8EsZD%tqZc7g<3Vh?( zb^gEF=TTPv`~J$;uk;?lnXW&jaujztphMROh(VU0o(D&_0&#DbPY-LP;*Pq;cx&b#kJ-M6MV*8^=eXA804LJ#|Y$NSm2 zhq~Ns%^gZ;PzWf?lgosMW*Tl}#vi*^cVZ3~3W866^UI!8oCI8SElh@9Zx@$qhMtUl zb9HkQ$w(~H0Bl?=d`f%Sl>O8fW-I5iu98`@)UnJRKvu$wKGd&Dfg=zqOEE#?uVg_& z%?|s;sk;!aq_sx6>d@k%-*suIqBCZGdop z1&^t42k2^Llf(F<@z^G&+-A3KoO5O~!hlNLn%Pv;Nf1UXf?;TuVj}B`D``p`?HIW* zlzM#8zM1vRcyk9a5kzMYiB3)+MhuMG{THNu?xC7kVj=BW!fTD3fVeH{^HGaJlWlL+lIg6^FpbgRUnV!^>_C_sOSkQ4hX`}f-PXPRZ|w=ue1`1qPL z3K%mWDz)-ZDPK<>=PR3rJXLIik85>()XPD~S?vuTGQm>);!+*OlSc2m@FV|cr=F5K zNg@*Pfc@~A5^XKE2E`UGDEFq1mElu)L~|oGwj-bOvKtmoGY>U8mI~ZxLM&(WYU5nlpi>%+SV@G^ZF~sFY=4(pAPSAlPAg9iPJqhmK2!DJt@|J zuoB6@tmU(%gs_!-K=|tBQv;~^ad+2qkB2``G?$4-ey7-n{=1#e*^BrY??x_HeIdBJ z7PP0s@qCmVhHAY;vh;Rxu@DHdse}{2Uu79U`^VKy_3Vr&5B-A5mbqiCgIwaWFi7BE zWN4nIB@G)=t~9&F<13RI{l-Oxz2Z$SZR;2#%G09pp|-=%3bs;{SsGO{cx=bP`FjYs zZH(`G3I}Etlo0~mz>uf}4*rV+9Bt6nwWobD`?)8DgOEJUZgQ$+03%j?PgNN3`r051uTa@o^~;V)61UU)Tw;2--&PL_}4#25(wV zL54VK)y(}BWNPLAH%$7XjT>LH_T4RBEa;wQ{Z4=-?L)O-mNkG74yFRFzj4qV7T_+r z`tu(oSM>TW9R??MwlzK+k*#G+ZD>~!PkiX#x7TWE5xeqD306D%mYem`uc6D8 z8~^60^lDjk{q4?)?EThBPkU8W@UQZQR8qcy#rig_cp=RLxmi4G~0b^(_38+*sA_ZycJWzCB03YCu4&}u?_C94JoY(re`2oEkp z*8EHHaEu)lsSLnG z_z;8yjy!bYeXwmXGuC)HgJ>ND(0Veq^4ymy3J^0ASmh zH+UhRq{I}gM^qVAim(Ls@jws=W|U$Q+A(Rdt+8EnvIf)!Hj#n z?*>c#FQxCqUlnJ8GuT>QEV}mpz-S`JRs@XMroi6?1jxN+QCDRwGwD>bx4zjc*;L8O zVN|q8kaa@ff>Y?dxmTQ`A+*>TkPwB{9Q#q8WYEZxuzMD%NWKG`Qv8Y1l!Lo05^C&y zKm%Q}sACtK72jCaX0x&uYU_~*2}0#&v%y9gW=))T?~z16KtSx$zu{bpVoh{ppn^Q- z>K{T=Gb|{uiTW9~&Vwld6`{N>K?OD>wt6^L?6!e8J*Tvwz=EG{BqlhIsq1zS6Xt&y zPJXiY$+UBI2+i>RY-&%|Uls5zv@WoCv1R0b1uM=gGP~>F=KkEo!Wj#rtm7W_uJNSn z`RLe-DB0i(38LJdmDb;btA5^}m(6-~cO_|RJN=#3Abfl&f(A#f@7ey-JD>1)>*eSE zCBoEbHT$2CZ#TNhUx_9b4U?<>^YKlMlfz%zJmC_Omw_g+0-SyHTpDsLialyZH_D3I1h z`%4v0Wg%al#Mel(4%cNX)XqGXaO8WnA{6Wx5r)!Z1^|s*+CNtF1tfHX6Kvvz^KeP& zdS88&U|&s^*V85f4P6X!3`a)CMEcaaA!eM;ZI+*!>rzFchwxaTfJ;!$;15Gw951o> zFPrhrAp-5WiDC})!rmi)YQEILV%|6rI!I3F$0;1DF7~{6<10Kykt34RB+SRlL@@&q zV3XJ8-i;?z!>cERymY(G%z)clJ(`~V)qlC4a2lDy=FFO`PZ5XtC8GMQWO1ED8X*!t z=sq`OjW;8(otdq^JJ-3jG)}JUT8fNDSh@Pr?+_DhgXegCJBVxMO+^`_L%P$z8MFeg zw~`kz^Li8dVC4_NJN?rq!79Sc148wPUMYUlVPlqQr!TK1CRoW71ZdrEnCI(*^ErdIX5)unjJ z2uKaKK-x#_v_^-g>up{g$zo)AZ+C7Fp9uy-blBjORFQ<0Se%ji_oZF*oi8$at_XEt zkpLh(n1o%mP8m!HfyE+KOA4_I=i7w%IStqedFHs7_$S5w{q^s`xI*$04i+dA+?sNI z>p6BctPTn(0zeTtzhs~)X(${Lqv%4-RJMuO*V^(b>N>}SLcEK&)YSz`6vtLl@zLxv zeb?nrVFa(O-9ZJeZVZ>jbBAaiUNyP+XAG0{zYMA03r2zSimtT-Wa-`?yL*Ude|ak_ zNwaMhJ&Ehk>7j&&^zO^+xgUu(smkfO+X)L+Gb^7<(NGSH6^N*5?pO$Qo%CNOK2TW{ zjtbj-0va8%I7o)T5C!(a<~KC81r}{y4>#qSf7V~fwzSIPJ^{ctww7+km`poDTpZ1E zsMv7o2!8?P;Ne8TIzbX`i*iIb3KmI(k@=lX?qEtG@x@R@>@BG)e}fl!2qcbN5tkrV;qcG|b(y_P zA*4t!mh7T{3VraEIDjVgVpvjhccQoDC64c%F?_ z#pU9UfwaFAdhx>lZ0Tpi&aC(zdF9*H_;Y5K*A-toj=Lp)T`3RH*nFn_D&mb#IUpB$ z{>~xaFgFhaz>c68O1$Hu@0yZt;eG8S#W>;9(H&kfwM17RjrgldHvVt~Zz(GdGZTH> zsUw152fytS^kU4)wJQX&3(@A#k*;OD1G|uXEV?Gbo$~BjEek48xHA4060f>frhg z>u1ew@`MZoy*!UAM@!_5>dFqTIWJMo6Ns*Fb*EkZGm4qV9xw}p)Qe++mjoav9Nr5t z!mc-FcPb{hPPK6D&UZUjh`Qa18{dw^beGD_&^pErW&i? z!A%}KOhdD~$D9C=1ok{0(bMqfDB8T#xR<1987$7@{Kj~{kbNwv?S4>hEmFf{Qzz{w&wi^*xA^+-FG(9S0a06+Sci0x+Moo zPud?N_A+ze1{6X7hfgi!cDjr1iZw929cCz1yxoAS-c;+X@#VH0AF*SdFJ=1aTSVI* zTTh>m%OCaIXEj-`syap2jyy7cI^LH$Fue@R!}May@>+1W4Kp#^T{6!<%W!*qu!W7jXD42{Xa>j_xO_Eq#jl%9Nu@` zPDUSh`k!6@8*ooyQ)y`RAbe->Z=m$;kDMGQVV$bEMgM?$i)+W}gqmj-)OTb@LH{a? z4_>(6tSMq8jq~M&{MjK333%gsacPRpnaHS2XIeQv`654LxBuO8pTSzE)%QQLtv-%o zRi_wK8gKhve#m~G%S{K3e{Qj`1KBu7jhBbCqSKMZ{ey?p+31~1ZI}O5?`Eme`{!w0 zMNSptuR5>}FTW1(x;$8!uB=Ol4{3Xrr&HQIUQ;A?h5Q~2qH%ZGdU|MK?y4`;zBn0B zHYX8$d*c7ez31W!72Cu9UV(Vick!#qXm7XZN~Uk6CI@^1i;efQaY0vVtVg;uyhz93 zcT*4`B}*8D1w{lA;3dZf(#Ldjjk38dm1qDye5X}i{5Qq)uqH40Fxk>`IMn9i3y^DK z6x683E{Eoi5TYX0^|WxQX6aaU`b^lY_NwDHQblOrk3l(9%z#8683SbE|9?#hRLu)^ zWe&qd>c$la4O~yBt`~H>Cm76EJnbwiZiL3z*x!ztn#?NwY)qquW?HjH5jf#MON!SM`h;0 ze|06$=b#f*q$2>B1|TVXa?$grP(33DXqPBM21-Jd#eClAU)RTMP$8pi6r40v#g;D# zy1vv^cQ%*hpINTb&sU}?(1NIxDP!WBxeHIQweeEP8&1(&SFC*Kj)9;*A4S7?n zNf1BH$N@7&lm#@Ow(|1w4%Yy)%6td_I1HdyjA;QEn}~8uayT|=WUkKVs%ZT2Z0wy4 z>1Tmfdf;7|@J1C028?YsxdMB-Um#YQ@U4+iAD&Heq9()RR>0fk=;@{Nzo&A@LG`=S z+d%VU=2^hDbUG^JJc)N7#%n>`=&6%6&&w0p5kz}kuLA2@k3tbJunSR`P++|^Qmzn6 z1$m*;2V-NxDAWB2eXl%XzT1v4UWwDK*Z!a&2T;PG(}D5`n_?s-Hd5VU{Wgde2%V_? zioeb(!h@XW_sK)MXdsK*|Kxq*1;lU^T~NBKUKtb*gRt7L_l5+=mc~EvDa8>Ft>1WV zuMDKykN)8SSV9tvo;OxA*9q|`B*i|C3`hn%o@-gQe<&jo zh8)m;vpXErGH?5jw)-T#&C7hk@2PZ1z{$ngG3T?h=Hel&r+aq4j?c3b^3&FSU00?W z?JaIc=>l3CK3`scDP6NEH-CFVo{0m%F7*Dyu$QxJq8>xGO_1|=Y7zSL!UEPKu4;=`JRQrxPaqs7sOBTpPKiW!rUaP0F}zvxnFZbI*(3uOFu7xxN+o08`i zMZ3;#+r)o86YKbSssHGH_vhrNejo&(!Wwk^H`U91oqmRkKEYX84Eq**0*{VU`0Zd)<3&(K-(tqm zH?@?oTxId*D7n;+o-*EB_U*-VfOeURx7)>gs{4o9V8yB;zCKr)pyl8vgV4_4Gu6QF z4@VjKYFPl+k8^oUW@D6SYpI;?Q*D>s-(+oS*zs;QZkKPwH5#?JS=x?cL)V*ik5w`d z+EP%x6Evf!I<=1xh=41sQs@T;wy?(+jA0tRIyjvIh=9e8yRZHwsO|aRM(CH*C%-i! zpZhd`Lh^K6$-;1`x%K0yIgnD-L1!%XgNGZ*9<{NO*@yq6Z_YNGy$u$sbLbU8guPE?m#!6X z0Q%TM2m&J4>_&4mam#1K_vm}_RZZQo(Fw(Dp{Sz20-r3|fVRVmly&l4fG%e}1za8W zCUX&q&*Y+B`^AEve-3yyIW3KM8GOl3BCddf!t_Q7qpm5)en!gG@fKEj&EQ}+hY|qL zf0lgJ>HcxSDFK;g1j9R{T*qCZto%eV`_-?YF;v1;JlI(MF(852PYe|(cuDnFO%Ky_ zR@jfF(>{28`{!+G(ENvLufT{EL^BAWSIYDi9K%3Mj-vBPOFWBP?g~j(>YIFR<`aW` zU6|)Sw6wE3k+U~haEHnM`s|Kp`LDkj0y^~xvLg_IOc6rMvm8!6>sJWRdFOa}P??$q z0rCrd66UjR`169U5wHzzmi^JhA<_sFRkXmO5@0IPZx)x=AhRNF#oFgL0phP+B@aI%ZwqBA1X(k106?m+ z!-iO=c^&3GI?|cpv#(y(;8XL&S7IG?on8m}TRNVdFZW+A#IqJAgZrlhZua*q9NPwK zI{e8Fb0lBe_Rxg>oV=Yhl-`>{^f~wHhZV)Pm)SMZJ>d!m@~|o(_h-n@fC?~#%)9c# z;94Hd zuuXixx4fr?eqe!kp7yr3k;qAQ!Ck0g`VVjd6@*25c1hLhYT|jv9x=-iZUooC7ox>kNcsTfJw6+&&yxG`{F!pH_sgy7x4kLJ9`+5_V_lZL_}Ti*=yf}Be1PY zh`U8ztnK?=Rld)>6E&i%yVy`2*`vIJj4`S5UtBC`EOL>~Ig^l?lKt#@pk+JK#_Mk$^7CPwgATp6 zyFXQn@ng9Ipi53>U5%%gImbRUi6l|4EU@L%jWQN(1sLyt`kR}sqN$(eGmzZDRvdps zc>_G%>@HSYWVPMxF^cdyVMe@&t!aB-I}$GrcXGZH|k0MkRY=@ZsjS&NOQ zl3MPLbp8jRHOZr<|MA0EGT{7smT+R%?flJ&0A~)Zeat4a^ZozUq#go5if&);?PdN4 z7U2XVk*F~%hV$DlzUJy&zNjF+lO3)b|$p!1I`W*`hQlvKIogX#``OZYOJq=)0 zN#YsYcm-&3n$BG@je#<2P{d4$Ol%fMufN&r3RY7qxVzuO(6q!B7WC3p6pL9jW{&<~ z>oj1_Mt`gUAP#N_m2<`{W^`0uC^aX%vP9L zxui!XN?7|l5NOsiXKZZR>V5S-QAqg3an%Yt2^38*Y!t41F`0;}WuVnb=ZTH(w~xMJ z;>PcLxA^AIrPy;bh1@I?34*X_Ny)n_{zz@1-mQCU+Qox-@`vk$mLqqR%Y>2*PA}WX zia??rFq9>ky$OYcr!#7Eg-o~UKIx2H1ZFiY~}N0Hg=o%adIU}o{_Q6me$<+5fAAOfrUSb!7W}JF`Q(b7f1O1$EGfR8nZ-o zgq8z)H{t>>f|IZOh!k*qbrO? zRn5mogU1U=@>orW5SuDXpK%0bqlc5z3e#h`?4x&-W2)GzyT4Px5mHSJf0k-GTHH;u zT~d@IOBVP2)Rwt}VK}&7wLT)M@Qb*7li7{^T`J5;$>IIKm_MMy$tkVfM&I?%=z1*K z$ftm-cv7}j?q%$&-%iHbz0{oH^XB`aRGA|mBq66u+Yk$=x7to&i!?-}3r1!6cTMXJ z3=xf7_y^{>?7PG& zdZx;b|LxCS2WzZmO>T4|1Hh&UfAN!Z+av6#Nrp1s#OF^7?1OxiJLF3jKKP-FfAslp zT)TB=V(9>2Q2_$(ZQbj{Hiroq6*U@%9%NjViK7!I4{xq*`pPSI45k`1cqLZRg4o(5 z)zWj@#H=cEV{HpDq>U8G0K3XdvuPebd*;H0oV)L6zqOr@xoz=Fma5!u@6ArceS z5b=7yNkmi?kzy24^&!NlHKhswkdO!vp$eg_s=A;80F?@mnV6XNOziM}5l-Fwwtx_W z`lkO-5n}p8xAo_43^p2 zL}Tz(#l{-80)QGIqEa%2n8OtIpcAP0ZVK=*Z#J381px@4D27a$cG(xb${U+DK_ehf z9tri+2vO?)2muOc2%(WCoH!r6H8dP<115x^ipDvKA^H-@2PL(0#+p#%%q-rEYSL`S zAS#kX6+uTS87SjmvMajid3vZxtPPtSkg2C4j`)vK(Bwa{l=zjyua0Krq1zfHO%a z31USUF;*jI;5ZoDxgx z7#F!U%t;z_YLg6xm_dVx7g7A^37~)g&RJi@$_GaT0LGXYWBqQdih`Z7#;9n`vP7B` zatIhz0(b;qVFGjpR5i1hB8mtC8B>>LV34M3YxOCzSC}_(sh#>Pe-eEXf$h@LJ^*N@ zS+_gaY&M>J^z?WB;fI@dZvKlu`z_b#zV+_SlTW3Yn-f`SwVGFM4>CJGyab9;YT;S7 zh6Ccthbk*|dAUvG0Kl5o+UnIZRK~WDOVoTcUjNn~|Mt>c^E*HK>D6m1&p-F{=bn7z z_U(n+cg6<}?hnC&1p&V^+8GYE4Wme`VsOrl%0VM(00KmF?t?4M=3?qP<6&4|TN(CO z#Y9Tm2ag^pq@54SsQUW*m#u6hJgEBl@#CL4bLz<}xBJ9yeWSNJS{?RR$dt3414+8y zFp?(DaSY-0*Upur)mGbGy>g3_*)&;-!B}H0OBnaJ#=}qrHtEd5ksPw|IJl&lSX1^k z&tJd7V3zkEefINDzjyx5=GHJV#+XKLeKnTV#@(%~HJ`L&UJXQA|MFjdY2)_FcmL*m zJ4-?gU;N4oTb23QYwu9QfJ=uvVLTjw3Z~WmV~?52F>)#Njrpzh` zq#!CWs#2)Pmq>L>PIS7ehc)R$157S5z}BEd1VE%1Dwfh$K_nV$eGG_%DpW6qPUo5R z{R!-5Z9b?NCHm;uu&Au`$BHDPG1lF#s2J&C&h{`KmP`-h zHx&ZVE5`kNsD$PE3fNs_}`lYXb^U3G`%a4BafBf*J|LJ$W z{@me(;pa}j_{#N=a}#Z;1OTV1Y+ujtP&=k&qchyx+ExJAzqI^szqY)dKic!7_lx3u ze-t=tandYDQWe#n_L0;HJrOe_kp%C9vNMg$|1#?e_*LHCt)w zrKmzEePIhq($1h*O*2Bn0!AV`Z9r{JWU%!5;rZ)|X(fulU>pG}3IQMll|rP9u?#^3 zbbev+@kf{6eDCsTT(q0J6l0v)^QkIO4N#q~3{CQN)jdt!NynPm28}{kc`%AiGG$R& z=ZK7g60`1Z=1g)sP>~oy<)aT?6_D6W<|Tpz#xd0}y!zQNf~qP3Qf;O>7xfJ&gL)(-C*7wE@j z1%#-ANQ8t0(_a(sTBN8dL4c-|K%zZ#h20#HV6yb3NWf%b0AH19k|5i%$bDJ4gpw=~ zfiie8Ku8E?*U)5w`uL^NO_<0^6ahn3bUTT&vU=yj{A}7xmIh-{h(45tovI+<9^}I( zjY=lX1qDSQLduJAvza2{-McrMP40BNWf2pXs)7#{GZcAWxoMNO6s3$x!m5)bMH$DH zcINi?`~7mf!E6Lr!2l2=fL0nkKm^Z>L=nW3&*9<+mz%9dC}r)|x@W9>#cBu%q@G^X zC~8GQ!n7{%QN>q<1Qe~RIBv(~x#r9Do!@+?(@}}%tR4UK%+z^GRy=J0Ah?~UU0Oo;c8hOBC6CR z#(FVNbn*w`R8gm*imIwU#OYa$gtAwHTiY}}&?}kh20#8}MYJAvUVr}wXCMEQLkH&n z^}qevf$qEl%C?k+Ex(*n~z%}jM{Lw~ZxxOrV7>~=5vuX?zQW1wi`wkWW zATI?N8V$G8%f+j6l&~B0a@aTfg)cn&h0i_RXf($Wu3o!FWVbfT@*skR2*tSUS0P6> zCNZ5>qw+rY!)CS&097R?j-MQjaeH$lij8ImpbRLLn&EH|2%<_WOJm!GkVbNTlDJKM@`te$TqspVui7{2!UdlB1!Hi!;KBP2|+WHc_(k122rW`41~ zzS)2Gy-O}}QPm{&?RVeb^0wJdfmEV+UqMxMTCHIb&!4;8m_2Tcfq%?qkM6FHeV`NZji0uV!BGIa}{3@Shrh$6(4bz0y0lRx_3|L(gVynpe9vyXi3_;FV@zW0l@ zo3Z$Z&DGA)`Lck!Dr-6($C$*1otyvOySJ<6_CGs&x|u>Voh@PZFF&{d+yqKv8I3PM z#{i5np`}U?i7*JEo)ctQD`{m#RpezKS?;dgt%g0;G89F7f#+N8stV(3yNCnR2s19J z@=zB2P8T{&x4q$a)_e1F3shmiDC`xL2#TsOTt+B$>;za6YrHRX}On}trqh~giMjylRV@K1-SFc@(QJqVC ztftHR5fM?Wil~GTB}NHRL==ITh)`5(V*KU5{b5Fne=DBm{F3!)+IG62g?etbxm-p`n zCLxL&dI>4>_ILSm*WPI(nN zg*z!u2@unPK<&3hLf_4Ay?Ho*vt~FPL&NRgx4d%u($V9Gj~+es;}_qj zAWYU8EX&ZDn*lOAy;ZHopMT=O6t>b6^r#129LumGUI6A=;$!er%8 z@_s?u?HdES>d5&ui|QNmKmD2nEsM)}YmD^oIFb zZ~a^U;v28Med*erE1l-DcstkH|I;6Qu(7iBBGv!6Zt?)&F% z+_*V&`XmUa&|pUfHRQpU5P}-foQK=J0a1AJ?Ae!o_Rg>vqCx9o5sPA2bZ3^z;Z6mk zMtkPVpF2|yhd;Xdu9GMxj>;`IbC4{gNh9P%f3RcX4&um~#3a>7`lBj8a_I2ye)-r- zFZar-YPFLfo-9O;#&H$P?VZ((LaSk$wvi-_o$Z|hLUU$cUhJ&jxn2#{TZut}qKbnJ z>sk$yv{XwVKP=V~qlZrJE6T8ygKKohh5wtsdxd4KofgiT*_m{Ev@_hOlB^j^9q!yc z&~-`Lu1bGkZeK9%^&)~XA891%FU9BG!+O>Qz4ty!gql1w;egcViIO2e6d(b^S}}Tw zpvZ(=YZyR-M$u4_mJ{p^k^mtD0wI0S z5ro;T4+ht6Tw2<<^vqL_hgfZGu04Bl8IJ8+U*CBAkwg3D<`v+zt1Ee)J@wcLi>_Z* zp$to%Mm`$dS{wcBwTu1UIKd1+MFLRFDsn^~iby;(l~$bqzR>(1TYu$OqN zAXQa0nyEEL6(U4Y4H05gsqb{ah-i$_7^NOzAu85>_E5JBC*ux{3Mdi*>cxuMiB)-G zwWRg=z|3`;sGwDh1Vr@{A?Rc=T@|5{QYGf&f&oBcReAtowvA@nLN+c6FA_u$i3pjv zMjhXqv8n2$27tO%>qSH{b6J8Gpww6_EzH-|Q3yWx+!&*vB8p5CvPRwHL{!BXm56Hg zAgZDg)U^=S2wO$o-2)-u8uv8e97x^L#L0uwu2<78b^!vzwD5dYJ6-g^9W=Nr$} z`}(kdv2pTL_uJn)fA;M1(}xfGNvgdI0i5jVRS@fv6zW3Z!Ev?1uaVKX`~TYb=vN#( zxm17fwdeQW|BZW)-vHzB?Yno&PSVuK5m6z0Ov&@{QznX0^1Kp>IN`8=j683Te~q%W zTRYo^C}}i?;}Tq=h?7N~N%Bfa29Q(&U`=XKfoYe%F3l~2VvramN)T%dArTQ7gA&PD zMyfqmMKlHxQDjaSVtsj3Cgr*oBoxKKxO;lnr|?vmS1<3?Fh;=pt0I7V4V{lx;=*Pz z%TB!c`taSi-&QL-qX5wDG?w0YZ*%zJt26s%kl}kjd3WRP)dNfP>U(dkT)%Vsdhfjt zUu!i3K{Mo0e5G0$r#|>BvtnkqN_*_+vX8X6y47(>f&_|{kF8dBer6Vna%4j4=%4-0 z3wJilm9>pBR4>2&{`vRbW1we)0CXpTBv2VPW=z_sKP>Y zpw^{6MhV^+MuMvHAq0ymqM}g&fEXmAD&j;$jT22E5>2~4hSMZTl0+2?fr`KyldRqLyKiz)Z;a;!l3Qy#G+A)f|_R$w?5RPqn-CFdoLklIdam<6|mYuN5LuRf%|# zb0`3Ug+@AFEXO#`1TX;rz_{syr*gc#JwLn9NE#6;Fc77@aqH&%?94*z@S_W}FVALw z@!kLSfB$#?w}j}K{pmCNQ>gbw=B5a$x#ex@u(~Ga3|DbY)jiI#JY{xiM9grjD z8K)Sl%|VuAF>Ms!n{H;G{WqUIUT0(1hg%!#`_m*jb>MIUur`=qU%m6_sYm$4K>*mv zi$Ta=IDP=&06@Hc`*Ov}(S7^4>}Abv_jps5mVW%>w~B#J*`NjmkBJ2^qMD>xtxtn` zk_O6X6;M@}lMvWf6(9mc(J_++u~;WqwX6jrNC1t9jKBajQrBVCUHvzO=QoVGS6j@o0GA{Dr)VM1-yYPJ(v|Q2HUEXM+T`7#GOG zG$BXpy}VEW1OsAiR|Qca7)wBt?3)m6y^Ip2swyOGG_n|@4*?AXtxyvZLa3Mmqe_A3 zo0L&!9uFTq(8xLjU=6jhM(`nu5}OK1MCzV6g4XgtFEs#s>K!tju}wxTpllNiva`O! zWdyKijvfGL0#pD=mYOVO?+YNy%w?#6)EX##<)tE^PII{`0}~%H-QrCu71nfVJ>gK-*2@J{?!le6h&~Hq5@AA0ciS7_@E)~ zgY`fyr`@ecF-Gu}Z&ET^AB5OXvN=zwvMI`)ZZ_WUWXp%;h5cW8ZXW=gTP+6F;IV`0 zY}Wkx6NgO_41`h1V+|RM8=^>Afv5mRFgH6669_F9@&?8d6@@gA3M#8|L{$g^Bh?at z5L%###R?TcodQIP3PXS@Y6j8}RKW-k1ifmaLI6B2wKv`xjY<~lAYd$_iZBbY0x*VH zaRrVt`0T0q7PlfcD(grfV&TzpZSZmrpbS&xq$={B77`E{01YA5wd`d7Ngsr6;}jRJ zfH8ui4S-QdnL&AaUdMZxBBTg}Tq2gLDlviz&}4>1h%rV*K!Zf2q7kLpX>M=tEG^GR z#g+AqR-?geB#Nj^#=>yP{VH1(-u{MFACJ3Ue_cTjdPgDsfClB3|;_05o|L*MU z{!v6N^$aw0S-ZFHA35PppU#HT_~6p^t()tM`x~D4e*U)cLwn1fAMo$%7U2*0b?Soqs^y3|Ctw_ zI=J_z_}N?Ezi}h)&d*D-aC>yE7;8c^j%O5twHQqd!sCLGz!~@9mEk)dzMVPSZZ*11 zJb8G@)on15JTH;camlxCuP@IekDNZS`y^XC8xl%~khNP2vvcisr`zrTz=zjY$D4k} z%x>J=S-E@Vx4-%1>`bRNE)Heo^Qx6P0B%(YO={A_r3ygI$Q*rC;v{VtE57omNvNW)Mk}}O+9b^! zmt~wZ{7%&g4b7wX*i; ziQ~)7ef@k4kd@`QEJ{FFUmae#c<1Y1+26}c$IX1S^Pm6XuYc$3U&)dz9}gR8mZXg` z7Of!q(~#LzYm(C;^CI#3WAWX7?y+Q^hqIRT7CYL=l-{*>-K!WtaU>?=jWcNUc~< zMNp|*yfp#49t1Eb)ee3j)^fSs>+g)A*;%=D>&9F%H`iI*H`mPUPOm@C^Ulot>#x4P zva&L}*kHo4AW+P*#&EnPWz}xY0isB#U(Nd);d&bUl0v;&TdQ(n3^5XM)@Z6K z09nJ#hFGmS2^@p^sw9HT52~L=m4UEi4__evVG&u4L?T*mOJy58t?$g(Zh$XT)$NmWzy)TSway;`6wvN z&(8Q@i=e~th|z>9x&&28k$@aR$yfqdKvI<$6p#TKh!~v3WTN6jiW3B`sGD0h zdymxds~`j)*GHaA;ZRix(HZgzF>5hk1wpVN!!q91G6(RX0`^G;I1>5A%klj6?K>}o zKY8Kt=CN*XH~`>RU%mao-Com;I&2G{q(P#&}0YWK7Re) z7C0ghqX>~s)*Pp;{@n`(Ax2P8n4g~yAui0%Z|(G?3XrO3P?3BzWTs}LF&OMvn^@|~ zJ%eMKjE*NgfW4+WQ?Kkjw$AqorpXzGliwd4$RQvIsw+BJtUdE2{{B}#JDKibpwaq2 z|LrGcn(pSxTPIKMTb#kJNjqJ*d}Tl`M@-V@T<-m#x7uo3V-1S+WsFi0?FT@S;h^uK z3K=y75+UQGZ_UhpaPh{R({{7@`kQOF*6tLsPt-}$gT&3jAm^~(f?8@JP}C{_HoKiX zH{QZk>hicd~Xl-`w))psC;G{dh1myqjd%xf?5-GUR^^qIR zwxY1*_ufBu>EZ`O9*_qksRAV#8$*lB^H;Cmv>c=u#TZsMD&jVAyY%BwnU`MvFs1V- zDO%hr)|<`QaqbP-yr};CufEf;Ok{>5y0czn-5KN3q}d*h!r%PE56kh8*fiQRl`1fk zNrK{)o2%#EeWxt?&33DZn){d~&>xon<*$Ck?W#{ZyTqWTsYLa^4{CpG~%dSLOXC(9x)IvL%*es$|E zcu0F=c&qo}(!y+(rnhdc#;C*LP*j;(&D8$vl{Xsg?%{(+j~zO3=gys9y!zhK!UCvj z0GrY5Vz)=+i*jeDKP-y7oBduTJHtF_%qVzJ0gZ|QH6n-z2vkumQo@P=C@d2EjVqVm zm*L4{%LEO8-tFr*f`_?N3-ynE_R+IzYiqu$z`60j9@_s{W}A5)i>j&|9qDxU9qZ0$ zM1;35-FW2}=bW8)+8_l*B_I_)RUX0A<#T%bkyzvAqQn>@G2eejilFr`0jX_WM2S>n zqH_^ayk~W(3W!Q5q=1MhReyW)K$d*v*(W|&+qrz>>R;Wsk)~)9qY_$;W^IdbF?V!eIq&dRMHCa%FY+uj~5EG$@O zH`X`H%Gc8+nBwdZ?m5Xkm~F~Lv_=3SXgtIw^WZ1)w$~LIu`(jSB0LlOTW!0um7rVNg*CiUedp)O$~Ch|ouY;28kO5D*f{ zy-xmQO#mJ|9@BT8PR_TQ75UhE0sx{BQGpPD6y|L{yWlxq26m>FSkhi17Xg7mA{2HQN|OFovqM46T+c%Ra%F0D=hA z=;z5O2molZlE2Hxn~#R3 zXXauE&pr3}Ow+B0s@uq3{l&RckIj7M`0+R2y28$>6(4O?mPd~^7Cv+G_RVz&vD<2^ zP_MV$Y&J^C1H^s%j$gTQyBKq&2`C4RiA{U`yYF4C61VUA>gK6OmWtTDe!bV7b({?A|ygw z30%LsWu;^U8W!TZ>@Y}xSOP>uGZ+>wj6q%6ZO>+b%(#j+?F6WH2BR{Uxw)B$9l=tN znG*$C-7al#{Q;I}nzIX)ZjrAvxJqsO0q@==>4`FPyh>YcxQ{q~I;-Bxz`)Zv4N z5B}_@FBcMBlFZG{JoV%gmoHob319fa3r7y^&zkKw-+t@-g$pP_ry@N-tgYB58gLLr z(h1cx8fNRbtVZMUC`qGg5uvruLbqPQ(~6j3ghAhvNjZEK5X#H8yc3u{NL{J#;VxFA7Hv@7wA1@=9iB zI*H>vKXc>y&BevprTOl7CTH&_{aFk~1ohABr1iI8e(2`HjT zf&vQyfX4taWSp(<^lz2Pv#kxu%c zwdcm30gEX3;C)nRXyfqw0oFbt<)a?tqeiQN$lixc)fgHKdkVqYtSCJp9_eQPmp}aM zo9Ax4@&4t_?XBUcAmduCd83Xrv(6wIRYYPW3rYwOZ4zxlK&m8yf)FK&ieSCP=LJke zK(f;mV+upu#fT#rtHDEAd1gXmsv5fdb0d#eOCZvjI?uFcL$;9W@O?qdq7qSVJTL0hL&o1;LNJ zUy-<;HQUX^Fjd1r4m#)TF%M03670wXvg`ywl}JUa%~0$}waL<(o1I76Ms}E)?TyWl zdnQU;3xO(WN1SOR*TT=Bsu&f6VyS3<$+3K(F4FrZFP|9t@x{?6SFn@ss!MhSR3xsE zBa>okVmtt-NQl;$+!rAPVrpa=D%9I1qrvcZf9Dxv&9}e(-9P@ruiUuZfB*8Z)o#^w z*@XR3?Z-zaZT|gP0&S_o(yazN%@8gdqU2oE?}&XkK2)fBeebcUSHtt#;zD zO3SDAEeo!k8RD>pjrP6B4!TR(81^RNHlOF#eccE8{Ildqo*0I#3BOXwnQfBy8z z2M%%$@h5M*_rz15dF8cRZ-2Pm?zTlD01#VtX_b#h!(ryKvDfX}n+rldi2dvhd=w%PDXsyHgN8ir=t9hdIf zox84^aFPXepfn!kDR5)vP#$sp?zqvJNm45zVS?@cAZ;$Ct})I-z2#eP2~95_b&IZ^ zHDb*(x>qkvJ!MfrR7I5lRWyWXt+mDq0*EqOB$cWR)$nUyIQ!+N&PIS6+nY&ho`3Y{ zbB`YVkAMB0t&NR8{o_ANh^l<>(n~+}<@osVBVT;x?8>cMmhtn?KLr5qp1ZWZv6;9; z6{Xhv?d>io078U_pa^W(d0z$fD5|kKbNcxFf{8)et^Fa`S6_cKhQP+@1W1Yh7)Id3 zco+{$ribzCMhF^$A`vsI?&(G$fJ$a;VnD%ERmf&qyH4$O6qP@Eq*>E=&D8m3ex|$I z)@o;b_R(YYzc-o|zzRT?r3jEE_K7E-SXtl6S2ih1EPafI5QvDFGzzLSKoVHUC}Ybe zWvD8MR&c&Cvprb*%kO-b!g$;t7~>R2<%JdGR1q7kwslE}<)PcR<`3@Q2Dr1cy>ac* z^G`g{HjTG8?ld_$HajN(XO5q^v0hv_cb(B9Xhe#2firE)PGMQ70I2GtqLju=sKJPP zHXN|t<&G**C7=cbWhPZsl_^|cvP)3+_6X6($S6cS91IVi*#9qn|8p$>07xvio7u*8 zWlUm>YZ7(4GXQXVee0cf-~HN`zx0`tkDNbu?ckBa2agd+*CuYxcycQjk3RLxU;Nc~1mM&okEB2TnKh~8{)s0ZKX_>FJAeOEAA_;X zj4CP;iAWV|XaoQ-Gb$FNv2_*^RRoEqtLIu$FebHQzbuW<%$-$GxVil8ulD~iH=A&okyNjVqzRK4=ytek}lly|`Pkwl1b!YU% z*`tc=RikQV!G)bzZA-kcoP~Xzxizhn;L!e=8+V4G>Y$k~J(GBg-is2l!4wsx3>@=V zY=-gO*{(jmY-eWN?Ben(FTZwUWm_$q>|mu%Fo8G#86j8%z?d7^KC-M$#+GRwI@lZ* zqY9-nyL|iF>h|50*>=0paWl({FTOFjK5R8fYiyWT4^#mLq#OxQY~9SB)I;~2g$W5& zL1pTXj2}7JzocZEeuIAEr|&(8>SRy}P*?ASgwgvb(HcWQqJFYAgj7|Pb=D=WcK;MF zb+02y?DFyqoAlJl6J=TK^tRKaNt2Rzq6a1f0=FSvsz;rTBdNgD_M^MAa3@~l{-d{iAcH17a@@y59jC~?WK^sd!x zr*8H7=6154D9&cty}X1hkO5#Q`eomYb~YVV7Anw+{P3G!`Wyki`|5``u5SKKJNcvE ze)^d+`)=JVM#UgP!S@V#6~L@-TyfMNZLjyYZg;b!8jnK=%|;6$5>l&?=Aojf(bx(! zZFG=L5U@6})|8_f6KB!7M%J=fS`~w;^r>+XOoT+xWSJGAqO6QFiKDU@cDn7aefC)( z9u&Q`Uit2|UVl_Utb!;o56fZDnAw@YR#8LrS+gZ$$;*n271kuNE^Cgwii!%M$v9~Y zi>S4^vh43T^kJk?gu}-dHaqk zeD2w2fBy@gJ@d$k?_B&~Fzh9r*>8O5i~augFJ66PacLpcgK~)g@Y7e`_}jnzyV?1< zMzd)|*wpT9Fi9S)ov7IfLiCK#Y$VM_V{3b(91onsuYKin&H_LHn7O^OnvaK+Buo@g zMFNslcqq<$7!OOPhwT_*NJ6SB$;JrqjyT65C%KrQ8i9F zE)E8Uy~~y{8>-C=}ji!?B-fAJsxo2#J6IOo0%lBrqhR>1_v`0f|zTIT>c=DI{PzeyGvO z5GPgFuD=gXR}>VcK1dTsK%_~6q6(c(Yp37e8I2F^-&dA}v&Pz#C=$of&gk~a>Sss`{FZq?mqtRd#^Ve>GRKhrqyi^iYlOW#u;l6AjCM$d4z3( z#4&i02vQsWsiF{JR9#tJjUjGsZk;@Js@a)c-PmI5m^u336)S;ZUafC!Z|vOt;+MXV zrj0CZ-q{-Oo5@a`Jn`;3A2`=MdFo`E@Y?!n2qjyG#8KiDtd0OEI-!ghU}vqdHiS?w zzSjVABoZ>E4@=D%*c`p}ou7ai-(I`<-kaw-vkP~(`i*vnU1rG)M#D!>9qV)(zj*b{ zh50T3++Ml$gCD=y>s3b&&K^E|=*U;U008e^UU}v9SHJ%CXWB_qm=P&;>GUTeh!I0j zBt#@ODjgr@eNbj3HeNI;d~nUbe_@r9%#c;Znq;B7&W|7h1f<9esvt^)pdbPhUZT`9 zECoSDuGMk1I&jKX$4|<$KlbmRX6*6HzXCx`jHI=4%LFx1nN89g^j>=BX3mlo4K5ln zU0#j-S6Ic1ziwEZM*yGEo)sy?Pc2dP^ls*y_PZ3$x z6p)#;y>f2j{4zXxVCnzyZ$1m4uUyz}0v~MbBY>Fn4xcy$09#u_XWEuJtz=m=HNdy8 z_h#l@f2$w7*ktca6#zhke&=t$KlW+2xo_0pHXJjyejH#9&YnKRKBPtfpcrdeY?b34 z!;uHxI|+AhTsilPmtRcM`F3}1ta&ApFc3zKz9@##S80-|ODvlZBUBX`tDP>C^CB@rN!_Z|R^vBU-x zA^;(YY7xAp$cEd^1OR^V`kSkFR~wDaPOtxypS-xWwO$N*`}Z#=))gTb&~N?0AFkY8 zO%rPXoHL_g1pv2h+-$eo&1Ngl^UNAE>Cf)1$w5`EVO1&1O8R{!))*0MZ`-l63Qz!a zLa3}Uq1GmRs$uZMco+{$ribxQ6jkZh*LUXTJB?=Y;2Py*<}`7Zq1vVhK|py@0mS=e z>w7-L2N$YwmnnfX8RR&CsvKAOh@&_Q5e6Vmzyhc-e(?We??0a`$*wy=@SJn+b@lWM z9g!Issa0iZT_~sm0Rm(!CypXf*_{5m*jldyqRX186x97_v`NGoS*7<*u&KL zLeKR*4UbI(9U&i^*Is>UGST-ty~PK2E?&4Wb^Q28mp@0KKnK-o6@c6Ay*LRkojaGe z(iO_OijAle;Z{`^M&=9edCm3Q!QMFw|6poUkgmZ24i!bU>d%7BDE@ zWm%Ssb42DLeGd=Nnj$qw_<;EKh-KZ#@PQA;(SktUd&_Jnijw%|t$SCle>zR_zxnU~ zSCdI8ilbq=o5b2X$KLPkY&n3Fvoj}WX8>TwWp^Lk;~eDm=U#pFxzDeB)EsNR`pm^I z7gyG{_NvvI4z$)Uh< zN}qhTnB{2@=`2foJ!^~!jP{-n2xcNk-m@?pt=V}HP^z%dLJ%NACd1&Zbw;2((Fo%T z=x}*+XM1lC6Gh4ju{IHze6QW>4!UWcuWf8BRFB6KM^yR22bZtC`-z*LX-tmQkIc;t zQv|W6=jH$u0t{r>>$JltF3zn2P9hWN=wPE7fcV!gkf00?*7tg;12V{kYdDPjJD^Zn z0{{XkG)Ro-(n3I{0LA-aPJkfntOr$)PK(L^$aD=J%|HGPl&=4RVqE_7z74@4Xg~r5 zLWUHxM;T7fvI-(LwUSM=t@SkzFby1xou7buw*$5WL5M-<`;_g!{_I>Fw5R6EQ)7)` z%Mqlr4IFQDj~^RfIFbDOA6{j9GA=h$I|LajiqHk%UAJ}n+!_C^ub$~`u2!SEj-|D; zWBdIhPhFf#-Ps|2a&!Ok%^RiolyxCs0uqxL%@2@!N*9#|-f5Dw#}N7&D(C-^U!R?BZY#((3-A8sN* zDC`=w5fN)G9>yvif>WbJYyk$wk$wXJ_xAhF?v+YOsWfgqqa7j=qX*%GkTs@JA^J%6 z62c?WI0RuqL0?>a2hM<_AMJk)m?{cXByf34vh>4WUd=DU0M3o2m48UUjXz>}|jEix0IbdF%~8*^6mh>k?t$J>)K5+uj}w ztF>C)a$s`|g9>7*lxA@(elQ#u(m?2$wW8G8KnDugd6y3R3R&p@K?Hr4Sr!#3Whgp& zWO{3NM}o-cytBGrs+3Dfh1spGt)D&Fj0sn__v)o6NfHDYtH&fcGneBsif6}Y1U!?n zA_E4@hBm^$gkdI@y%4L+xiCPjO=~z^)mR_QVdgCL$Du0rAc&qm2o;c;o|uV9p+l zRtjqM+U(pM01O6szh_S#nS1k%H;ne{E32#RRw;_2IBB(7n_JsYojLOl{=pv%vLOHr z(_y((0s!Z2Vcf5^!AGLPAGHNy=D}d-y$Bm*m-`IJB5)Ltvjd1n!On^#42-jmMSx^v z{m1YGXL_=pv`kOd-$TokKX|ZSDu<@;C z9RW_iK0wD&ohX9H8g#~PY4HwF%Y4F#yQxL38@qxpHj=;OMaf8*yre?PaWjzW_p zzHbL3 z=Y;5;bv8$$EFTgJYSr%#D%C1!)$R7eC@5@5$de92$1v2qFGCsF+)1OcP)_uTXQnrH zx9{ESXwK0Dd!4o-7bnr;{RiXK(ueo<*9XCPeY{c*HrhL@`}hCq{VQ=ae*V-X0}Qk5 zr*FTryu8xxb104ov6=D)KYh%@FjTDfMSSZgk$BgO5xw+c8GtX-+o_9%Vx;U9k zBH|T|jK)T~FaShF1VA8spmQSY8N?eMiimfXF+imbgK^}cM>yfb=>tA8uK4X4l)t@( z|IOb;0+t{TDRcl12myWW2CbQC^H0D1j0Pq_h5G;lfHK1o0taBO-LJHF{p=V7qB~nV zuRVYK(z$qd_lv3N>5o7D>GfZ2t8iv+GOfq0N)x7!KK;$FUHJY_7c1qag_7lA*@Wz> zgZ!An6)_3A zTn0(C8ix$St+jhQ*OygKCn*gh84UN=E}opFQiGK7XcQ=R!VFMMd0d1ZlmtYYrBA31 zI;Ml1;ZcOuuk-Q#EAgX;Nc(TI<~yWQim-EDD>B9)06Q<@5mlj#*V+^pFBc|`bO0F% z1%WmuR4VY!BYP#@GYhGQ3Kj4&dT0FT{k#B2MT*2{MmYF2V&5IUOjo$Fz(~?bA|lKv z(ATMod9yqG;m?;!;dC!AWjal&4?bVp9V`yVt8;hm^se8$Q;K6Pkf$Aot7LMoPu$xM zro78_^C!RjObfWwA!-rpG4i@DoCZlvJ8fBMope%bYU5j-t?&Qv<5S1aN9AC9XZ7mc zFL(E{&?F|R-(6WthgSkB1D6RBAR?oaYEvk2UV|uM@gQj-&QVH5F{x;8=!e5rQVw&6 zf^j7gfMKM;dfM39%WZFRW;zU#e%F`Eq*Z8Bmpd84u%@y~t(s@3DQfrj;~;X`aKGQ0 znuwIsw$Clw|Mc<|m!<(!hHWunNR$k^d4Je)iHnjvci!bxN=hu$>a+&gu$jzY5Doiz zsU%s}FIC4-;-$5=7ngSO5_nS;@tEbF*a5nne2zYXxD*u;WY{0t{cbl-)Z}b=kmhNJ z0~0_&dIJ`ahn^z%Fg6(hopsLUC_V}T=W@qBj6y*2>;aXGqRN2*#DH}+5EsW00652v z+gPf#8RzfLh~CQ{|ITCqr%9TLjnZ?7|;kLGLj1;6_ZUU{6m?U5COq^77(Ki0IY7T zi@@~6xK_yG5j6m8Y;Jek!p>YS~6%;TQTaw{LIn$nJ=!aPlR%*905R> zL{`EZz2RJpn-6ZxPfRPQ2}nr|oAuImYk#ZLjwmi0T{eXQ0~zkDFJGTI_Zm2G)~Yx% zDqua)^)^7!M1Cl=scBQk23KG3A7%vTwB^2pPC{?j=caE z;VdG=s60xoS<{L-`};W$5RuRnj>UOqn^UEQQ%6_twg258zEhfv{&)ZVKfZMO*o_Cb z7r)#cFPJ$@3G(7N&0B z-|6mKw2{xUecP7t)nxu%p3+L&-0a)<&ziAmy*hj8@I;G)oP`T2!xnr zITzOEN^+M4VPX;1cKQm@kSPU-oNsULr%nhs3Y2xitiAQssJgMb`=Pz@)WXq=N2YGy zzkl=A{bpk_2^z1w@X{ddZ7e@9pcr9sv6pAbOXuhMgUzkJ>j2z9Bfqty+zM7yzthjH z4Rs^!uUo%QflDD6cJ``ogcr_0C|>hemy|{%AcvMw0PI82aSk4}ZJW=V0B~qsn))0S<=hK3pl|kvcB&o%Kp?SB<;2vGyC2sZ@%0;vAAYnp zId(LHV0VN2TTYq&)Y-)g=jX4l&0M{|7mYQ7oFrpQd5;HQefH@XY-e*@m&bl|?E{~d ztI=GJ^64iVMpjOr9s|fOT{!xm*4D~l#x$@(UP_9SIwX-tlxQ$)UfW!EN=1>0j8Po) zdVXu;-jhlGx@MBWtZ_MB^n9GlGbU+))7$ zAgx6#g9kuj7IBDb6dQ^Pz$4pHaC5=N!^4#A;ka+_Apq!a4|>Zj_T{e6Y7xvfyjNxCg#foZ>9D0JCPUT`U zVZ-Iar%zWU&*x^wpFNdqW$=Ta-`m?y4FM6cXL>lcMD{`eS|JLs%bm*!1qq!vSNPMA z0stwTz6;+OaRvz_MBrAZeQ$Yv=HkioXHG7!tX}>2oxRm-M`vo^dj0EXP91CQZdvb5 z5H2n)nlSu>Z@(3S2uQhH-rU*SXbt+AbKaYL=zSKKN;(MAY-p`F#vp?8w&>WEG5{p( ztanI+;Dv%98ed%M4AOgfmX9}id%H*I6$yCjfe=X~c+xmMSx;J~C+qK}Wl})l2w75v zYxZH@CP07CUtC-QkaoLu^2G5Yb92T73e4fH_`pXASy;Ge4?v2{O^pil%I3yLAAh*H zwcYLa-+1YT*I#*qaO6QZ>}3ds0m5=HH#TTsz z==$-ko*MRR*Y0=j`&HOpz1TPc02*@Uwm54dQ;OoWqew^2_5+gufK9jBt-F~!7vKa6 zBS@tb1W7F)c1Y5Qg+LsmS4IW$%~vm;ZH7JYee#2>zqczNf85$!+wyLAsu4BR_;L?y z6f`s>kOfMwZ)`$9@mO^~ZKH}}3LLXMd`dB*2VxK+(F_NMzmJq(;urvez_3_IZKOgB zwKXaMfqdvCpP3u$w0Uu9>DsM(FP}NF*XuWC<`x!C0DxjCSDHJm!R9cZsf`Qxn_GM9 zyZtbTP<&=HA^?Rf2!I5IeQt`tKoCSBSkKOrA^~M3uZ&In!MEOQ?`Hq{hrg&COR9+h z01S1`B!^zIu0g^)G+)<6Adwx4!n~biEN&6DxH4*4oM03xDvv-zib_>GG{! z+fOu(t}b1_HPM_qar*Y1+r&IKG2Yti630i692xfeNvTpBpN2b2KsYu&t`MRyynf?m zU_ujyqMS{${noyS@(c!vfcJQVh!=NssnHCBFdKHmAoi@oFx=VPoSU2aSKs+6DFA@i z&L8>FSm~3G7bz}(vsGEVj$^7cbRAPmgd)uC zv5Uv{g2LGLW`yKodq{9F4V`OjgEJwmY(6f};sAb_xN zl$3G^c01dD{`Qyu_^THuqIfsuAN(v znJxA7xoVi$VLz;USKZs%qGK^+TUu$FsF4bTtUn-|k~5kVyQtrRkb3onUD#_HomvLR zVGu~vXs3RCvvagjPmC7v0DcsQCI-}?aJmp;1;ho%O^O&N!N+_vb1>#V{23^W2OX3kA}K!#1R&>OtT8SE?e@OWfr$6s5dtEkkif&dHGass z@}STc*pn~_Nr6cbF^V8)g~$j%2zT+JI1)t@jV9QlyYHfk)&bolX#h6qAC! z1<%z$J@k4oj(#(6(wyFE;M zVc9s)0;XOaPoZUd-Po93&z34tyW7g#)gt}a-=WYNBs*PHII2@#%a@7p7 z9q%Q?C{R%tR+z=+Sq>a1SBVlr8W1x{2nax-4s*`?{oc&De(Bsaz%&CqbG$J%J+a+e zvQTB!ed@yO#km=PlfWJ*`_>yr{_qEPy;k4;#!DBcO5!twAgP4E|IPDWvbCKYC_I1h znRCaEcYDKDce|XFjxS7o?WL#wt=I6SXUD2a1BC6tRyopR zW7C^E8|~fAQpm47cXTX>#JT&+ca9yMe)Z+)KmNhBAdCscizhl@&;zmv5fQL>$2KEz zIxqs5SxaO{1)doZkdzdR$)W`C2%fZwgRru?(SeKb+_{t158nUfJGQq?ssRAiQmI@n zrOd{d>FL=UH*df4;&ao@rhp96EcMV%v#46H=b83d6zC{P(kvG_a2f|@&xcn=A+H5B z+TQ|3oAT~1FE8&UVR)BrA-E(81Tkl3a6smXoaxDW(lR|+e@}~wG2(Bk3KW7iX6gQe z^|dub`Sg=dXJ@9LefC+UH3$(Bi?_~#!0{6cm2&wYK93MkK-kIh`pS)4x3)HSW~OK3 zcIWDqo2QSTn3$YN(=3QWA~c3q*B0xI@rk-VbK&IliC6yg&wp`$>HdBTJYo`HtqL1! z!+V?k?#9Zmt_~`-@?;Xly3$F5T;jOs*GPkNG|WmNmXfF(7#=y~VjNamJK5^W=81&~ zl>`F3bnAiZ`f>KvH?Lp2xt;4-LidQQyqN65L2?T|tgR0VF+?cv zI?|*m%RFX2AW{IClUBO(z1Lp3H1XPh_{qCBzP$4CnG^rwD{p50^-w7QxUsyEG57kz zci#W_pMLXo1U2aP6tcCXNh<;Y2Op|54tgNpAc_0qLB+qTy?*lV1pFek+o!q*8duww&ONU>4{@L@dyxwe9<4}`A5TBG{ zR1idgXUE}Ovdv}<*6r-R501QTH+Sy*&Zzq!r!Ap(u$!UqLsg!E#o%@;F+uhuG z`PHw!{7Pduob`C*|MBlW%kmTeSRAOl@KQVs0mVX%bZ8X*m{~IeG9jQ+giHirLiTxX z*#t&u!y$b=ekWUoL3I6_tg z1ep&LrUia2UiQC+`dIMUMlhhTXYq8H{s2gMU_Vy4( zE6uLR(k_0Gf24nt$J()?`~(`=KTz?j2&xW10g6IY-U~Ayy4!1|3P*bcWMI$!@JS$8 zq^bcvylWu~g4ca)r$OsmUwQV~`B@7>FrnboPJVr=QGM&VlkZ)>x3*LL-nTC-#L3OI z@c;UUKYIO}FUG*1zq`3Gcd}FuxAyjDCu{v~+TOWS4g+KCSlvy9d73!`)Ei{XnlJ=L zK^F8{D-D`OhMm51!@2QtsT>56u9SntyW37g+3ivsU7T;CAq&aQKJV-dv<`t?VK#&0 zh4X5yGC4U00QWYx$HvB|rY8U(%e{5OlP4FB&&?EXe7Ciyq992^ZF0!FGgGCZxb5xT z`RQ2(2(>wN_RPK2%efskF3rXPthIVq@7!59KHsQ~L4r&+^egd$g&ASAj1sMe|2-#ReD;`}f!C97+jKm6dzSD!!k^2JLpU%Yg<3;*ip@29D)7-IoaE1u1d ze*Dh=@Gt%Vkvw2v0;6bha-tFik*0LmONZ%jI0OJ=f+BnI0Gd6pMTe0IJ^~m;3WW?( zSt}H+17_u&fL1^nQ3IGKRos*Hq-A=t{=QnKkp=_*`eaoAOb~@(RIXG&*yvz?zxDm^ ze;)zLwT9AxwYm2m3BDVD=ltn2g6Nq6gjgxU?lA55`Y70JOjXKtX0_Jp{`_a}J@f3d zCNRS+?e_X2d81K6aRzjHO7Ee%+A2JrIp^KU+N+ybXr|BV-)I_~?C5bJQz??3m# zc@4w>6VJcs;O><#w@XoBaDd|BApfK&IEu7Bd^{;w01-vO$Sw||sFV%|A6~vWJ3T%a zIv{uB=ACJMW^Qiw7dP+x9c*14nDiFBxvGt^}*`ajn%b@Qh95ql@5kl zSBW$!^vvROept?nQ2_wvS;j60VXZV00EjWzZ}a<~+^Ib~H#uFYKm<;{yngSC#ruEo zd*8Uwtbg+P7ytKv`zQbW_r85}X6oq76aaj3b!mBdvs|uitZ#mK{q~#BTv*)L==BGv zfKikQy~o+v$so|73X}@(+`M|{)=lf2b$O{$Z8Ymki??5U<<Jy5Y#~s0_0Ih5v1W%fAe~)EOV2I+uT`x_To6e zJ)f?}@iD+j4OwxnoOg~AUmxEzeu?QHNe3+Su8YFWY_wOyQ4cL~@BLQi0o)|$X zQ^it~A!|m@F3k6DefD8cZ-C^3VK1q|`R7iid7AUSP@$M7b=WzK@{UA=`2a?G90B?- zX_FrBvJi=2wpw;e)_$W^DG|jwK zN{g_7(62`tKQwV*0T~UdK$x}GN^1cCMMRW4U-<0-9A-fjj*kxyg8(Q^nn*^lCVB=I zP~Zs#fteYI1W|;T>QOnGoGAnNJNMV(a0-`&y%3e*68)-+JxR)q86{`SGIlG(A~=UnMK_;_iyQTummODkKeG2si(zIN`yDF9e$cfwlrFMqzg zcz>r>ih*-s0Tx8Bi0?12A8njyb$TCsa{CXy`BEGi=W=JWEX%g~`FyO|yGpH!pgz8} z-tDyVJiT`P{;TJYPEU?)?CzCI$?{J3-S=-G3ZlQexPIbjb8d3HH1SPB*xm16y|HWb zU z{P4XG9&GRJKL7NoW*I-fwYISn)Eo1=d;3?fZCsqM6RNqfqj_%s?47%NtEyg`j}70x zyEc)SL62(H`Q=^z&M)saDkbkTVK&-$=0m?k1aQtdn~`{}H6VM>fTXkmQY;8U2j=^Q zJ;Z3*kUOi0ln(L?KltFY2lto1^77NQN@g>#){D&WZ_aA;|db)PM+rPZLTB}dwmO#3L zP8z6cRMH=Q_RDs*H#0GF`_7g27CF1@e2=Ki$ZNYdkIbCb+T6NvD^E+;uH5%FFmcec zu(#a`#i6%0&(M4JY^@_q;v}3JFK=(|x|ILB|K^3R#w#1GFCXmTSoNb```vfm?yPiP zeEGTK3o}V=JOkM6_I~xn)e{S+P92@`0J$UYGNlqkaCruDpc{}!RQ?Ywiylec!2`Y& zC_oJ2@`oSa^!{3@9M6o+K3LlRzuew52w`>V^7WN#x7Nrx0qG|I6e|pgFt_sVr&psW zda$%wiW?4)i^qsaVJ3cHY{-bf9>wQ|Fo*(ScHSXkI>_qHdbdCL{tw=bYEYS}{`1%0 z`pU~suMN^4{NPu=tX2m-<6Zd=fB3;zEh6xlljY6rxLPtQst8>E^vcrx#cb%DmmmsQ zyf%qv>h;nnDxv4ww{EYltw&L8dmXJ6Ahuh30`jX5KKX<1{m%6C%=_=XHy92WAPjXW zsphHcbh^z(IYD^bjRcZ776GF}q5wpQu-)o>@X=@AedC1}UwQH4j~?7#+W2>W`ip<@ zd$0eqZ+sg7c6!?`w*|^ZDl|c**VzE8!2sCK`n~%%_qzk$tk;vN`WQ4LNnPf)!cq&V z5W|Z?1>&%vty*{J-+_MvWotB=Cn7**@10YGq>Mqz(#(6Okpitn(0dE$6=_o1OYZUv zK}5Xspny?nM3Rve)ZcndfFL8I5>#w`KY%n;>6@<|nVvB~mBsbJJD0b*xelWmNMCc$ zz$}0XNDADxW>)cAO1Zjw724~Uw>&i?It?-|6iLw1@N!578}2mqoEJ@4?)Toa2VV?1z>gabPY0A^;5Iuu~`62(bwb7n>= z?jcN%`7_`nV=wSXEDS89wDUg8bEOq23j+-5W^T&X=aWRy*Zw{Bc};q2VG z<3~0&JHPzk^S8eCtRVz;Yip}N_|q$=Clm5v#?Dm2rR7|#303_0=hp}AH5l5|VbT~| z-)N=jK&d#G&pzbOHjptQ2x4kMG= z0lVJyTMulfmIMI+_VZre592TZvHSa*AAGoRd}c1B2JGzheXfw5%R#(C6n5Yb6SC1e zW@bXxiZr1w=069qr1M$u|r%k|K%H^@l;Sc`e zy}$%IDCZ99<1-(9cH`sEZ?g9w{Q2d}z}^t7u62x7#B2(6G$IkP_lL%iND6=mab!0l zP_RiAA&@2_6d@4?v^i5`1PzEfpiw+oPu7!`>B;&#UPW>ajijG)I5=kj0@&T%-P+oj zm>6UBHqV1FWbaAqvF6zH%*@dvb4sbrt<6fMd~ml#5Kw9q^189L6NOf@@x>8+;f1CI2TS$jrE80gSYQ2uCH6jN$rT&iKe~X z?9c!FBSm>H3xEFJ=SDu&+Go95yWJ~SFbDt@thTm3zqY1BLoSK9y1lh^b8$VWmQx>+ zDidkzEDC5qqXX+9?GK#A-}&mxXX+!r=?Q>vVs>rs@=Ud=D4nV`UpVsY=FZBU-d;L; zWUN}faAbbG*8J%&f2D}d&rMC$;?LO$h|M#XWnrKTxj`|rErKAW0G;r#VndO`&?*81 z$Bd}Ls3Zkck&(fK0`dSk0anX{d;sJ?EOUx(kf!}ooK)+L{&1MutW<7_1*I8A5(tmP z;ynQi3kox21j=a82nsv{dv<9yjKVm}_d&(w=*Zgo-o6`xhf1I;Wxbu2_R^4&FexiL z?A%%2HvzKPuvW1K?QmELqAa%$9_&OxpjAi;Krjg6{r%p%?|rC<*tw5By*xKF9Vf|d zYp*%h$Q-}>-Up>5UfEy%tDnW1aCv!oYIZKOw$tgA!p8FQa(A$oRE!BUpm^&;tpgLJ zPTyHtFC}FWWG}`j=WL~1da%5{ou*&E__WbuKmWz$wbivh{L>%(x4-|LvI?Rk@!pSw z_h^7h#x#NI{XzQK+t;&J!eK3hWH?km{o(Cb-aI;ab`Hf`>a)tl2>`}H%zj9uq9C%v z9wHJxjOu!1!T<*sj^K#pf;x;yDQ`0t&?*Q+u-3Ue55kBP`P_PDQ98hY#2JM}lq!pO zn;WH&fVrp}@Yjr@AC~Zsk^=u`{vJNm>j(m(C_!WZAaFeJk^*$T`o`JQ^W(*}|IBqQnJytkdZ{xckA8kI4k}cc_MUyHsP4J>+0DKBSgBFoJ#oG^=u|h>wv)1Z_LXOodVR3Ie(UZQ z*zt*SZCmyFG&C+2)?UB^JHHFm?e4!{sm#Cr>T{o6y%NM`sy?E znDZ=yauOI*08FaTPYMJ6_0->AKXmwOHe8Q>P7Y#b5uwP6W#?Tk>=c4hB;tXwaPuhm zd4)&l2uVU3bxa3d9Sj1Dq!cM|)(epHPHR$1S!+S5!h*kmH-9rYCQ>vMF3(xKQba`F z`65BvTgzfh5E5xFZUaTC7y<|oK8%nq-qykU700ZJ1jJkCT?W9UNGYupfe4X~q6&ao zT-}|TXc$AKO0^IZ>cBYY3K#$iqez=DOe%>|uC=qS(=K@w0P$Ra4L6b<_QI8fzs1;F=vePco;vcKOcO8@&0*1lNk zc2WwB_L{Sbn-vEz$oPkU^~wF^Jpq5|!or&`pUS-rN~Q5xd*qpuA6#Ah z{*OO?>Zud|Am0o-B(UD zjusms1^DA1-@JEkqgss-k>w!~6#%@5QYth`ym!tbl2%$!38<03_G&PSU_ooKmt%oMqLmh=2j>ud7FFh=B6f&9i3_K?G6ULy}iADuZM{2 zJrc!9BHrhPd*g^JONdG-Qc4%k&Ek=XL>|Pm1J7X)^t%1(*o1>{ua~K)!t7B%=(pVf zpR6bANz3$P{T(g@Xbpy>&9Q~!?e>0PbT8`<+Z{q|HtPLee{yU*)XF&@1)(Ml07a&e zwfS&3D3>bQsG~<_o%iDtW8H55=B+zJ!7z%IR!R||kOG%m@U*|%-l~=+$IGkR+n;@Q zU8yJt6T$G~Pi}8#tW~oF+5&iu2sp?xos*(WDAA@T*rx|} zm`VrJiQ2J>pK7;vIq0QGC^ZG7Fl8)(pk_yaF;IY%4qI>k_~+`iODB)a0zl6E<>hOO zpI(_U>i1rM`l;8yN&o;00MHurgq?s)R?7eEyKfDxM}QM^)0NtCx7!Ygf-oS&g7z?) z%pw(G>IcdceB=@J2tNmi0Z|o9G}K;uP#y^s3JObx+DuJWqfn8g=se`Sx8KY9J*Rb= za)2h##FP!PZm->{*Gi#66jnv+Cd4Q}P6H?ec1|HhhO#uXxeU{8nhJSIJ!uMo&;qpf zxB5G2RGyG1WG_TywDQhXo3+$s)^a&6^T277luZP}BFw-D3P1r;KOGoS$Py)${dU&` zI;m7a8A6_@jEVQde*cRvt{O%4=6Iev&oVVRYg4v1UEgSLTwQZ)qcCCz?5J9*fhh%H z6qm|{3d1?)J(QACzt{ag{h$AD|M+{~CN#bN?&Rde_D=VY|KgqB|N5H)CniuMwFv?! zBNT(vds~C8RXx!d8^F+}x)L?Id2e&GJALsKK)%#k?fEn^$p{AkMIZnQK@n&{^_Yd- z;}7aW+K+-DOhhIKLBx5NJC2k_L(cm=&$QNoR?f3nsgz2?)VVy5ONsTKSpn3QH{>|H0p0~}X&wb^Xl#4{S8;2oDd-g%9GKE0-lrt z!mt@Y8S3S{yLmHArWVdNG7A%9&Ai_i$;Fuda2<^&AogD1F!X9Pv;Y_ZI=}J6;op3K ze?4+;bf5(Q3B7Zv%dVhfTNhth~}%kwRf_ zT?VMNRyt75c|P=wK>8a!5fK>xRe<0`7(kM80^rj;9XYA9JR+hTB~U$PcJVmuCV+t< z1o19smwB6dwpwX423QfZOS4+(C;hHWqJf1Xw$9^teV`TG{L}J~clH0Bb89KMX>O zyTkPSsb@lf2iyC3#utxG0RXWYFITfvADJ4j0c;M^e!IJF{r#KUF(qM%oK;Aar?R-Y zrvkNne{ZTeC8V{%T4f3VuHW0#VQIbFUSHoBZ`Q3#K?FepbqGv~Mg;)utala!OlSa& zM-ouXR=h<#$P_+6Y~*mih(l%pK5(j02*e`Hj2@ICP2|KgGYE(<5$UkRUKHg?6wFSJ z=e<_1m5$Y_p;p5*6Xt>{ntPw;xz<_{6=`;eNT>inkwVh!Ju`b|LC}T-U~_xx)R{AH ze)YBg@WXcn$U2LJ^rT{YvYxa|PuBmkEt4UfnwlK+2TKngghrh?eP+B_OG-(-(L|QW z81Kb7UoBUZA^=cD9)$RAw;hII7)G8U(WaldcBdW3kubAxlq6vo_Iuqd%Z=9Qz*Vb_ zW_?=NeR}!JUcVnCVV)0KUCAI(lrku05|2VrjAZh>V?C293wjUwE8l#hG~Qgh zao3eX$+MDD+gshz+1aBD&vh3OQsNLZA&@$XQ(zfO03<$RmQb6a%}HQ&Y2&BER_o%q zsp+xWoBCyR~|GerC!7T)%s3c6v6{W_z!FW4YtK zM?&vCi2xmB;1&XeqFEZb5F-{O7>|ey#304Inu?f-!Y8eu!7vCaAp)Cck#gVo%F`F- zn=I&244?+s*xdWs$5++|)_T#XhiUf4SI$i|lBKncyw}^=SnswvinI~}ASMQ6ji5wG zqc%FTu96r5oS8V?_J#_O*E@NnqLrJ7NtK`*<%vK~Z{fbxu5nar^wljklBOXJO|2#!VS z1K+tl_w3YeIJs^^LqipmV0(_uG?)cna~dA8$( z27~HwXhRbgJS74}h|Ee@qtXZp(Lby;iMSZx6CV0hOQB&a@|sK_fZkbVkBC|+YuP(T z8WaMfSZ7g4JfnbCq=;D1c@PBD3Xy(GNG(1Ff#7esoPV8Oh6qB=1DYrbtC6t)xX~K? z?6ctubB*WDLM=>=%#3|`&v^|)BMv|V5ADFJnn;~_HjK@!)r~N?s@vskA56`z^>^=V zLeI_z@w^odI1ktYX+cB96`@L`XO}itS03!|ZZ&6*WTmq2cX}J^y93M1n^83JtVM#3`%L@ew*Xl;x!&bg629*ssM zC|Jlwv?1XUszZb!xi=c4ro zpWXTNi+ce)qixQT$Az;{Wu6pIHcI#v5bxDpBCF;o{xWq^;|uUCD)+Mczx#T7fbbE zCJB@G-}(6N($4RH?V`_|q=32k=!?Km$Ik3 zd-To+x2jXsv2q+4J;XC z0a6M8n5B5cfOryr$ZccyYg( zyfAChPvE5|>q*P>Wc@F>1R#i_$??EDuM~JMFTL=5IeJ8j&yYJG1i_)ax~LVk*3&an z#h{1~y~xhaZaN%lMNHtGZ?{|1Q`417%{eQ~8yh>u#9w}Kb!&Tdt23mqVtJ^IQbt0p zIwAxdLTbT+VkWX)d+;ndDr;>jnf#M~`Q6&g*uVKt*N4815(l6+H(O`Vo^QT5_uzUu z*wieLkco9SdWi)$e}m)fX?GSYO*%-&||VjD6>uuU}ise);L; zPuJIev3Paz$Vs!0gVik+t04GtdUpJaFFzN->B-654tv8W4rjt7Twhs5_RV_r@R%GZ z{0q|JLAaG*k${W9KqN5II2@>72|zsgLJ378A#v!_Q`40qS;hft5CAwr@r?) z-zv`P9>Awt4|>Ctqi|t%wmv&sY=)17RcT>Cj0oBnWf>m5n6m z1!5LN2{03T@s5<~#9APB>IcNZ05wNlNqApv;LN*e&Rw!V?4!%`{U+*_X*AAkMQ z(>%%pgU@g7Jy_XJ(@aF`AOb}QN-6Ah`wI)x|LXVNEMEVa`6Higw0`mP+u(J-x1IZR zlB&fKw=N%~U1bu$U~cyG`KJaqzq}l&Q0tl)CxT>CL&In);vqapwRVL&1g za2}i$D$u6Ui$Wk2@mb~sNgsugsccz zrD>`Nqc9Q%L{<1;Yaj%Y-$()c>unOsZ=HcW{3av@K>=`j zvrsik1$KYf^4OhFsRz*F+r!>~>$Ri_04e)HXLI}gFXPr&RZ68Py$z=Cni3pOeMGQt zq@^KCWlS(p#KNA8Azf3Bv`pT)#W!zugCvi%cU<4^2ap`2a59G+BJdd`T+%!gjBFkO z&c5@@d!PTRm1^Wng+A~>U6l5IPzp_!Wlq^XlY_D;iQ_}_P9h>Ykdnj56dp#Yo{t_a z!vCBjcOW_%wM}_83`pX*WV75kN2uv=SfY^G6QePq2?FZ|grIbQq?j$ULqrxpLSsyB zt#y`Jbf6H?T5DY{C`bwkVZ`M_1a%0FJ^FGBix*Nv3?8Z1?VdV4WsIzEb}H4%#%h~D zqkcp`34E9hg$HO8f&diCECc}Wt@9~*8yX@I?{Xqk3P}ZQSvk6MV^?<}m_KH5<(&^d z3TiKvDf#IyZu`2aPsKa?{jGb0N*q=jiNz4CA!Qa<_ix|6Ukxcjla!jygMxsfFs|0Y z>)bI?>_w5%aS&i}Zxr<2AbKJwoIMz*-OH32BZa8?L83IrjXkT}tuufAOSIISK+zT(6X0c;UkIc>N!J<(aED z9{kxaK2N*p-Lc-x_%lzxeB%C{Wkk?A&+G<5BFf*svp#o3zj*fS)6br`zPLpIQQ(IC zb;*)^Ffhtk=beZLaX<#V zLVoB4!u;1J3x%o4Aq%&*O55da9ZriW_y(f6W4U8Df9h(g$F4Y#*D zwZ`=7##Ueg9atU??k(Ruf9~|FPd~rDzO%c#fBni`5lum4OyV4S;Gk5_AOJ8yE?Pq; z)NCR}NG_willS(;St1;=d+pmV#FG<0fA4{jT*Ty@Zx7m&XO5o!#>J)2H@EKI&W4$y zNO8!+)Vg~?RF<%g&?gdP6jWZ25tDLs>AAB3z_Ep+#}+fKr6dH+RO%f#n4UQYqnunHDQw)?)(zKyA6@_Pc2|o`Ys?g1xA) z*9nF@s*3wHjU_agxjm~rh%v6DeLRSBi>z#{A%cO6!ctO+dv?g$)5ifg_US-T-2qweyjPxrQdEXvD&7~m zcXDasv;~%+7b`&@u=v8Uj)evMVTFfYJ#tIL11p4LxksB#5b^AbL3)J70CDQsF$xjV zL8u+Fv%*dxk+4FkCb9G0S?h8;@)apyCI%J^h>Q-sb1uz6>ku^~_}q~KP=rW;BOUxh z6Yxh)t^y{sz{tW%WA6RfShZR)Tbl!eM#KPVFM#idN|$OBui&Hc#Me2$(yG z<5Cg^yL&tJMjZ%R?Y4*ygiW<>8pgs9^K!Fxjx->Hy-q4rijqWt zozE4KU=WsKAR79ks0pNf9=U+pF6ksQhqN>(tzwzc9 zPaPi}Fu1sA`^l?$W855&(STwX*?2H{~l=SNk~#;8Ra6{N5W+0l>@0 z5;!)MG2h+pZudH8&W?ZY&94x^i)DCmq6q+(Z`@AVM%woVyHA}z^5)a$4al>nk3M_) zCb6Nc21kqF@116=O2=MNh@T3ek`Ua2dFPwS6y^!RMLxIgzr~<<@%^ zFJhF^NX(4FEMkgrQ}IIyEa#+@=i%h!PZ~cemU9O112K?$IzE zS_3|uDEu8c=R8?YTBaxK|F0K&FXDeK-#`E~;cut+&~F~ij-KlUD<%q*F($WtR6)5? zb+#`ZXti5O5;dx|MzwbR>YeufkhJNfHth{+W3{MK&6orYf-+hQct?n!#RE7ANaDm3 zO1}@MjvtN7;m?2ZNvD-_hUHTA{>J*;Li5eHp1*Z(cm3*ez`a^M)k=3;6yY!^XQ}nz zOWFj$7EytO0LbD!XRS^@s>Ir+sFT~P>%X{sb!mO`D_?!(SP($aJoDOH{-r+YNI=iM z^~x~!rI@nee#r!hNFaOqB?c#n=kyyJD)vx(DqJ<6q4e?vlR$OUcd+lg$TQl zzT!hCE+wdi^np19G7vC_QKjGRzx&~p`QLjJP$2^gA>j~UZLb@~iF0h(p^h50@jG|- zb~d;3JZ*P+agr1@5F=2bu|WbMQVKx4%c3|L42Qq`v%h#SJ6+N`0B8@o$*Jd~v=bpS z20#1hdxID0*h|l5lE=o+O^t00d|VlB?e~;WOo^btC`w2b<3&IQVewqNegqOk(x#A< zSjz~YgV3=j9kMW>Cq=>@5VPC^q9W7lxBu#AKT8Kz&^Xrn?Tzud1rV7{{GkBs07Mq&O7S%R9Pd8>>RU7DNcv`>EMBm=+vdj?bXWK zvXDZpgUa+6xYc?oXj{G8eNe5sG#>x}k+?jqPvmpW5SqcwecZUd4Q41zxpl)~OGkL} z=)$S-pq-a)++8PI4Hz{bv!x)=#t+ksy@{}l@xbP(x6XQRl+r=qZ0?!KdnB#2cGj}Z z6)RH8Xc9tpsYgaIkJ~>K{!&7teB|FqJd?w5#$iwx0s<;vk760MFG_QphbrIL++~27 zM({uV<8z1C_g5|)dGi7QzsDp#R#J_Zg2Y7k_7WwRdDFq)Z7 zg1Q-iV=faL!(7siK%CW~jz|P0H_BUSSZ_m)iIjsO0tb;nG9rOP?EpdtS^$CyAb|&F z5NZ&i@nH%uRG+AJQOpD$ibdrfIw5EL!aCBehaJhz(l>?zeKrsPR4VPK1SG&79RPwALO=!H1LO#V!!$<(W+%lnA_oMGkP8Ti7Z6_v z&lC|7DI{VBLNF}Q>2=SZo_^}YcxcpMVBh<6`PQAg7cVWm@bvk=`q{6-xct@|7qdM7 zPk-@F>MF_vpkQWtW_N4Xu%vx|WNzGp@9wwijj^@8o&Wfgk5b#HHtLYWM6=Pe{jA>~ zt51IL$^xaz&OomCd)$WgtH!Q%%pL}|3 zZtDF{uC@ndP_<^|=!H`!3Ewi)zx*WmKToC&z3>&<=vP~pw$oGyYkt!)mnLGYJAq9N;zfETEmauz46Hxt)tVI z#1GCc%tlBX{j8A$`#YT<{pH7-U8y!3iojXx*#}W5P_#@yBJ>Er%HRwaGNG`*LC9Fq&7c&<5;T$s3Le^=hzAi7_BIt}0cJoipo54A^G>?Izn?kHEJ$Rn zwYl})3qbKAb3_DW009<44`QOA+wVFQMRlzs9h%-C-EFnDcUp0|Q>+Su$P?tWt zd=ojEot;HOqd94)X0y_0p1Qxf+v?{gtRNWyNHN!_LQlC%J$svW6%GE?KmT?u3hwXp z!Z=2oUV5!Lu>AJ>i#Hed7LLuo@%riIyTkp(c9!<$XKMfSd%r85?=9{O^4`Vs^EX!7 z?|ry9v^By|gaH&1S?4uFwOTR&J^&ACE`D-mx}Cw|t&O*xYDDVN>3O9MK!(iq0P2AN zWKebh8iYXlr6gHi{%C!F@$9h|1@b7=-82(rl+pse81EkfOmd)iF$LoW2ml!oKnZC< zLLh=f0FVJd@^q*q-dG=8UEF;7_%s;Fy+i;zy}Z@#nILwS6a`TbchlY=_t)-hE7wW1 zgeoWsY7}PRVyG-Ag)u0p>F3(*Ejn{ry>{LxP$>U!%Bi`XKVlb+^LHT^8gT{ z5y&mT(OUfc>DuNmJ}Ori^1OoJSR8<$I1~n95kT-#)J(E$gjTbP#CI1gH=V!I1gR7x%TR z_#pv+%L6}5y4!hRO0_5k0A~xD$B_MA5ZX*WkK_8w-x#}bdDAh^&rMAq55O*lv5iA* zTrYFG8jk@0s9Xj8>G6hTzI*pxB!g3@>QPcdL1H8|JIp#aZe9iT?D>hxy`$CJ_lDLw z6__ZFc6QUKR$>=9A6nAdWF|0#jsGi`%E?Y92g-2r?mxAfmP` z?fvzcajl{9>T}2c=dOMPi2tVHOi_*hwMWul|N0alD)?gn!6P5H0QQmL4#Kay&;vjT zpj7;@e!j=l4oo8CJR^Y*0)vRT7w?=nlu@7X7)uWk4;XxpkAetUymd@ONMwk-W$+B6 ztlY=mDJeyYnAv*H-B3m#57Dn#Pyn(>W-S94ZL~2W&ast(xQkwdLFfPvqT+(VLzMBb zNkaw@WbwejUK?ez%vu{pv6rl%0sB$D_d$eiv4I{^I^_{44XiK(z%{Z#SxwzH^{DG&r_foJ|i;W?4P^u#kAQGX{N&$%j zPv8Np&C_Zn`pT>4>k9g5Ix`+c^;)9|Uw`c>ZK6uazxwLgV-qES((ixgr62w5j`iN= zo&9~(T4#e{yT3okG6kwsEdxMq-NM}X-Q~f?#{EzW1{j%;LI(iMGTp4Cxy{-e0ZO3t zM6ChJY|NYcZ7#U!|J?{8|9N_ifz(0i_6_r*mY}LVP$qzm^|G#w(aWn+o=b?>%Zre$r@X&M4;Uz zI-lMbx54q;vS@hm|{{G+TLL?;7DGjfU%Yd=7BlHfB2CSrxmRX;y(abHGdgxZn*nRT@ zyT(9&eo1fj;X1#3dOz4ITlnrQ>U;MWtx8=PH5#sy`)yT86f6i1Ev>$sL_G7j%Si>{ z?&R2!t>E*d+hpy(GpSHCsC#vzV8?{-Wz3(bsJ%=>+rT_#c5%I*Ewz4UgS}hxnnay% zU`EQO@s0DnvIf2MxfYcFHD|wEtgN!c#qo1G zMQI1bT$dQnz}T_~8QPdg_gQ<V01?x%@1R#VqSWneBDNlqdIkL%; zgn_%HGB*o zcX|ypBBgG(Q;2PVM2k=2&-V1j>8e*VWu?2Fmhun?=KB;cvG@hHEn`RD_@m=V3?yN=O~=b;DTfc@+Ia{P}DA<_z_-l>uz71$_U{2*YO`@ z@eMH~>mJDTBWW6FXABs$WT7iQ)k&;r7}USYsJr%)Kb=%ILO)4YVx5m*!*#;YQxqPf zoS3yKFX?>e_+CYu%7(n~Vn~q9rDLzj#{oLc{sq%~6(i{Uvx&49f(&52z^l*(ln4_M z=bW)-801Cd(69bmp5j+cP{?toZkrQt;)c-+0-BjkB)y6Rr)y+w z2&US|jK;mkW8=A!it372!o0g0KIWE1c6pcYtBp%vrCR%XZoAj(i;D}MJ9y>ukzt!J ziW(*;g|&h5Cz^=7!%0#t+PTqfSP!q@qd?f-SONGV_XumG*6OPX2hG!Ks_z@X~N9q;%o(m)$d zPqb+UKrl};1;_5U&Mp_<4x34t#oO-)SPDrQ_ugd)- zPaFK5a|E;8md5ui7fU(T1rF{cTGu3vdoK6Kajm{Uou(`RtcYIm%xR!8slFG#HXrr5 zS|Ks)_L7p84h@M7RhYu>9e{ksm;!E{PiA_=H_(@uOJBeu5gmDI5NdL11W<#ZSzZjC zzf=5tA`bFAI9WC#bbj#1CblNZfm>a%M-l@XaNBp0q6PfO4?%S7xWIJ|#T? zMRRc<$auF-p2oaS_8n91GtlmTcaHC*Qf2WN!vfeI2*vW=Tgsx-uH31W<`a>?u{&{q z3`v*U2c+Y2yG!xn-r@0)vG@MSdK2XVd`#`%zQt88P&Lt`*Zya79FfBb3RiJ`zm}2H z4Piz#K@Xf8sk9e6O-bzid>rMSlX6Z(80}vUl~IG`a)Y>O;g$^F^2jgbK^@|iWMBGbS2W~q^Br#>o-J~wDQtI0UaskcYoTOBDdp&}9r;@!5WD?x zh;nn&%w%QdVdJVZgz0wK-a=#r6(sv{P(T;KUgGxG$oTpGDTrZX!UuE;mX3s}*B)h9 z?KXeF&hVhka|1q@8~k|CS&gq(pE`CsaIR}^<0Et3WOn7$)e8v;vQm|W64T!QacpN< zy;oL`lQ^H+v&s^|1!$JquO5A9P=P!4ac=Cia{RjaA9bwX7asifZ#Pk{c10|-;X+Wu?x_H7mB6W;3*22dY?RZ~FH*rwuV<s+!2UmPtKIZ;$<^aRJh%Rk4LCX|AxS;0tv(hVlq~S0X#+bZQC(Shx77+@5o1|&`l~SV9*si3n#5{GGRPP#7X2hu(0$ep5Rml-3xR04Ip0=#ILG7o99>z?CL`=S z$5`YHC=c3bP1PO_-4FU>kgp7RLzKn%a;2&hVx+~<4&4M-y*Dr37RPcr*gf8p>M9jU z%?xdC2b|3azICo?OrtIxCtw8#A(f`Z(@z^`S38rBf*s-}nI&ijil40l#ghqL4)&M- z*=+9-B?{w^$V-Qed3?G5XHv0Z(oUfjo};Xzs8(Ex*)K0FyW47^1q=z-%Bl;eLPL(f%74Osmt_xyVqc`!dzH1 zjTglrGxxM4_D9Hi53au5PF}7840_V$_8v_w3L8T9iypB2yy`_t>9RkC10A1&%o#xRyKcR}?-*D7NWdOFUW zc4#q)-1xX4BiH%6U#COZ>+Dha@ge&|72|6qx#(|SJWs~_Uy~1Oss!~94Lu?QZk>hM zu|$)dM0yJ`MgJ&_aa7|!o$byJsWa=)TjzUywr49H)j#dHKfN;Npt$nM3mTi?tUP3# z{#OVQJ+4Y8I1&K$`YbIUFr!QoAoN}q62JV6RPLf@ z&?ArWgQ)Q^6AdsbPA&xt7VzgFX;-jVa8aa6(0?0LC%+sdK+Bpq&8lGH_>*{vtU2x6 z!g|v2W@NUuq~8eR!G?QYI5K6}D$4@T!O=(SDi@As zcVZ(qo2rei;tZw-VzvK6&O)C(eh*y}!ZYaR{oNbt=!aVnBTBr<(9n5$mp>;RPdq`S zw6IVhSn6r&SLh2XRsY-hbphTmRU-n#$~&f96_*TcS4y@09xtcY^=LYoi1fsaQab>Z?u z+AA7c_N_3?8&el?oOhCl^Rw$<5W1p8J`pf?Jh%Mt*y+Z%3IOP7AT)Mtc*&;)Y_#oW z*OPt)h2prv`L*+OL1X{hn+O{-{9gU%U{T~PWN(x0T zq(7zGV&%moT?9r>4GI~HIwU0Z;bY;co*0(Itzf}M2bq^X-P?7e*wB95M(34-W@+Y^ zu+)$SDS&_!GfN#!QM%_n`6oKAzi$xSUnl?K*09p0D{4zud>=9q*EjB9vmMq?J2q+N z_Ve|%^RV(+Dw+R+Mcws*si-IMspcjzdr3$_*Is8hKQ0`g9lK>-k@Tt6uj&OT zKi>mRK~mso6^$DZg&=h?;tkS(iOrvl>O&k*5ZUCj;}DQ#KJ#?YOQBO#wpt)HIZAdOcd zIVkU(zs=Js5@YBKEG>^_(A1qP%UFivuySgr&_xdiCpj+faVvAqXI|bJgsa=*0MAl6 zq#%-D9v+U#(QQ+xA&o{9GJ{La?nqCs`H4<`u*Y;n*4(eX`D@S#k#&Fjz{i5}LgtU| z%0AzbH0+n1m#NIUI63(>rP?_p6%`hTF6@UShp=$%L|OL7t4Y(}ZUlXr`$`GqFS@~f zw1dlc9J|Oy>30cvLfG|7SCyAagvf9iO9(B#tn?Q$O|gaS+XRllI0b+4NgUG0E6m>M z-#a9n83PJ6gmG#`2}JqaP$3W4Pc3s5-%bV+2*{Ellt0o7<&yhVbRbBbC0~m+N8=#A z0rR4-1Pfexn#IBFg;1Okl_vbBiv8pNHoU}hRA>XrbLZ)OP~&?tFvr;KgCV>^fmBM}6W4iQ!qac%n=!M-0SBjJ?ahB~ z$C}!m*GKjwWbQ6pixa8SV~~bD*==ol~xfICQ-Dx1TWr zAs8Z4-0k&dzc8Qv9;}irw9bxSL3!Gf@z||@Hr099TbDyu<`gwH^n{V z($uorh8(i}PDUwt`^zHk%~V>%>4b+OTuw28HV|S)pa8-sn&~o~gAjEuKh+5;MX~yh)SCz5xD@B|QMRlaPm&PehfWK(Q0c8>Wh)XAPHv z1orOga~jZx7PB7z2os-(dA1^_-E@JkQPZRhXFY;5}|@P7aCAs+&vfzMwq>uE769SyWRNz zNQw_~D9+X@_sU|8d9FnJs$q?1iZnLX{$px7c5rA)G1DRo!2)}pV3fXwzUrN=TD{%i zd$9siUdPgbC~HB4@y`9|HG|( z$C9$tNX{2N#Jz*gb&z8qYu~`l2Yg0{uL*e=V_6q&cREtjH1+tKYsJxGy3BXP5=v4_ zZBbp}xkfw!-<~0Lk4^>@truqSEZ7?F>So8&-RS~)W7@gt;0M0mpp3H=ED~KwI=MYJ(Iv%vvA3J=(h5~ zzv-d>q|Lj4;-1E_;`l$z7-f$0SLD;XS;C}Fh(5+CUcz@Iw|H(7AE8k-KGso!lT@!d zVJnN_E0jT)g~f0%gzzN>p&sNb-Z+S-JAaHS{ONM@`mOT`ZV>I%$8Uxw>>^HU4ZOJ# zU($UJXrxl9Z4ao0|FCP+q{Bf8kx4TK zf7M2m zBCegOzH@)`z<1DMB{C@(RU}vEYjcz4N8;XAXz!0Tl^lS$#wIXkV-uIp=jNa_sYjF| zFCIk;Jvb8!jZ7&%naU|j!HEn?+`ofqC*wspeqk>DIW?=IiV#@wtkl^zjy+yAufH|3l#GpE&&Ps+S~#TUE8PqCyKnV&VyuZ1$-~+2 zM`HtHzZotLzs2uA&~M?*!dqTA^R}tnXMiniiC&id3^<825YomgpJ|!imdg|oAEBE+ zD1PO1d>xVa$K_m?R1oQLC6kEjvmDXO51mGQD(RIp`uU^Q`RVWB?_Z^<9+E%r`YzKW z)?2OYXYf^u+{V@x+r(IxY0Af3uNG5!Z4Xctwt920fxzEib1=?;EUA!qegF#!VA0ZZ zzwF1)LnN#E%|KTLBO~Hv`QcE)s}-Nnp-8F1G7>&293v4R94+rWS2|xUim*0_8tu73 zjKvZu<}Ee8g`58gLhvuI0j~$%>vN*Gbw=7$_#ur(vVTy#Fps#(!QPIu3dewhJ4`I+ zQz0FAFw%Bjg{`peVrJ+4-X7^r?8tOk3~dE7k?$jVajf{4oHw2?I^k3UmM$)~UN=$@ z(>7ygua|Eq8V$T${EimBo2<0HPw1*{z3LtsQmz(QqReZ;Q#bbC`%x|VbO@OGyU$q7 zt3EUKV)|amCHct1%%p3*zTtmm&@jL(tC#=_VVrb*t^`U}DgSa47K1Y6E8eilWD5j; z`8LbKLK)j|SvEEBg`29`y*is4J*64cJ3W-JDOFko@?;hcr6xlz$JX1_Bs-J`er2D+ zsvD|je;2NDyOUXHK>zd3bdSq_UOeD<%d;aByZU6VaXL$akN;DtX}ro+2b?uvib7R zuk$I5EBglku(h-s-~zPFnA5ceD{rl=`ja_9@Z~>J%K)rWJu4%NLEAxVi1-A#_+NxJ zx|ntu6^&?>3U^LB>Xr)bZ95?=^%ccXw%AO?rdpu)hH(mDl?eOEbXLnoh@fC2*J z0eZLaxSh~oT=^M$!3+%w@&{jlH{zTpVnRe3D$kL_(}c=8Fm!jh16~tsP{AA#Vz08p zhs)dccKRH=3idQp%$K?lJJSTAi738!RXT@v7elbFil;?))@=ECikkk*KSFtlNozGY z2|Ds#7RI_5xk zW^)KfZKfn#z4S-zZ}$z7(Dz@m@wu_JAs`|O^K2`x;_vf9X|UwOqa!AsHRgpzp_jcm zWYIFkbNO2git#UYP)5i+@sxxoSt7Je)zQe>th*9&n=W-~<2}%*X^HAkdf^CS6zC4{ z4X!^JB?7jCjP)gYETAg>jXA>x)Ofz4X4jFOzJ{Qk!i0m6IlX^6Qwx$1;g^kWuX;tm z;zT(wwE%dip~CO~#BfZY@l?I9{D=P0`!qlXNp{55xc^ac)7R8U=4_ELClV(%cYDP} zRVp&{{fPm`%Z9{vKDXJaYUWzd|3<+SlMLS$C>}^}vC+beA>_nhy7j@#I6w^y3Jk%E zRykGr|Eu3(?4J7Z+3L%Q3+~Nzr*0vNzqbTU5=~c)v&Lm_hG_gRek~=;mquX8zNtB0 zsBFBmU9BS1HE@kK5Ez` zpG|jj9?RqYlN@xcAFoa8CC62>OiHz&z((Q_(qgNZYBf`l<#?Vh&t69wu@0Ss$mTLATUZq8B;+=_R;q|Q zpNLyYi1E3^4YEUMVV~yM9JJ+Sy}eV?+dNi%tg_q2R|tx9?9~?>{(IOll;nCLe$)GB z^`?JzMrYMOVC zcg{*n3?quWKvcO;L2KertwPqXK)DB=6njD=2J8(O&}v74;(soWcQ4b%mGa=BoSEYa zQc>&6h<(L|MvL<<{Mk+?SCeM>46;5%W8(N0=BfV16p$i@YB5%hT!0~yQ#CwW%9hv` zGhOYeidy?k&z4}c68(*cSWQsK5n-f##ylzN;EMY_W(V@(I;_T9a@?V5VZa7B?f&(^ zm9Zr09iAxpxP6e$=Zqp%Pj*HB(9i(VFg%Z1ivY~8j$@8NGL8YKaAj&G3iNPQ*4wt(7;Nu_1mJhA=~dys(UHi9jotFCmCfGk+)DGz*CPX(6O3CJyIkPmJ!NJs(7>{h(%H=%;NfJ)B{E8QR==aIK?qJ|UT z!c6(21%Po246 zh0nx{<7UkUpC=at^@MQH%aq~kMwlN5oG(s({>>d)%WX-?i>Cvcoo@CEqXa20`=6C$ zs@dW#0Z;9oQ)OGdRnH?L-dzhR@EGhbV%$X&V=i3B;yi!u|K2Y9%raqWpp6p6q7%K2 zStJgkg%W_IIqXZzG{(rr3z>}cExQO7?O>Nh{+lhT3N!p5P$%Zx+=qP_*@mVD8*m_IFwqnF8;f37LR6V@A`qxIYY-BvYgz(}Tg2!M) zNQFu%p?cxx%|KAFvGY(qs+~y@VBuhw#A~YIL?X;9)7E5Q<|HzTIZ!neiphq3C2Uqu zj^0JZzBmQ|($U6}(u?RKQwgCOdTGcY@IgqxQzJc{J13 z=syupf@>=kLV(e={3F1_%iiU)nXR|GNvdeD$~Wz)7DBADQda^kFp#_7!bhP6A(2)j z7imW_bv#}VcFh-jjGE+7XgqSzG0#;?jk!MUmHm`2^oaovB~OaL8&&%ssDZe{)sMqc zI`Sjmkd?60{5K)J2@M&w?vmfp!#PBiYDpiTmZt7q*%qk^5*u^;9eb$std~s=Q80pI zNnlEHZ@`4838ZM;WcqAqxo7|vh?W)%BIIV#b{pbM`}DmVJv@)tvw#ZIlc7(YIOj_X zN{EdwFrew6WpsiDysocTBBWDdO#M!k3?R@6$hp;j1>Wk{K0bqijQ!3c>`i9oeBHNa zR99LP7E<}&AFq69MQ-)4mzEaCQfdDKoc3<_k6NNsUkRha9v*Y1xaX}=V5!NH*W1PA zH!oFbFyY(A#k1;Lz5T8p7H$@eW}U3Ec&6W)KDi1XQX(#Pv06Uh(>z2oSTh#A=wNAWz5oW@18=Gg^=2RoM!R zO-2xn-XIjPM%Qxh=A&_YHL%<+G>s*t{aT(#4rSjj^?NXdU}AHXG*k*570gFONlV&_ zhHi;kw&KN55cz?^KawCv{Z(LT!m#gj%Y=;@T6_(mbwr7ULz7{fgQ~XdG->i49}!_5 z$Q(U1(y7$gnYk+{*Er`^UzQv1Fz_^ zSH#cLu0g?OSDyZWAIw|BD$}W`orBGRNia+E>iG}ZUsv*)lY7dg9B>fq&ArkKwgGSf z(CZTK5`S%cSc~+!8c7)W$7n_3$cYm-?J$ot=7?K;{9oa962DVqhP=Hnb7$;Ezf^!) zEcC^^Hi&OdXAC?3Vc6AM+GV)$=7-si^%pJb%fKV9aMHt=z0LyP;`AyR$4=Sip4)SH=-bMvM2;$_MvPtOL1O*J;`fqL+ zcFx#$i&XTul7u(J4X!JTb922qVfFAFD2aLx+|Eo(4$@lw-uBE#6TIY)*-ZOLs4bh4 zmDTQbe$^MHTV$AMZie36)aw1^X(iy2>+v-zKfozD$Bu19FUn8_DR(gt_RHmEjx#_S zycq)}*~j?$-*xvTi_Y4<(IJ-O``ZG=zm)ftOp|adu8=}!_Jh%yu@llQ>S4TFGB~tE z9zXM&gL5>t##$I+zuKt@71J^YK^4PDP-A@=zUj<9Zrq&&Y(M|-v_(zyn}`Xo>ah&<2Mmp-^=6(c zz}@fD2GBP(I>WS(HHiSN`aIPmC0k8wRYZ>ZY8(H#RG zvV0iz7;8iRyK(n%ByRFMO-A7e3D0O&*HaMY4InK}U%zfC%fnd6y@7?nD{jLf9_2JN zJVu>Ha8ngNDKKlOnJx7FaNS^?3VqECLQS2xWcmY1apM$e7b%`v!~|J6t*GbcV&PB| z#ha%qe{#eN5SME{@>Nf|gN;dMl3O3t#EqbNzm z@rqNvlSL&;i^5{UJme!dml?a~!`sO^_6+1mSbXlM;R0x9YaS{#BFReMZqu?Yt5;_H zGiIGQvpun!%i{PPNafud&|3YlF6Gh8dK)Gqax`pE$G5LAU9iZq_)3tmsEDaabXka( zunenb9af}cyY!;LGDO0ilXFr*`(=;WMf@BJ4Mhy)L#VT?TWE0k7X6e_aC8q%Fn17) zH8Q^e1Sx^`g^zdU{)y6ZahK_pmC3$Y9lG-KAJ?1 z_}X_b`Hv4Hls{i)wF zE*{^GOwmYC>_ev-Bp>gHw8+=&yqa2SNFh!lCkONd;tuhPcj9Epe7M@-0XH4gOxS`mj@6;t zzZeq?qK_Cmxg=ZEi2H0+GbDtj%cw;Xww^9pxJKW-A#wd^`NjWaq`%*t&!)ts=QEZz zdNrY1aCgm%SXdSN2YpDSHF!+7+p%)tCn126NY|af?dL&n2x?$IZ>54@Ks2177+Tsx zVq9%apAG82rRcYks-t~^4v&P;qCla6^AH<2O8F=B2zm{Wx)eWapi=%^S@+P!vVZk= zlJ*Omyp*KZ5CB3Sod+uuP8&?~%;P{QQ&u5JuNzEYj{P*;Ut0Gr^2_NTlUBdI&c_~1 zB$Lw8QIc-;vXwlPYIps(Oqm1@E9dY3$f9o_EJc0QMEUdw*{)U2l+c*Hnt(@(uOULJ z65oNO`{IXMC(4E@BgzZ>jt?Cu@x&_tCq{IsV_Q@L#7 zy)%_tE#|Q^7Mv_4XeRoGxzE6i9UA|_pnQ6Ga@&iCllbi}0a}cbT_y~Rzj>`yfe=2F zYBRSpJC2&$VI}Amo-ZxuiW8cYmzI*k^3BvaX)f;&4LxF?D3P?fn!Rcl!yfX%aGCdC zG}Eu&g)Ry@v&=%{I-tW#!?$nW3cV4m7QlozsOzTO&5u(zFs2RQ%W}0hbDeMo4+Az# zaVoEY%N-T+p}dC7I(AtQeDi0-LjTMkhO2mbjIcQa!}N?Xk#gc$AGzk1-z`ne%ULPf zrVLtgFlnt%Ge(aB(39n*Zy9iyIX1w>8EH0+H30 zDeiX+2v`M%+FBGRZp{Kj7%gejE9j7iN`UDv-pk;*8 zCDR&=`}p1zn6#+v8#rpRvM7WnX#Z@q^YFEUMCyRv-0BC?dm;53u`jD#bS;lE>RUOc z^ppd&bTbfsFc@bPD%SvQCT8naR)S4KD9tf&EIg4tQPpB?l5Z+Ybtqu|_dTjFPjHPr z{Vmt(Er(tx#M$928P1YAvRA&+6XITE_P^Lkf8E`*bLH>qb9WrvSC9oKBtS{TA+Z&* z>Hdp%Oa9~2p)c$7@6r{&2@fk@@yROBl81kgDeAlmBQA;drGbPsh7d$ZvI!<|W}Sgc z;HJo~Z5fkq|8p_p)Un?6Z;|FZMyzY+Cs2I(XRc*07tsgQlMe}(FJs38b{k3m!^oVD ziK))?oCGcDf5=`HBu907MQp*N-82r6Y~P%JcYMS6`^RH<;Ag;;x&3T|Pa&52!+~p- zs4p2%gnVyRC|c`*0i@&$uKqO7M}XD$cnrM%&q6~JpdE4fR8vjvJeideomaB2bcr!P zT|QecWgJy%VuvM{Wo!D0!8V?xQ6rY`yY#2B_6iT|PP+-cf5~p$RbMTxI{~Ub{~Ul0 zQN~lm?%T9zXk=uY?wuc6pLj&vRdiV~bGj^-D1&yhQo0amb{<^g{m`|{Z2!jeZ09KE zMg4H_=s4rzv!aO$)e|nlUyk2fj65;|%_0rGzBP;BfgS8`eeiX3L{6W5bqb7>l{ycN zd;{eUg)oWasVpJ?>&-YC^W{+?P#0QQFr-UEiFUdd#D^+>lT*F_Jg&~b3#jewq1UXA zpSLoFvI$8u2)lk)F5HNq<|6{BWcL(i_&RPW&8-phD}( z4aeE?Sa}He;268gFa`lQd>;ryeXEDn(~h9OW10dfERsehWHG)D_V6oxMV##Ch+JRztip|^CjFg7Ry zG7#fke|Z%?Th|R5h;eUWrgE{64buaCt!;|IbNTFK4m90O?5)B^AweYw1U5paIOkRp zq4Uc?JCs6}RX_=ZpoZ2_m#LA^lp(M(&m|BsdCbzf#9rU@Z8wF!R1KbMXwSFszyD+K z)gl%7Ub<1;T_JXobKlyd3VOpY zs}IEcOn$Tz%?l$(C?7H+fOyxZ3(k z?%&kN3cr%$O8YEtO~BKmXcXJ7!>W;xYsHGGdE2u@4`&4O~rC5pE9VAFerv;Ih0Z}56FOwYn2CwW4Lbqy?pU=q(Z!|Qf}OqT7t=VW=9R@$b__L#@!|1CXU+PRmAzZt0TI`#ZIi3-r*a>~rO@m1p!AyQf`B}N-i{IA!a#Y6>Bfp+%F#xjVkR3J=i zf~-m`lL76Me9pS2emDyuVL_TPbH?J*T*haC*jJK#f2}-@IhaO0Ke`8Ir#~B>*L8m} zJe*73x9wL-{BS$3Uj3nSm2a$Cweleg!BVJPKn(B#XoDd3;2+MLV_6F$|Jv>VT1-#p zpZ_lNxu`_B>whfk8?+F*v2a9wIrfip>S zMSf7lh9Rrf3(Lg=PAjk3G%!&4@uZx9i~ZFFhqs#-x8(i2A^!1d%qNWa!Gh2HrT@KD zRpGa#?5EI88tMOTOaf-+ib7RbsLqfeV+dKB9HbU^bvhYweR&Bni`1UhaSV>8duwZ} z|8-1A@n)sp)c!6JDUq5TW#WBx*!AOT)UYXrVy#_620elqA!aU$0U>@qR>=i>6na$U zJx8I4unp?z+|YplZB%m)+;S5%2=SBw{qrkr+?J5i`%{b?UZy(;3TdcHLcvBulvEJ} zL1pdcganjEuf+PTgZdr)JhyROO1Q2Tg1en{a0CPdcfZZdR4>o149%q5>wPf1>2thD z{xjex;q=z3S~HHj|gHfr|USMR3Z4Z2m!P0pg=B!56Y*c0b{D40a}OODr$ zc4{TAbicfTKACP|xfUmdc4jQeYko$QwI->wa3u=dXMd)7ytZ@#X@81${g1ZB*UCz1 z&xIV|d80kU*F%JRk9_T?4uGk2Ebu@sW*EN6Pkc>H$nBckB|!i++f#v?A4u}NXO=Pt!amCh7h7f(H(O3&yhR9Zm)Qqx0pf*$w5 zy`Kz+`o|x!i#pK>n{WadUSeMe0dF*7t^6AvVIGe>nzpnBQC&-b41(K6gEqIY~UUj0D!*z5ZN$%iP^yQ}AkHn!a=W3Q9txThPM$CX)QFKs}i?R{Er!X-gk-5|)o zr#4Nku2%Us7j_-)K7RQv0VnH%V^ozAtokXE55&O5(BZ=NA_I4T zlehSbXPVL#=UXV4F24*JSwLTDbU8t!ev;ub#!W5K@bveWSr`7#w&;0cua|N&ujyEa zXp`T~IuNw6(&B{+_?Byn-c9}b@k3mf)A-OWiaAo63x5TZ24_HoF~uE)Q@hWHyzIS} z+sR|o;yms3`Z2@49xqwr2b;0izr&Tih7;*t#!5lyQ|*&%xUQfhGG|bE zpM+KGEd`cKN~W-yyVq!VU|^*bsaC!J%)wRA3l#k3FvPd8x->{tL6e)?UF5FXEL!1chwGDeSvAj3sn0&%I~Vtws>g3_M;Ul2%CI>x zPgP>p3k!0vr98wIKcO|o3p*9EbKv?vmU}#2htqgw;Wd2!I<2G9SAqw+)H$tLAFwGFN$&WP_5k1I8?ckIE zkp_GRjapfgKO+Z;q`~jYWj_N6Fu<7#6U#!MLfcX~v6+1sI77u`z>eO!?8H{JFiDPlm8n8X(h=zUwEAd;&^mZCS-M7CA(D*UHig;bq?@GcqG@7abW1(ek(( zBL12hBQ6%eEPw0j=fknP>gyh`o*L|ODetnH_29ynz2rZkVyLi7(eZpugba8bJLeK} z$w^)Ds#z*2)Fi4zO0-?}cyKNXC6i05HoA^DH_xERx;u2s%$gWfQ#;HVn`PrmoSxc{(oo;Ja zdp0l0c*TvMO5O%(^+{0;Xj6XK?Rqk4fu`f+6DB}%1pltKyR_855xSd-Uayy;dBZnAx`Q0=1!_;x8ht{=1GZN#(}yE!ou}aOz0T47D<;cl z!8q7HPor!M+wDIJ2J2c*eATj3SozgO|2^J}Hc7UtF1@>`}@dXtx=Tpz?-*Ge5b9*H|Ofzw}^F;PuTgU6sjoXE)7X|^8g%!GjCH?$kA zY{6WRkg^n?_{<*-3fLu221R>K^o$VLS=X)8*+lz8eWHwXvN$au0>|l$8DAJPDT}_w zKog!z$^D%nlGYyJeEaC$37NJFEK1UlrUgf!aEFQ!Lj@uYjEzdk=jROE$I#uZCuHs3 zp~XVEl~cLezGv0S|0aT$(Lqoomkb*;aR?=Df5-2Aq`+Nk(n^XOn>)P4%PZs8RJX`8 zNJD|CDNXW6+9lxMwn^0U%v?+NHy+R%(0wX{uz`}Ra0nsJI0T4tn^lb6*NPd848*M- z79aBm4N$>eS=$!}9ijYxjLNoaqXu^++{t(y^*}*3J0=RdWT1FG z;ES#X1i~_0Hz|m5GLX?nKT*#cbG_tT1*eV)Dy{h{etb$I%kPUC8NwKe@zC|W&-;I6 zF#oZ5LdZizpMY1m*yFCElT`AveR`Ssaxb|4ZFL4tcldtHF#gQRvVqUd9F7+a-&P@K zRfYJ&NU79{(Vm4}N(zZ-`J#9$_bmB!Yqnv!B3NbyMQK2_jTO(p<2EATPZ|5YcK_kE z6P2B;z_CC#PFFa@Jx0!tZj0R5m3Q*=Ncr>P5z3k2=beseBk3g8aC}-_%L2{_BFq22 z$;m1>E1zb{vmL&}iC)iiLJz^0s)woJN}ea1aRN<(9gqFr&jWq# zdR{=S!J*HnzRgQd@i3LRS;_rL^_`j zaV81e3OQ_T=9r>sX)g>k*u=IqstfzUM(3p`U-DVFbnmTNJlkm2x<$UUv0aPz)@CLy zZFS%L!3S&-#-tTZtbNoiY1^TjZ7vkOHPs-7XhxCLQU+klY?ugn*mWN^ zo@v;|R7C`942o7IP$)BiUXoCw7LkdP#6-rF$d%AZp+ZQcNLP|mhXh6q(OSLsqaXaxe&glihmSwFxA?;! z{3x;!F{@IZ`MG_KfBnz?>6+$Tm;+Pwt*tv7{UuN9oYeL;W(-Te=(0wvaRzRBYI6VH z=KU*I3dFwG0ziT{D7-CvzU*<&~|xB-+S)G9Y^02U-g6(~VVMcHOdI>pm76JPq? zmomg{;EroIrPBzPx1%^2Q2Hc#ZV}TGFkuya3T00Ou{5>R21qG zqcs?$1TBbysAW}yr2_^)9l>t|Mb+w%?m`5#(}{m{s^k%IB0c=YN5h2>2td7e1f(iO zo`Zt-1)!$2*g5aYg4v9@q(|VHidH41RL*^*Fj8Mt;nJd7dND+drYuS#Op>&8W$+$A zjj{DwEidw{$P7nGk`mew1hI;v(V^WljANr@*+I$jd7a zxA=&rXJbTF6x0VoRE0E76g2oy@u5S2v5UtvY`z#W0R)jM$Eo_l=QUNs!%kR+BB`g| zg`k1igduY!r~t@g`Wo3laBS?_*2YH{u6*;^lfU!Qsp{~4^5G@TTL5tO*h2Ng$(x2=i&|NEc(g%8D^y>lT`&o!|{`Y^p zyt*F8Qxj7Mi=p|)V{+;7dJLH!um3+-W296?jtuiR{(g{Y;5)snv$V3}MGR4YFj!q% z+t^&2+q3V$zWLSd!TR?0WZDw6fshy(kpdV~1H@0yUHbTwb5phYH@^Bt&0s4{oEvnr zL9NyxGyw3yM<02WMzf&=rE}$0j|rX16Hh*IVBfx?ESFbTE?&B{wYFm0Qy*S`(7uw{ zbdRtG^ib9!V#j8)=V#`o%Q#-oS0Z0DoHS$Xdbc)MSBMF%!SU-Zyj~$-hvvBm@?fbPfMOIEIC;`Ak-4Cc6@^%g2#DXnmXF%=VBZov% zpFvvlY`YI`-7oq#0q577dqXXWSaXG<47uZp>6x3iZyq|>oJeMkt*I5L5*tDDvb?{z z_{nFN!1{VpyR+20bn|^<8(3@D#zd<4E1;}6JIb0BhqNN z4FGT~HiL&MlU>!B?wmG6VxvJsfJtF!Z&h{fcNcc}g{BcG5HxU$O}z#H{W7`V+1tie zY}myXupf##QlvzsD-8*=vO$kjN+9-&F99P$2o#n5c9aJ}mNbqMii#pD7>org zxu%q;f(EuWB_;2@cLf<~G@CvIFCNhY0zd+Qsvb~@;?m{JI^15BY1h#8SSu}8rSqf_ zmoc`=d&sPL2RY(yys%^S47=bw03wP=kif*mW(XpNIm4#btLSh zh#H#^W(5QY;imD2@|b8dGi zC)k-#+Tpf_FxH@{Qg?A=;JusWs65?46_JP{W37!exBv)@BM(#s6jCH51=Qg3*hVpv z%kbQXpWb_LJxv;hl6#9An=5YNz~o=P^=YjZd#8hbVQqTj-co0aKm6pu(qy*)S9&I6 zRu+4`?L3a7;LEb~gp7oW4xmI$5n_N6RVs@*00I!fP`%SH=s7AP0b3fjF%+ZDY7w;r6~}e27V|vSau8z%b)!ivWu#kVOW|0Yfij3mQKC|8 zX)v-q$T|WOf$J(8wP1sp)|%$)E8x6KLm5JxHygH-+sHwSNr;(<5Rd~ha^0FBg{ixl zDa!iYqV&u)z>tT6SqQOGm5(IXRo0Udfb&jbQ*X8MPS%7EwKo zMN60UnA%@|{q?7wEdK2u|5=izY+8dXx3zksMtRXYaOmLX#@4w{K1)s7isPrAI>9OT z`$ujrcD8$-;s$_Hm8+(YG$|hYK|EfMA=BgakF<6ZO@AM}q@eruPkiMouYUaL#qa;{ zr@!;fudi)yCrSF+>#x1`>I=d+XC1cQ?JpCQ1wu5D}xYcdk&iyEU%=P}3tB^@$mUwCPbal~2d1#z$jdO-nkze+ z=>?ZbOM-{ogCa(#4y6F~1d*y8spOSJk#v-)M5h z2wN6JGPu4qsNc$0*9SpaL`nxhCP)!N0764#nMxN_f;Ew~Nm+(6FNq^-Yy0Q-JXpTH zy}kLymtX(pOD_w+_uu;9>W#b3<%9`HwW&N{0U!VtfED6Vko;~v8a=8x!5yN>yP`R9 z2t@w)&wn{PI}sq_)_$*s&aqMlQqSnZ>j-mmGi&L(rAwyF?z5A7)Le68<3+w&M{@2u|W)n{gcgxJZEK zW4~qc)oloo>7jIlQYC|?B+0ZG5Tu*^5^Uo*O(`)q2>>F11UShb)MDz`JyJR?1}2It zF2Yo!9YO$&fb2y9%0NZZGDt?y11W)e-_gK`MhU#SAc2U98bCg%cwYs|%1{EQpko_r zARwe+P_67vof4E?TZeXllY;1Y8mYnzyDIo<%npa)-WBssw(1`t07_K^4-trhh@uiO z4hQ}#Qx-F(xkNRDAtb2EYQw0i!3S3sA!ci>2)NQ26B%nov2Y$hnJ6;G1?SvAlmQJY z0}z5iF@O#s2UUd#Gz|!W9H1~oLl2zl*IiraAhl^GUB>&*mp4niO|?i?=^qiqcw|%=)~yxxUqI?wx5aod0y` zYGb)xk4jf&SR0xnKcH$C;*}mg9ZZ<6F5de!AiBL2mw4HGDf>}a$_Eu0*Lc| z%tSE~66ubpSH@4(AcD+|X45&B=eZT8S|h}Ur4vGtQAD-9BrCO|n5<1~+D0I@`34Li z&s)^q?{$#ya++WbljY60AE&CFHuTcgzJ4?rinS?k*FwFF+*8N*%%=6VdN~k3*_)WL zok0}kFkuX^G02PpQWQpEs@~f2*}d-8M8aEZ+}YeRoR}zG-QHa9tVXd-O?^q?F_(f{i2d}Cs6^56X1e;bK`a;tP(U;pM;4j(=K*@biM>Ah2v z&Hex}Bp_jGu7%P)u>ZjCeEC~H{@G9a&0cF_uHkz7TG5fSF9E>6`O_cubJvI?P>)h7 zfC}o4@xJb;Q6I0z>oH_{y#5DWL3N_m{>p1_EX*Iic=_73o3{@y9Fm~ziFUhD2Y{(G z{?;3>|GWS2i+&-o0aDKrL{N~*qImAPlXd2sx0e3=`@ebVnPb;3pIchGt74crND$ze zJ@bL;OG|y)B&0ZP%`2?68Z#fi^Xc2!o3nFs_13hFr(z5RmB>BA%r+<#hzOFGt~_$~ z(CcRx96%LmNEtp5&!^bC^-Wh+45Gt4LgJ23ZrtPa*RcYl2k-CH@jD_-Yl{l z6b;ADNf5(`?Pk+hLqxmdO?5clQ3-)OXt;at!Qv7bW(6SXif{;GqR5Bw(D10TR8Xxf z!65`?Cd06`IdJ_@H~}<(Rur8>)R+(ff@*NydmoCzO-=8yHeFlah||OsWxd{hEuD6?Hr9qiDU;50jyjY39r8x3)I2IN-Hke|Tm7iM=ns z{_WXg&+<%r{iB0U&r^43PbyLnrpkm>ZXuv(3y89FYbAFXBZG9+>~9fB2mr z|K#llcec{mSdj^u3Tv&D>Yv5o(M-p`7m2*%!35LFdBP8!d+&S zs2~UdfU2S#4U~mfLSrmNi7JiFyLlJ1wV$umCn*XYwfRKd zx!$@5R*opbx`9v*3b#44e*&zmJlGcE*qGt@LsX7E2x>qA36SSmnk1DqqG7|vFqzUh z7aXEygW}-6x#PzV{@{my{oM0sH`cdq-gr>2w*X27U}gpaktpUo&ry{SymtU#43D&e zbNwLu8|v4VPuiNK-=s8Njw5r`B7!3?jkAt}34O44ql0vwt~k8Vc|06=B{M#T{*swP(C z3!`u<=Y!q$Z6ms=^6cA5OM}r-qspmf*smD@IVMD^w2YuCK_4A+8Z+vpQ50YUsGuy) z1%fwTe}1mP0iXb=0RX_8pDexgo5kZt5A0vq?{ZW0d-mksjg4|+WBd2M^IB^n+V1v5 zyr^EebZ=#?o5U8tf?7~hU9%9HfC0DwC4kB*lN3P_3FFaid~DS+{I*2-~y_Ef-J1g`A%L;)e?%Nv%PK^ zvphf6XeQPM5f_wARI9ZcannZWt(%Lj`b=JIr>QN;xV-db7R^loKo9^V@u3KzUy3SR zzH?)9W4oSCB6t7=G^0ql#{lW^dJLH!um3Z#Re*!CG;9waJdhXi_S^4$^6~jjcl-E> zqpv*wOsm;gUD^8nkKUFL*Wy@2fC6YK0WvRX5}keW=t3L`+7I9PbbWp8%&ENx7mm16 zhmjEox9%@{s4KU+S&g{nRXrqIo$Twcy)-e^*j(Ry@5A%`Ox4zfJTfYcdcz6^flzMV zy!XP1Jr}qV%RE_qM~3$uxj;UWyTJbDtuJZ;4s>V$tvhz5Xv3^ z8$bfoP)(Ut)eb76h9KStRdKEiL5re@kr6>czPYhl<^_bXvcCSufBF|L=>Ow?`@haj zPg;wr0Z3t7RF3Nm{5v@vAQBjkwt8EIFHP)AUzR>r*{auCafH2mBlsEsfEwl|bL@i8 zeVNAfTX)v`18TQtW9>}S{GD6fR{G%C*Cqi5y+NK@jztYXGePf)UN`^pSDrg@X!8Ej zM_&6yheJ?ejjaLaosS+o=#{0Z)h2-=1yc$76$OT)CcC3jhUi#Sy)PwrqS1N4QC^LV zyxvrmBdpv5s_)ue(yIp$09I82vXNzrckVA4W7D|if*B7%5$$pjeq_k0YIP$45wb9p zRWxZ4fMYs+dhXyvF`!=4xb>BFic*Sd{a(MuKx)=DwqE=CODh}Y|LfnKFQb}w!{^O7 zPu6*ft%*_=Q57hP(ikJE5Q2z;21Za&OPCJ^0I+Y*T&td}EqjB^I^;YdNE|1PWOg@Xqc+YKRbY9165k;0{~Vs=H~ou>+@8KH3jM&8p^o zc$Zd811boUA+pt1L^TA#hxij>o$FJSxU6b0bbK0PK1}Bpn&*jWO2vN>oEWWz>81R?)AKM!{HXOL%0cW>0poY&x`AmpLl zDv=_|kjF%0V`QI~-t}`-BElq!d)*F{4hRcZKKI;{M-Ls%o!eO3`tXBGaXM|2W|3!1 zn&%m+Y;AS`fQgGNZ#H0%7niTzeCmZ)?%uiwBF2cQ12l*h@Ugr2ke){pJduAqpnv}Gd$q5Rms(AHe40#DI{N)dR zJ=tz{JMPf&BlG)b_U~yOny3T7c0LgGnRimVdExQ{8$}bX{hgj46x(rO~LcE{?6refi zBmmsLvwrVh@BV}BXHGCELQ!JEX=IW({q+2;<;BkPCy(~B!DmJZV@4P6q8ch`%I)fk%`h%&dDQjX0GBq{1vbxH| zfQZP5GGcpVsQIb{V*o@XBSb|2j2I*!kb)8tQbh}(A_P<%!>lo60zd#}_TGz%H5L&= z*zE#Wi34dY*#jh1B_IVYz0(i?fY>l|2-P`8Him&J>pM0kh?XIDK19|S8v}?deJQ@8 z149Ttcx!FFUhDVr;2?@4K=eUG6d02zc0gVsvQ|RrOP|;VXyknm4P>GrGDJi|5ea^m znFyM3gbxydsFy%&Kvjda962eFq$1WrMTk`9d4ju51xGwF0*p1EJHUJ#T>JSDqY))V zJB|p$(WV{rio`p&hGC4;_ykv&%Z}PSm`2g|mb-iBN=oICqx*!h6X4F>)kfW(I(}rB z50KVw-CwzK@nTuJJ2$Vr`oi&wI9gd-fAd#wQ#@UkLTH)A`K>|TaiyP{m_5F)^e;f^7c1X@4cvV0ZMen7$Q)fldXvWW=k_EK{7|OsAhca)*4gi)0tH1d0mdoN3duCpFdhg-s!9V-n++1AiY-iv9&E+Sa zm_2*wz`*G20#TvK}Sz zcDJi;Ffp~~<=0-gvb?t1$%8gPW93AGWfK#qddHeJQ&?NyJbG|1*jWI$eQ&ilDAQ*1 z=AFeC78-FpjRw5xW`7Vj8f4>Pdp=1D?`~eb6`Q*A(emQpukL(I5Nu>QY53rngpdLv zGkF&f2}UtQ1fqyqp(fNIilUZC5tNjPRREP#LTkX11NgIvRGz&ac~ ztIo$x#Xhup)1l_aixLr6=y%ujSJ-7mFsf^D_o1xj0fL}XROO{$APlV1W!%U*OB$Nn zUAwfg((PwVRJSayXXCW=`d$l;je$*Xtuz z^dgE@01L+gw>&Q^`IQa{NUcoi5wY9r8DrSkEGq_C78$Fmd0q@H@`rR%*ztpgYEywR zT$avS6M{!1=SpTKX7BviS5W97=5l=V0syuKi3}P99Gl;$YIV#itZ!zNCyAKdZC|0n5ru18Jt$gYACqv=p_RO7{ow~Kw z{qfJQY;1?Po`_aHfrJo?cma86I&KFwX~m^i({>|ZUBM?7vH*f0aa!N*=6~|z^Zowz z*474s)>~5^IS7)lB_t#!ES(2{IGJ49dT{RTdvmW(z|#a!SB&1jpI`hWzwqJm(OINJ z2Mpwq=^_NeVt#IVKAE|(I=IrMfnxxmIQF!^kZu&k8T%Rqll4T(T9TCcAgFK@`2gyo zG@0uq&I!f!R#~oX=S8hvZ_PH>Ho6UNL`RR# zOPiCe*#mpdUpj9MdiFL0ROg5sJfFb8;^eO8Izj>G66DnI5nI9_zp1on|ER(s7Cff>%IB zoSB(AckV*aP^;HoK5_WfXU-_V+Fr;J%8`s z{p~#T?=COiy1N)hCd>0d@4@<^(CY<6Rw)dL7f~|5c>CP>CbVnZZr|cKEkns9Sy@^e zM>cW+z4LbH1{fmd^|j3pKYo`qYPKdnx^Sl*B^JZ-gT>!`ATK|EW@TgP+@%NoUgyZ6 znXRp*cRqW6qMkI<^n;Hs-oC!koZhd*%HmyV5LBS-cY&~EiELzuU0Ess6IG=k4qXj~ z57(fY*oZ+x*%$GGj)~Y9%ZV?&b0rZn8j1`uqxVW8sNVbC*-SdhI8q5Ip^ah@K_CPq zj7OC;iK1v2mM9pIB2xC=l|}FrBEuknh{l+a*==?H7*Qoi@WE*ikKRL3l#^4_|KvMg zfBW5!KRbUx0f`t;(XtaM${DI&2k##jd=za_yI zA2rS%Y5IoZr7tu-u3qX3(@ao-N*G3OT16<;4Z0!)V7$2GF5X>N8RWcqHtvq#}P0oqOY`V zFch1OhrS+yO!$zoo{TM_M+Z}aYDKCEC{zflT?$ivAOjwd#H>zzA!e><)xMN#ZIZ9~79`8UV-8BsMxwrNC zKjY_~SqeH#WU5+1!RbVMIvZpcE_{|oMJTr*bpYx8`#1ORo1UC#fBMmR^nK&w`czxV z)En)YnK@DXPk;9P5HcovY~je!6UVRK@0+L&f(Z8c7mmGhbiR7)S69|g%uSyG5$7TJkm8s?3o4xJMTl~HfsTQdwcY9k?XB0vF9 zP!%g>BqJCAXp#Be8^>Qb+#aQX>Yf%V*V0P3YeF2rdX+YmxO_l1*Qo^9t&4P)-S`g&`6z6ci71r5g3MKOxh z*fe)#l*a9;iL5sOa8aBBpeu{q4ff2mk1U)Z3e8sQ`4flE-(CCQqfehab7*d6D&iL( z+`i@Wfi*_hq)Ad1g%1+hL;&i|h9C)mXz;F7A5eVA^B|fgu>{G=0$3R>3f0myD+bDx zp;_yj8+SK@^IvEI`glEFk0I0J_1^$7?bLq%@bKwj^}jb90BlfQURfICeaZW?d-hCB zPF6pAwpM%giR1s_Pk%MLw`nm#WEBP<5Rln8fIRmmCgyadQ>x~G{@&seh)%X9#7FlQ zZ>LE;vNZs5;w3OqGikOLHx{qlyq~5K5-M;2)1ImI%d*#5OVWv2+SD>r%>Z-5y1KNo zx>PXNg5FCS%{l9`-WEl4cX4a+M?df`2iI(x1Vzg2t@nO?rIw~~oOHH}se0RKfuvEa zvy+XwB~jWQ=39{ItI!c40!tsJa{O0BsArwU+K~6vM#Ny)e>c&du(!4Bv&;th+g7=FL?)=4Pe~F>^ z+Sk8%?8w2le)Gw`*#++dQXn3hk5w*N53`;4H)H$CRl3%i`sDK6{U;ZX9hghnbJwoi zZPbxaih;)j0Q$8wDT=UBtThiEjM^4JZEMQ;9&?XRVg=sLPK9ER1g$VkpNJ+_Ip4X$-!`^ z%MQdQV#D$|tfY^+<~@=i^ys+A!?ozhUiu4T7l%Y;(BT2S9z53+orMtbn zzcICU+9Qhh0fR4#X1kdtgy;am*vX`_%vJ>?HpGZ78+7m9yU)zV7-Q^c^iDuo1%blQ zZ@GeiNT7@$m1BSc7$!vYDp4E~Mr_8=pbpsB5JW_+p=Pr-801AMQ*$$& ztzKj(iXvB*QJjhlcUlPkp~HwchP^r-KvLMntN?%@GE@w(R)a)#Xd(|Bq*M)niiAj9 zF=j->mccmyU}F@(2aymg8zNw1z%V*bNXQt)HU#gTvysWN@WiPj-}%bPh|WPIJ*Lb zR79Bw0bJ=6_{*;yd-hs0qCW__UY#$I4E$kZ|$a^3!B--oY$ z^-Etqb1VLJ_ra<;Yq%6VPvd>+28hFaGJD|9N|23W+=Y z{=tJs&OZ6%Pk;Ku$;swRFTDf+D{GteT51d-n4)yVxZ{Gg;}83J;PgepQ3M3!T9oy( zKl$??wI=JOP-CVY+qwoP5ph%k1SKfT+!Z}R4?rMGhmJhG_T=&F?_F;t^NlnJwC`2|=4TNf}&C3wHQ|AOYiVd(qGKghf zl*Vup*RpKjv~b?%rGN2-S0jd>|N5QRUVr(OGsghH=Yx&arB`1$dvY!?q)yB5nNt|R-&b;1rAQZphYn6es~$5J-E76T)f=*o>(5NR^87TJNq`a{2jCZ<+`GI2Klt&vQU_1Hbn=~FT+`5u zErR#0C~ZZpY_k`)8YM=Ba|R)aO~&f-F2E2n%}liWWxlz#G&kA4wEE!k<;#hQ_s#BE zzQ1_=%54CQVv|_Yo@lRbtjr%eFf%v(?)$%4m_J&2d2r`WZ@a?)D524)Dd@g^drm&} zJz3hH;l=JL%u=GSk( z6Q$|@{Jq~lJ!?LkNp9a)^6Mv$>;-`HS8rYT=;}lqutI)L5sfoSW@o^)n%3(9GqpEIpX z&%HR=HuIWoE}UA31GGB-O*q85A}TnGoqQ&sssy~C_2EAcucF% z%8@-A`HHboK#(eClobsCh;)6(MMD%3Xb|rs8>w<|o~$ZLaEfG&Nsw%)%%`ez^(ZB* zI?_DBkktAD(bdBdxI@Jh1AMMsKk9h{7z}X;Di#1-WJBD|HwWF!wh#HkXZL;b9u#?j zHYN*sxjlPeeqwH7yH|MMQtSZ@ZzHiG3NH8zAk%>L`mA%?MY$vpd})cjsdHzbNpjFY zLC_QNgg{_bB2`xO!X?2dkrq@zMTG!VDmV6r3hZh?s5&?<@(xNaaj@Ax`~03aPET&- z<&|Hrz5LwV@4q?^Ak#-{o13$5zIUZPv5$aQTog(G$&E!&R3Q*hL}f(=w16nkM+k&G zylxai5Di|uh>F*qFL<(cNGMzH+^e_tCCR=*b1^RWiEf+BZKut`8&B~RXzBw>GNJhf zZLRjPo+LFpSnCf4<=#__faszI47QeVP`8v8L}G|E>ifkz%NG|~Pi$@UfBw_AzVod& z0APJnBAV{6uSwX~SFc z{2Y+IeyjiEpDqKtBa{8Ff9=dd+f2@_z4hk#1v3G_+j%G7>;cSVE>l`f3n#t1FMn;~ zwP&UP+{)6&-4!=6Ia6;HNz3h-JN_^J=qo?{>t8;(u&}hyxpeiGMseYa7S-!!&uYGw z4OUIm04Wtz38$xKe*TNMmKPU~AD&kTQB?cjlMkaLdG^HVi|=3l@Z%d(Q&XSegD66) zGU#^(gK*;1;Ux8QTq_6NJ?)d*t+_w@lRr!1#E^}wK@CR^?W@&s&|jC}7MBz8ZgFWz zYHe=B%ja)Befqhw*F#!eI5D%i+V6E1i)!v|crJ+G@qp9g^%yceUjN`U({HDXs^Zjp zKbqV?qzV!3*it?6Y9d-0RWUOeOUA6PZ>F_cl-39>qDdm^gsoP+@x9;s-CCn|?!x7F zKm2%ebITy3O~Ka0_^37+07GT0jDT!FeDyq{s;>ts0?0;GwzoG+U$WuKk`4iV@Wxo1 zNUyiiPUQ7hpUv`cR)Y0RUZ+>Xjw>A-dYQ-eAL}FAh z)`*0lC^Q_~Csh@1m<57}0D~xa?|_hrf@oR#B(fUEZ~pR^<{RJm_Se4V5J9vnf*@pu zT+%Ls-G>fbCEBoY!m$ZH`|SLsYgew!?VVAAL0%fFH5#q5P^7fi_bI0#h=QPMz{-wc z*e(|I4_7Vt2qA+SD)$K)1t}^Zfsm?JV>8IA7`!0);DY)*cnr$DERT{9Cuvza1aVGh zW}}Zjxv;-pjcb&Oi$0e`Q|&f?_S@tXK%k-Zft6U)m77nhc9 z-nyIAXDG6&UKN0Nlog|&+mXAPv%g)f-tF~Iotgb#zV_PqD}Vmpd*^<2ZGP`@DSE}A z4`5A<%slAyK&HC)5aM>THA(D`zq9~h0yu(l+mxkA_t=)lWKqH_IgAp6>U=37fEo}e ziMUGT+=Weae5LF@?1Ut$0B9{Mp!d$Zf=P{K&;X#sbE(5hBYrX0|~qpsV-TuK5D2ox2LgZvi8=6m2;nM38S@O7!d?S5LHox0y6iTg|2mlf?n45{H4glU4#-#04znfFJuhmZX z)V7d5xwmp}y8}&bPdB?+Z(+Lj-ItF4`h$-?y0X=psDI`47tVi>-Mpq2FkpnX!z5MO zK^hxtjCX|(Wj5%X`}pe7y>l~-=HY83!_aC) zto%*|NEMhlLIw3w76Jgl0>!pjPklfkAuF%EcFY(H5E>KFAN=#DYfDvgV(+>eYxlqV+Wc$J>;o{jZ(RAoU%nT~WD>0<&5cvf)Xtop zh|>MXj!ybw>D%A<%AI=;`dQBy5DMgtced^Lao*S6j8L1O=}4N zL=-jBW<6~>Eli{llwDUm`|MM%z4o&6nTP_KM%qqoeCXi8nVA_9C`)IVzWUWyYBk>4 zyx(X<1vR=G_ZJTAyFc(4r!PGJOiZ}Bx_bN8&F}rezc|%4fA-_I`~4i+P1xmo`FK5E zk0I0J^$!i2kiHN-A!5ZBjm;aX0%erC`NfqP5riNJC?X+ft5JXU*|V3gTrbPQ+A8H< zrluz9wNwC@`NYYSZ@&FbQRGph$&nd2SF23|k}4taPz*LUXC69S(NJUr5`0)*T#9TG z*;s}STtbM2FS>rS(XcN*|5QME`-AiS!k;;PeBd;xPrmr-x6(B21H5(a;(E6B@~clR z%uJlSa&2>SJyx_FRgG5|J-w@16oFI#D=%VE82v$q0wZKBb1d3qHm1}nc-sulE3pa?PII+z*X-1GfSX#S#Z;`+zNnEehLkL-xXZiB2TX!PM zjYc~FdLOD%0YsIgazTE0Q{f}f{R@S8qAEyF9@+;0m+w8ea^uo>zxx$#yqrX)JpkG|7{+%di2tzRuQ;<-ojne}QdoJC)m~i9Cv&V1V zxf6Vc$UXD))4i?U<c&pvT$bCWRaeQw`WJ34%Hs?%Rzm^n;E z{<~j$b!y+et?kXH<_O+Kt&P=Z&Yt)X)Co_kVKj@}-xK&0FTI zo4^15XR}{=>d?Z$EX#M(=8xCo^%yceUjOh*f6H@Dw3<+dbDy1CU0pqX?AXG>p;7$7 z=cdxZ!|3MEMAa0>=H|9BJT*O2t1WhVJ+|n*N7Biu$!4Q|?dtV~BZsbBznLV-nKP$5 z-R^_sjkqzR2qFs1ssup8xn(3ACK=(_%GNtihT|w!QCF6gdoL=eYEkAEGrHzxPp165? z@zSMhwOYM$dh?n)Es}ceOV7W?CVJ=Xj|E6ojZLkw3<{)v4|K))_WhIBr?Q= z$U`@&N=AXZN77-}M3eqDO0+r+lW7|Oe*Mvf3m?6Ea^L<_Cr-~FJN4Fw*Cu!};S2yN zqUE)Fp)4GSyLY#kqWr_#(uV1UMkJj^xA7!ziE%10a!#bCVOTiHXLwYghaIO~V{pBSA{<$Pj4oK15Lriq3gO zW21!G20;-(G}R}=p~=|at_V>5`>{;b7(WgjL_#32K;VMLwe;Tgb59&O@{N~|UA^_- z=+P6Cb+dH)+N8J|7r_|I;DS`VWojX9M7_-|sF980ke5kh4jtSF0R2w?(v|DAsn!!m z4^K{{+nqtJ;ful_KXwq5u3TR>TqkB>VogXQ#j&a5aOx6aO`q znzHS7BhL#+;a(nxqKmA(MGFa_qojcj~LTlmJp_#i^I{T(3 zpF24R01y<|+*{xNVDUx^=+!3=9iE!HaqBAK_TfYG0Px`Mz5P}Wq|F0qJm~a)_1-7n z{^skG?RuGS0Qjw9W8a>+-`{ik+EdB*e|V?6t+mt=vWocNYe`gOS+hNHc)or6-u2}h z8?T>z=>RmB^K4K&5Gb3%b#tyJ&r|@6Q4{w%^6Pg#CB9Hd%thyc!83-vR1)sb8 zm+xF50Rx7_21PHhwwC*_@ROe{&Cc{eva;;mzO)WptFgJK79cu>wqGbm>L}U>I5C+Ht)DQ`^098~n#<2gJENdb~naC2mr!N5+Vmh z%miTe*3-nm-CMT+z<_Pl>dQ-O|MowYd`(@FSBmnPqunXD|5AasftKMgsQ5j5{BZBhs75- zid?MTlXD(`;>eaowz0AP{PWK~b?OuVlmyO8={z%g7m_#vpb!E+(t#a6T?tiXW@Zvy zaE1aD$lzSA=$!Adp#%H(9XWLL=F;k&drKHxkqxZj>8a`1;P%FbD@z+i#4Oc3vhI2? zR}}`)!vpPF@pU8HJ*k*3^&v?T1@+#SWszI59H@64%BqBwxlm~Zse&5$# ze(C)y*K-%R@}5AF019EmQd&fG-XjtcgI447B9&1eiV~wJR2re-HpEAsd1Getkw+mT z8$%>k0hU)cBhxH<4gg9Iovv@y)7%LF9BA2Zf9v@y&r>EA;Qt?c|Jfv0mSu@zd+&43 zRW3tIAOHkZ0!RQUkh(;c?yl}?G1G6vo2Jd<8_tksq|uBY_&Z25ejqt!k3+NJ^t@>? ztx9E9W>uxu8d?N^(9*U0z4x56H$S*XAds0=(=$`upKvlQGcv;w;eOTGYp=D}qA<6n zExn#^yDm;nhs8KVv{}~HCOoJMl>jFu3jm-&Ja-v13ZhiRlL!!6=ufWiq0)P2Q~`j9 zH%9O5Y;SHZH)>mmE(l`~#g3Uh3Mo{m`kFIgL1jXufCZR=_lmAT?^*CykwS349rk~r zy}DP+{hIbY0rZg%AtQ_4?qtz&*^{nXsX#%(Xf>8a$v zfrFWa+jl#0sp1rocZ4E+=(yX{+06nbxPQJ220@m@#EcFi73sK38USWTOS9i8)w`{f zTLeCxu%Y7@RPD_lA6;pOBBodXO^O*%DWyn6j0tR(Z`89Rv-2Ncyz`p> z^ttm7hsyZ8P%VyWRkqT#k`mktCc z&_*+W@4GgBu~+apYjRW|i0BYND`J*br)N|&dFWUOU8PJ|sOAp0YfS*^^|}sBsay%; zxY_D?(EzI6%Eu-~zW2SeAn?*FS66pZ6O|okAcclLy?SeO!W^9%zi@skclK{zy?Xn0 zuTU9B$c06r0T94`?s~8nb|#-|=yVV$DCz;DMffH+e%2?1yB+Q83yLQ_VL6Rhf z==;C>dwJF?m5QWIXd(b0q^#F=kpj*^GVvl;3z3a-Z~H!MFr>+!En#O^uco*6O1oSRs`W#>) zBVw}PR|@@wOXHDx^(Hv6(VxZd(YKs#ag?$x&B+f{k;RTa|kHNATo$!0tiEs zLoL=LZW_`yqornQ70Zcmc*6Ue(_4$-G27bGmkuW`fq;xi>=L- z|I@$xN24lS*siT^*Df4Cvc9|;RELV?LfiXVp6=wGB#4=v_rk*7yMFxQpjKm$!82Y4 z9#Jv?APC^S7euXSq*{Id{r96dI)CP@M)iwdzOvK8;YuaTobw(BZOC0TGuUTwcjFZ2 z@-PevMs238we`hfv5-60?X_kOR4<%;?AY891MoDQ`0V!T3opH1Ee}6?;jxLy31C=V zS^MyV4|aBHf!0h4c%W$9ty4fq0}!C>Rpc^w_rinSh5A;=CJsocGY>y}`}V@sD_2Aj zsqVtvwJ=PcefH@jRG(g4*jitG{#(x-IC3xywFQ`;ojyEwaCvdlXaYv94YMdx0N^|W z03wmr);UD274?gW{^%6;dy9RC=zXL?|4r@ot!W?cG^o`EKoGSjivY*w5BiDnk@jSvHwl+I4_ROineNAUy`{Dj>4@U<&wak!`BqRy50!cy1uLLm!0G`Dw z;&!X$Y&QxG5wW+zM2Mt`y=M(b=yH#!f-n>^yO3WY(r%eGKnwdPrT)7UNbuKuLjVyp zA_6!NU~Lv_HswwmI|+xkNgOe%(7B%RrVvE!j;zp54owTFl|rQfAP2}Sm>@ESnRE`J z4T`Hf&CI#^<3~rwhJ!F%Sg&uj-S3^jZZ?|&0YDMhcy5uhdqjf_jLG{) zqaW!c_t0YBcLT*a4Q5odRmJ$`k_Eam9%DCEpI%)k56g)Ihd*7YEw437)lo+D?2u4I z6oCTeymy=l0x9J{JQ&8ndrnj?hQVS#0?0YbA_$Vi(UltubJNM8!v}tLqmD~osV%@0eu z(8#k-Z!9t-8(Xr`we@al(cN8aHM+emSY?7|(k8lTQ|dxU#X)O*>JsIP7xG($o5$=^{(t1_c2SX%IBD z3!CnLSV% z*yc6|VhS}v1QNt?h`_?B%{ei}Bq*|vwAv8q3OInCU`omx%)HByyk-QaoKpahId7f6 zb9d|D5C?Gu_1vMuPrm!%TP2++it5d^k+EQUW)_fo9p&=y?YBR19(15RdjJhgq!9XB zGUR#r>V2Kw>gp%wn(CM|G};Hju4*DLI6^I=9@tEg|Y|`g{IML06-XMZ$((9Cnp2nv3U;hJ!K!mAo}pZdaxcq zrU&acwNM1)UIgJiD8(XLsUV2cG!KGM>!4B@>UVU9hDM?=Lc%BtYPIPsb1Y0q%tAdk=DlcIwv1GF+Dxm|1Dt@R)>Z_#M`XV?1gdVcfR-h8}D9PSZc7d zL>`%TZCVE{yWMSO5DmIJgA4$|!p&x*Iut+l_?cR*`|cZW^lEGW>VN#s{8aUS{pICG ztyL}-U;6oL$7d#%yJ?t|fAravS6+SV*wGV_QUQRjR=PVI#yjww4O;us-x~&XDw8ls ze+EKee|QTbA~1W8!dj_jr~TS%ud%>^ndu{k4z{jsq*-QkkY$!oEBf`#FSO1BfCjGw z^IVJxoU=y`9ym331OPs|x)>#R_Ry^R+LNP0rGq2Yo2y&zynFHa=b!oB?9|`<b?*34W0XQ>=TY^K*%#Oi1OdT5I3JAc_PK=plD!zu!1J-c+8a6CWwTc_bv#dPP=6S6Bi<_n2ed5nfjmq zw=+o4$XdfgBh41nw!4p<9{JXja{$1Q@3fcaM`msxreD0d8kKaF!lxdd3&LP#Vr0A5 zK`YOkpTApQT3@X@@8OiRc zfA5V%_MHl}!a*+y#CgAuzT8!GBM5*AjL{lFoO4RN)|wCnILlI{15z5k+)e@a!FymC znDh~dusC34Lbi5otB$_wtZS!tt#vG*LvSK0Oe}!UoDQPYIT8%RXnk|%+Fvh~%45Z( znnZ#1JwcD8*!sp!J8ic}hpDI#{6uv)vt2MyD(JkE`5XvIFckn`4Y+IFDECFBseM$N z2s6vyrGFtn2p%!-LlXf5fGoLSCeYJ_2;gnTc}Hu>x*eh{2r7~TU??CAc|SGJJrn$=iSRDb&Ehm=wP@#TxPZU?p- zBFdn$j=`wFP{9L=cmeI9-vh+b(8$HBw{9*jS1QGgwY5Op@JMlFq;l!z&7JL?LXs%# z<3|>B_p;GtU%`R0OCWpb-_XDa3I=}*Y6Acspxtc}_4BL%NZH&AySalCT0IcqJy;JQ z(}VT*jhXi3E%)zDeNLy_&9n{z6DuX&qxWujcqA~fQic?UVO$-;EOWW{p(f`g3N!#X z>ja3j(gZ!noo*I}ky0wDR-Sy~5ke4VBpMzb(hB1^%53UgTLsZXVR&k4?DkSk*kj+p zmismf`v+QZ*93wF1LDkt4zWEk@@WM<(-vlfAl-g%}-VT;^jA2S9X5)yU*WV zxO--PB2n(ZaHWLNTi5T57Dk&Jy^pSM9vMv{uS;kYf&lj&)s<478Nd(VkqCQ~fdp_D z3?RyGSQWEZz`btwE6+ZA=HatzTbrdq@!2OIUs(U;=0+{97QEXp7LeVoakptI{gg>z z5dcu2Ay=3OAj(i=lmdYFKf3m_m*1I~38sJl2ag^-zyJ)evR=D-ePMm8UMv1^}y<3}&vxqExzcBwGpY)*ZJBB4b|r`11OCZJ36exZTvX@yb{sEw#2gqeq5nnRQfL-{=H{y)<2t z9uOh8NIRR-`?{@zqGhEFd9gOn*s54f=^)K$JL_D}r1bXw%T0(I}&$KQ<5WPdYU z_RBYgQEL@N0FZicKB}Z_qhKaXBGrzCEEoY46j0uX17k2&T}!#nM3GWzP}7hyfeD<; zye8s&YBW4PGuHpz&FzhzO^4#-_@R;IPcQ$6kKeUA_1ce&O&>Wty|%qWVL6B*m!lHB z+o2a8TrK^!y=S%e9Y6#I1XPYpXLDyPpjW=Ant9`MJsI*+VrOUA=R6)F#Ic zPH%2Du3x=TR;WBMc$VB+KQno>kYJ;^b!cvaltL_Q*ZJz@ogf^t1W~9iSZ$NFD~m1hrcjDNdC3sH`h4SM(AZ~>urDV2 zbC8_&#G&4Kr9l}D=$x}4PMeqoob)5FKmderUyRY`ZZ;7h28F&?JGV!8~>0~~L<6f2u>7i0da@*;3tK(D6=60iJK?kT*?m@DSqRf#nIGeSmMuvtD zj)D$anJXw*-)U{vHk08bZ*~I?jjM_%04wO(YefEHE|AE*aNqwj<+~4RF$M^pAj3iQ zYv2JGa;DsZg{+}GX)-o%Yt^e(5@z11?*up$1O_nmk|7C!u=SyiOL0ZG&S~!Q0st^M z3f0l0U(4I;OLtncbBjSC{Qe(2{o0Ekxtt$5cl^lV7y#?_=BJ<3^E3&{6YLrSmUIA& z0hOFsCzeqS!sxuPlc-Sc_S&s%JJ3Pa>b9DlN~v_^+6|ktQM&Ka;XypgU`F`!GpgKA z!q`PkIY7TTr4f`Btr?I65zE8n*5+paMi4Y2esgmxlHSpoak#(1_JCq~upU6B2kSQs znEEpnU)JctRwpkM3Z+t6Ym@i7&e=50mX?+R6P5WHul2mofMrbkC7Zrr+4Ehm#hrW6!zEw8`#_Iu)jAT9!1 zkbdW#WgsKlKjY#NKr>kOXmmTvoK2tq=7l`W7b4Af_ym9HhUw-td;$rBEp{6kCWf_1E0!4t#w41WS z$lS+BECZ>K_nuh*K@kda?!<${#p2DI*AE>zeB{8vMz8y`mtJYqno(f#JeOU|!QEm{ z2zFZZ9mYE}T4i2mqoWQd)cONGTk2Q$JTT?en_mE28d400YTl-~CE} z#iJr%(OOyW32}D^+>@)xpaE-ebEjKhyIpnbJ=3niw&7dlyogTqK1p4nI9wifomLPA zk&Z1R017)wd#tcs02C{vOcZ!grdo-0L3|88sB)0If+OvrmAgzUMDmC^03#cOy`FL( z`1Mm+1-t`s$ex`g1q5K7Rft-VXHSGc;JhG`(gBd^6H-F5C!hR+LPunmf4g6l_iLu( ze|@)=_q~YriJb(A#3N@O5(OT~doY5k;=p9!MI8FUxO%^b;W8TpKpzwfMy0e z4K580#niJxI?#>g>Xn;Ihi1lnw^=F{O5yn1m+!KrBZm)u^3IZqwRrx@Gf&;!>|S5G z8c?JSDGYhnTK*o02I(V6?NI~(i4nYjR)W&YTAjyFO+5Y3SX4>gzqa|Kn{Pk;ThAPw z8wG%vVXKiGn;1JZUbzx-uQ^mL7sTh{a%WTT+|y6Z4i61~bn#MT^vUD%0C0Dub@iil z&|S_l=W{2(fqwYR{Nm!BN~v6{)oZm(TmS$`j0iAPj74PmPRlv3L;3X6PmWC&tL1UD zGC6v5v)f*}+nyRe*~^2?o#k5_+XrTuZHF zY;5@7|Glp;cRHQy`6rG~3=Oxo>Np%Tz@Pu=t4ftlT{z}U=&dRgqqLVY3yT0E zDJ8;T7`*V}d+D=})En^f&p!4N6_d*7*x`1!i6l{od7jdq&+7fw1?=xRUhchS_bIg7 zr2%oy1B(d^k``j?GJxEKi2?AEGYcZoK$@%I%Tp6Xi6!ui{UJ~y6!t<&skop$#euUn zt(1~Zt2H)0G(0x`-bWwLOwUeEj=ufcTPNmEe&w0@*I!S2O?&#x>5Y1}xs?`F;MpR& zJZ~kT`{O_S8Ufx~ULBqouWI$?r;D`@uPFt&MI=ynv^&ZW&r|G1H&5t81ySy=@7&PVMs#lPHm^wtd=Xz+kwWKK@n>3 z;vDSx%@4lXRok+lLIB;jzUX)USR7&w7z#&P;WOu-dili{0l-6!Y(pKS-Ok!d2Z6s( z!#r?*c(5KorU&acw;YI)q_l53U0y|M4$gmvke~mL58D;*Y-bJ4cU!i`pwfhS7Up;8!y@LRw8m9a|o{SQCA`q`563YAvc3UojKIU!d9bV51w_a*Q^ zeR78x#0V>o4iW~9+NIp+<`w|Z+N+nZWxIO-H*)sT1G?w@X!xL9*iOl$}SWZ20~`(AC|P2f=H6YS|KwtGxbB9 zL=YJVA4EjBNQwh#1s#QE7^l5=K0+ikYyz*yceNpA3y`aR+gAY)YfxZ&L7-59PuWn2 z4w#w%TOwdt3HHzvB58@h_eER*0xQS`b~ot0T9gogfEjHDz^ZR{=YdH`p#WI%h*n4e zDlb4}NXL%B17Tk`>HE+N2Lot>Ha!9|A;W%;?R&)*?{nxv`88mv??l#rtHOv3pa!Cs z!KAbxcv95s_|8@e2P?`!)ZGY%+Te}Pd$!OtHryRlLI`+Ge+03XUC``Qa}wt=zm81+8wklO*xG?|!^vlcX}{ zC8FFQiD15O#%~Wiit?mAF(H#?Br@cE3)(Bg*!=3bV@X^DfJf%X@Y|=yr-u5X&t^kR z?&it_07(F00U=i4Odu3m9cx!E)B%RZCQBcEusl0^q?n+0n|?fC-wEZ+*H0h4xw!J_ zrN#1-<+W2Y3vYt0*X<4rNJ`+M;gFcQPgX4wPgO__a7e()t~(3 zpMCwYM~BMQg%7sBefF`Dq3Xu<;E^MR(Ev>I_U}A<{M6~=A1~Ja=7o3f%S&Fdb=BiQwSatFT_kE3cQw709o$Ku^p6Oc%Ln* ze2$miXK{(R?=vz37=Qo(36TT_JtIWzdOB1cpPxND{_M%wt#;$X+e;(ELti_8B$@60 z?ax0xefmfogxednVxc&5U^unBw$<%4EZSBPI{*L@QZHC*ueEef1=V-nSUOVOJ}{5N z;{cdPcmeC4=&)jB4V@f3&>I|Au15!T@gakDipE9YU ziNOh=LLg@FEF>Pnz!boV&jG*z=z-B8185IQkz?&va#^L~#bBut{<$dN-z z!ODuByYSfY`6K&U-^|`CtwjWXb=+odT@acB2PU1%l0qziAi^v}fC#y@)p98+R1O}R zyR)?X*`;ecjh^V(Gq6Gt9PmnCRxctT3qYVd-ENBc`G-$OWZrw@-K^7m?)eMj6XQSn zlMluU!N2&y_b;~cpT2&n1%Tbg_ntm770=cDV`2;dY&Uz&jQa_EO(}q3^o&mRn|_$HXU`m%APB=aj?&Ef+&OCz4FXx~EWexB2Vq)n*T04(@ z_9T7wl5&P9;{ta8h@E)=B_thUAIGOaLxAyta_j5>DkcGHk{4y$Lt-Jz30mU{TB2ay=NaukS0xKj$ z0Z-!ll0u^(3aPc78t6$FW!+98iO!!oPzZt`2n+^=*fe@vueXWZvH4k}D9t)oZ{Of# zJSa~arNLQd1*kqZAm6`89Enx^0B2^FVpwvy-fDxcjC)n-zuGdFO64R_3=Top);Gwf zs0jK7jqH4u4lEB4i2{*f0MrVV&KDLgo;W!qT=Y3&W<(^6jdhg!{LME$GJzm7TNNl> z9hT!Wvny-8pZ@TJg9i_dR)XWF>B>UxI+f!G)Ug9IH|}(=eY$aIZt~!G<>=vH@%2@$ zN5)Ep=g!WBd9ZwCt+i77{og(Kt5yS{Nv)aLkv{=IKKI#w7xdU)*Y*#pJEe0=3I9i=l< z#d0B@KR(mHsxDo-UMb0BIacL(c5WgD-0Jk!)>ge&ag>NS=PeOTPET!bZ&vx0`zJm$tV6=-TxKt%72y-0t+c zc`iT;x0luySGKlx=1(5os?}~UER_loDi5v~#0fbsRzAJ47?6DRnRCr{XLzJ^@zVP8 z-HkA)FgW&^fD`b7DKj$5F83|>kBjb6NBcIfdtraOeaeAd#y&s5!5_eTcREuOV*s$eS?gvztq1^?uH798>9;N%T3oM7b1hU{R@J=G-Mo2~!JBAkK!p*Y zVi4}Ny8tfjsjZFp)4T0BWUZ;2`sJ-u%=pOk9N5&Qby5Kc1CZ>dW(j)PPvYPn>g&tP zkq{N333NX?Yw!N`-fJBhZ2*A2(g2`H^@9Zv z5&k;@=^m^H>j7kXu>Nirho(}Ys1*%5fp|~5G5NSAG>h;r@y>t694-@cyeK@{foD+cDvGQ zW^+4U3(5+gH-CdgU( za(%6}^`g8dLg;VpfC@E{^iucQt8X5dxh+PAVN$mWlmQkT6!v|CCr>1R{Z~w_(Ed1W{Up+QEWu3cx?bekW%k6gih1cGB z`qA^1B-*OC@6>9aUB2Zh$UG`j0Ek6GfYhS}B_zAWzu>?lTL2MAP*6O2=?@({8w5c& zvj8wTIW{>tws&f0=4TPX1HAhFM^`_)^-rs#C#McvFRZ`x&L>}am_3|1b9!xUZE1Ne2#hfX9AjUiHt1ptAkdd2 zXbRqE-z$UOHtq|_MG^4CTDur25;5pCNS`QG5rgrvO(>gl zbo9MT3$MMqu(G!Ehu^>O)R{pSW`5!3e}3UjFwH0uWF|-iB7nhsi0m`>cLa_^3lqD5 zIIT6Zw3kG2F3GjK&GSd94(aU=?t;(G92o=9S=Q~;HnLU|{1h07v`UQ9Mgfp`*G<#N z6irwK0Bc>Iw}YU9h@nxMRAd4#n%N-))E5gOD6M_Y^;YY-ubvpK6kdMmF7fOeuYdg8 z-#&Zpk*RC9*KDgeQXK|>)upZX-@cX<@_+tMzJB=7=%*LgSGSv$6Lzc=eRgwWYq@4S zNz>WkimsMiv)zb8f9~NU0QA{M%gf8z`xE@w(Yc!kapA`D!Lc*Kh2@R*%w%zCdFem> zO9=cXqLf%(zPZ~XkFm;d;W|5>>Ze|GiinG+{+fq(xWUbuSY z=D+zjf5^F8tThfzj9j|D@>hTTnk1F-a5c9nAccYMr9DE4A~QBVHeQUE*Vn^Bd9)b+ z_?0W~eXvk0jRI7NjC1W>&zLXAOuH#|U&?vjm*U#TMeGDw1wj~v&RGXY3Q0t~_dtls z@B4MQTb|?Y4TAf6Y5kvPowaCF#re;E_DY`al#{}Z8+UuXd~|f=z4t$A|a$$rR$2x!^%m5P0NF6EG8?wxz*tq%*2 zA3uHgkO$bPHQ%{%<<{l3u#kNG$@N3Cqfeii?RI*F7;daC=Cz$} z7;jmZW3N*0sxXCB+vYykbAHm zK&A)lA7aH}I6XCPv=$zu#`eoM1&}!>>_eUGm83){!VF?m(0`uOui7?uSKmg|F)Jb` zYz8WWL0hQXNw>FmTD@GDBdtM)nPb$_ch?bMLS`?7I?r>PXN4s0H?dd*0kS+tg41VD zMuGY8&G*_{Tc=MPdGzs9Km6IpEzZC9dlx=hZNB@)hdT8pRcB|$9)0T6Pj0T{yfcgw z&27Kg+^N;NCaRJsWz6Qz_MiUnr;i^${_v@z-L!pZ?!e10y_Q>2CPoE7+@DGnXNd+8 zN3*jBqEL6corP=H2#^BptOX}&=C542+V1tb)^jivS7x+UkUYYWT;vlU07K8 z$?G4?j*M)zTUNtRp&-uRT3&aaS-4ftN+UBreBt$}@zGkXad~U&=Jge$b){VL-XaMC zg4`<$2D|Bf*m^)8(%wYVt|vvBrC|_So4@hK+wFFHbhtV`F@EFbErVtk8wuWXiWr=h z`^|m#oVA2mIRULyzb`%*i5nzqu_H&yGv*F+AcLe38G)IRJ&;DF6te>nMMRzyXhHDE zyPr!mDW%YRU@r{9_xY(H>~>g%!7^wQX>CN%dk`Q1+8v3)``x4ZE|Gmb68&b!m=Ph% zdWbp-V`f2uU986d48#K@MLXWdNh)A#uLP(o6HHv0|s2dd60#RVtJKz-63-CFjBRGNV9g769*^ z7eS?HH(wV36c|Ai*E8s(yXjk7bJJ5vdFb|5_nr4I-ntB47jG^smtuJ8kt3xztnain z$a-yOeRX@{aH-X5r=70xeZo?_XS-W+EiG?!+b}UcXQx#xCnId^UL5XIFvPCz-& zz0BrGp`2T5bim+sph}fOH(ddsot=88)rx|Vyu$!+>g3oT{LwR0GckZ#Tdrm8j)9yZ z2beuHTRivlSbe)&*5+2-=bq!LpwGitXTZqCUc0xoy$(m`h+RO&^>RWUE*k);wYHx+ zKfkn;VN}XP0`Sr=KN=b?pFDZYd%aO_udZw#ni$cf zo;ZJIv6F3V*EK1vjn*oPf~Dm}B1npb9H5+prD9y`u|}CcJh8grb4yA`q;dee=idA! zkp7-q$^H1@p5N(i_G^EP(s|!W<0KiX4%HitJj=o`>^~>Pd&C@RS5ts$H|=^qg2G)C z!px4nWtSMzblqsd2rmom(Gh45*ZP&M~LJkP1PN5xiJn58#9a&>&a<;(KZj8A$7Q zW0ep|?j=hh09tDWtaB<8$GjJCjNY>ejM573KPY=ggT0XQCcr`x1_23p;Q$ou`sVc^ zQ`YUa0YC(m(humR2kQZ3da(Y1R-q7;3V;CKai2aSQd_k;AeKu-Wppn~1t1I~5wB1o zq662`7tF6touU-< zLytc)cVHF(GTVK-^a=Ecf+7h}3g@M>8B+qP_lOVB~MHEFkFvSl)zWz?SphHxN z?{#x$-RSTzdvYFvAY=re^%n20Xr)Vqa--4Knv_B!5^)UoC#&MF&BZ<@%`X0(g-eZ>wrwC*b#~XQotyz1X$4S1p=|R?49h63iZ=_VDPc1 zuc+xqNSQF{cWN0xkO1z5+W?Y4KL%8o9RestS(XtZ=|F@@kx~kP*zX-$`9e+hD;Mpv zFL%y)=i?+E5RRys-EKh2JuJ##nRDR|xL(?6v08lg%+T!Y=asY8TB51-~*a?cydRfP9Z8hdbs|cLt{=%atwi?;od^b||=dXV7__@<* zH+}#8n}uX7mmtk@Ld<$;5S!4bUY4ML=zPwNnSjrphDBcdkR)(YBYn z&%Ukm^6E|*c>@-`V!?!-XQn|afxV+r$b^C)ONgP`%H*YkMw-^hT zuH79jAH8wCK0Q-gUl)d!P!oBUGu@pnUftLkA0PV8w;lq3h0UG!-(3P%sFvz0E7@z;ww^ma zm5gA$XWJQn_;I5rDk|*wR`bfW?F*-7M<&WiDf;e{Ba5xgwY9ZkA*|I~gp+Zi0Mds~ zo&$jY_`;`7x;s1T0Ebb_zx)6F$A9y${`oh*`uIz4e!RG{_VCH02d2h9yK(c=EARhb z|M&m%&)@m@ZIMs!=*0!0wC+w9((lY`BM+Qx7@vc`@=9P1|}9j z#E>Xgm=1ymaNq}X|FdXs<5OQC-*8`ohKGA36zzVfeq=iiB|b8sR5R56C05B?6=(WKDN^Yg86nO8g&7Ebffcj21Kt#$3pn%dE1lV&QM+&pn+BtCE86w}O z$Pf`Rw@gT+4eUj!)4kwXqe(;nZ4@N4(?J8iw&+TISMXnJO{5EVBz zceJ9hv5{Ucy?F6zqtRp$?>!mA1J()!#9Ip_C@h{(2hQWM6Q>Y(<;|s$;bAgnr`ZCM zXP-Gk61@7$_game3s0PW>Zwz2zIlnkf91JH@7&s6`{+_6ZZ+>tADwyZ+`0F!uPt9) z8w)2i6-0F~3YZxX1&Kf*dBUiW3^(e{W~Jerjgx3>e7tkF&Y(Rgj|xE{5i+6V9;i|s ziVOjOvrZ`$7Lra+LLCA`1*$R$55)%H?XISO9=6@Fk^>ADB6QVCKE$ombvi zXf>Ou?-X<<-a}C6WT`k?jiN`6M>nosU0JC|;V4Rok|8<7z&d6Jx#hCfnqX&pyBG&3 z(DA87K~HI?i;_o?)dw(@}+W^b#q{y6e{(0t7F@VLF2sEMkyUdp$Iek z{;cvB48iY_KVJw}lKnA`2%-p?nGlgwuh(VIp1si;Nh7IU2f2aT4F^_B{N?sYNaB6g zPJ3y$R4RDyy?0&_GmGQ^r63vUPooVG)7r}UBku3qY1wkQZ>S$^Wgd>kd8 zUA!5E5j)1d8y66f0_(l^0ALIt^nmMGd*j6Xc(F2e;rvvq-pm>|eLI-0R1ZxbSg-ku zSMF+YGZmJy#57c0z(;>swn_FVom#$D@c6U07J${K-r29XNdW$f4m{v-jhl zygD{ARw<9)-e{pQMg@ezF3qw|d33B&t<)P0=RE*QKRz^fh7E@Cb~it8@Yt!DaN*O} zx^81)Vs@pj!_uUVfq{o7W?p&o#_R5q%~Pj2jH1UlRdDcbkPLPz$5B~9mxl*C!;je#u$poAfj0uOskm~h%r8;!| zTJ4pg%X4$H?YzC+?!Elh^~Jj~G+O5FX02vkd2O*UawW@pL!;xDF0VpquCFAn2Rl>}!VHcb)y0Sk8N_bDvE?Kq3+bms_QE7=*dabDJyn+8B-E zT#Br;4w3qEbhxYRyN`WEVH8I2!cHLq^u7Q9AgWeJKEAxLRPU`dbfr>K5DZu*34{d54&ID> zo_5H%9zg8|j{}GX zQT=1=ob5~dy>sn$XSlc*HveEfSPvl6gZ1~h+O785>e_(=v!zm!r`gUP( z>sPO8MTJ5EK+>#NDOa3#&f99atW>bRz8M&u6pCq<_PSjY1S_kn?M|C>4o#3|sZzAO zyaEJX901<9c^!pFNNW`YL7HZH?y8j`>!I1|iV^_YZ60!e5FJ1e$=Wz9L0X2s9VA3px@ z$;Hj?-Np69n1o{Xm?C+ALBcC2L=9{Z!YIU@?d>=2TuLhC3r{@btaB`ZHVzdd18C|~ zW#Xf#m=uc3Ynz=$-RHf<#k(6jJJHB2gXwnKH*VdYKQKO0DS}8lbA=GncF#LJb?W%H zpFSTV0>I+NW~Ws%+E32RJ#+f-!>14buYddM#zwPPET_zh{KC4h1J?${rDZq%K#7peCsO@uWfcVS6hpV z+hfDY?DX)R4Zgj&Jw6&Am>9itce&GQoIf-1*u%$mYORa6ZpS0zJ1d(t-+1KQiQ|W7 zmbbTW-Cpt#-?_UP8hz%}e1Jadl6NfQC;e#P9cK?YkZDgY3qUCZk)S=MnmQYQ|Mn=ne^q+gvd zduC?u1XN{sWMpiFQ8I5yX#@p;2pZTDWCHrmO8etaZd>t6Yeol<_q`Jk?m1Wp?v_e@ zM~!}M1AF`u^(WW{)|CAsVqbo=+?P0pL_{P+NNV6F=z;JH-Ru8K$kg}50|p6#u-na? zg(OP67ZFjYMOcuDjI+5-a{w@g5z=mZ>Dy17ICEy?lS?nZ`~F(5-RgB48p&qeBH$B` zKRq#7eesp|-0E;@vt&310Plf4GkZa2tuvYq9Xj~bh2t7?pV}aT`2%B5UO4qPKYr)V zVwv+sR&P7Uh3bShCSw;zfwSEti83oY+nY(DD#v8T#&b!yks+_j6 zDI^thP*hPEp(Jm=y-=x64iBIB+rPb%rEYX&HVo(dU^>vy54ag;J-xwz3`{I&j2`Y4?V@+j+aGN~LnBj39Vt zzc{qBuju_R8kgYxd{nJg1{h* z;1od^XhQ1&6=~;SaK9o_KT5Ap5-ZJ|HCpx4Cm(w9k@K&8y!z4`pH-`obtyuofE)F8 zp;+}EOQqRbeP#Lfov=I?MisUWKm?sfK=dGV55M7;(ry5Nz8}hl`xArYp0g3|eJ%dp z%vXQXllBk@gYBi6iGmM5xjyp5{OH)wU;OwLdj6SHbJKv(XzWB0$6L63chg$Qy(tQ8V~0wN(` zf<2?~dt&F^zSwSOXcs*Vt_rPnFHQ424Rje`Pqw^w)cWpu1Q6cSF%BM%YA}Bbz-)7y z^-26N3>9gX3=#2xqxggM05UyTf3K@jDwc|eLC{%ODwj{6I(>I#g@TBb2?)WvR=cyc zwGl^!M!nJLcB3R}w|o5*@6D~w_&6)2a_1RxX7R#-3E5*5B}!2*>velw6Y4@C$^FAOuLL5sVT=qN>GsquvoVaab%B3m^?rgs2!mK!GR_Ph@P~DHKav z+uI9QFMjj+=f)>T|NKWUcf7}_7#6YHssH$=KP?(W@3+&eG;tsaO=YO^oo5~i05X6V ze)iM5x9?64SJG^CZM*yE6JLA&iD&-D-}%a)zw+sg8%w2P5kxCZjx1yDSOB2jXxHnu zm-}D*^5%ixn$+>oxuX-0oIG%Js?a}`hsH-L!^3W+CLWOZP<7}~bqJ2ZTUTz2>pp$v z1YTKN+iadbJ^k#_srROm+UEARA3p;CGZVunPR`{3Z@itIIy&~`!w&(#%*4ner;axT zUU>1NiLvrG9vO`3cYE$n|N5nJHTeG5pRfQ0fE3{W`|tlI%e!He^c58M>G1DonGnA0 zTLZj-yHb?FM!U}{0s+tiaNlN&1rd=51f>sp!S`RoEc*v2vfQaezW2?i0I=Dukq{|D zWI_T`C=i%%S0W$Z|6W6Y5CE#f$1xG3d_XJ)I5_lIn9!i1&3+|63u`E~Z3;D2lc=>(+81 zDQShCcRf{Dlv3W4&jkRIkXp@+BL~fer%x?jd$HHPRc}tWYrS$D3pfvcarH)JX7bU; zp1Zbu=km(BN@7J}{{)H<3wrS$EqIm0!Dtk2HfvhAkPHDpwOV=N@e@xzbL!0xKFw>b zhmIbZn4S6M+qXZvcGE*U3LKDDDsbL5>U9+aK^PBoJOZG6>U()$GF52!?vG!3#k6X1 zf$r2hQ9L1l)_JQ%gN}=1+EeaR)<#s|pY5sXs1hcvD+@Q1@>Ew(P}WUinEuu`4^>Se=W~tv^r7joOV@7y z^o5URa88V5F5coh--DV1PdN^?Gw+Y;t;Lcw@7!64Pk3yl10B z=fOEH7D~Mo7uL2bV-vMn4Lnzd6O`1u4vGi{KnCvli0^mJ{xvic?rV?ScRlY4&%_I} zc<%Zj43a`(^DNIZtuZi0KwFn1A*x_7Cj}(pcfp(LXW0QdL_t!7w%2VJi$($Fxg!jr zA2myW(tppYAD%5BMpIxk0t^iec??p=+F%fv;ix)3HV%*|m1rZ~c0mCKrrPaE6a@q} z^BvG45_liLui!cMJ&tgnF%5nZQ0;4;?9tBsM<5{#97w%@34+W^>bcQPMPPPf{E25C z4+9MVS+7ozq05z%;kX!tQLfEOb2})5gfS~;i~@jWyX$f<+N2iqUK_!ZW)Fb}!$61( z&{miO+R*x}kvXxYA|%EeL=@CO#F{~|LI9M0taP9GmjSH?!Xh3}_brC@g1CvW*X?F` z9wfV*(tU@`0w937uLXvmfAp1#g=(b=0AU!!LB!snh6gm$gY^J1Jy?J5ixiO%67;>o zr)Q?7XQuYQ;O5u$m~nMd}HPA&8Hqc|M=4n|LB$Xn%&Mn|3}~aU_F2N#gAeY20k>S)zhcX z-n!Phb?xr>NG$9!W?>aDu@VHOd@P;-$tn^kjd+f;o zzykmH#h(Vf^atO4?!bX@_S=`Qe){@rFH@Vp_KoNM@T(91d8V%}u2iayY!9VEZa5$Y z0Cbtjhf8s}+gjXC$0lMpeqgA7j;`EY>}A;_$Bv#qFnn2TS0F~Zw6+47JvBFXcw%_F z&H$jum?SRi0015yALofN2DrMlaih8UjU%UHmygc{Cx&ML++0YCt-W%;dlE{QTGjQd_m3_u_(i2H1a`-wpN`^tL~ zoqMKI`$xui15O5`!~MUAh;Wc?A}G#jt(AdJr@_u^P0ss5p@>LXmJv~&TS65W4SyOhEiZ3gx;gXE{A9hmUeC6T8hQ1NTX8YoSYJOp5f^8NHOkuZLcO*)R4FLZ zd9U5+cEbphFtpAwFgpvNkQ9L?#d)_Ka2yAbx8++Kc?gxPo&|BGR4#M@S&E6vv!Kha z*K(RA4A@vDF6#t}JQ&Xo0D3u>0s?@v*Zu6;+T&mG!vz4$uidzj!ZShOCdbY`TkYk8qeF)({T_!JFHQmgE6lXyAV&3+YfVqG@79y9OH>&q@~!RE;AGa> z(WN{Ysd>U&1S1M zJe15G9M7#?U0Iu))gbkyr96z{cBf@+7DbVO2#~cog7^#ttSMy%yNy;;K_!U`PKmWW z0whraylZrMKgA^YD`ERSvD#o@2NC=8bGu&K1jyby>kW{mP!w2~4MKotBh z9F6^lWe*?Vy}2g9eS?|;Y(nxq-K&4?xznSS^5$0ind655;Ir!+3pckC9p>rIGmo5j z=-9z9QlLsddgZl`uPzMHNJ!edU z#ge|~d4XRnRuR5jijV*fT+WdKQVsxGJ!ocmA(jv$RF(#N2ku5D-~-m_!Fm9h9<1Nw z!h3Z3mp@+Go%ZH-&9j8Y!~s#>6PM)*Gnauc*0$D@IJV+@sr3v&n6UDh>)M_}rZ9+* zkwMZlt1m4FqKXpake+|^-1N+pCvq0Gb<^Gt{_s1SDZKFdC&kK$tEc6pFgJg=zFoV0 zYr*=g7i53|DHAYZ23gb+#83)^%8|_>_2fyT^6KuEPt0&Yg;(FcEhSeRKImQ5wz`q= zf?+B~kwwf)<>9M0R~8qyM~14mm)79=a#9EhB@Qvqv#nZPp;8EKNkeDroz^4YI(>L* z7R0~s>MP9f+dudNfYqEA0u`J-HGgyt0Tku%=xFDID6#IPRqhpjOb(>q>Ls6 zfLz6PDgYu`j-*7Z^6*3zl?agamDvgt^jFP35l&>?*F*21ac^!!kTu^(zFgINbc07MqlG0-%i10^-H(|1+K;2k#&S00BQfGQpN^T>nLRC~4J8%55a2 zV%zSJHkwSR!dA2O*?TXgsD~<}T~!3t1%O_bvu(wiwRm&{*xK4@-(B23Hec-J97fX99OOIwy`zJ@d#Rp9$!pt@SN|ywz@$$A_k; zrvtwlhSuc8fBd^oefZh(`|n&ThVIPShsveMWXpw-*39(bV@Kxx^v9RBcx7UE?CxrN zYiqL*bmN2$9(ag?l8(p3#LaZAl9*>7nZ5MM+nZZlElzyp`MDeG^%sA%7OD8DCua3f z@uTeWP@Y;Z(-gxhmYw;*W5uZ4Gq7+XzTfMxhqS&mh*V)@jK}VrV67|rzx!Vg% zuf6*IH@<&iW^&}yD>oY(?t9<9u(i?qtDk%}F*(-hv;YVRT%L?m|dUy{O>DC`*%9w?oeMps|gXoi({v?33P;n&N_k21feEm z?>vA~6e#6$ttAraxom58qR^{H>v!{FTt?Gj5>kelSWpoIy|rOf zXmxrMQxikw%B{_s&AX*I_Nk5HVr69V;*IOJyUFQJtA}GV4>xR(vo9s_^2*ks1J$X6 z^KV{mDPpBlmcQe{kpHHa-AktGp zVKBrb*5$3Xgu!?y+Pgdl2LL1_IxJHA_n)7tjGYGWK(&E0kRJ5Ca6y3-K@y;GfUP`( zTUX+COKo@Bg-UEG1QC!Nh5DSbumJ5QY4;sCl|hCm2tuVoBI_~$5hN%5q^W&Qs(8=- z;n&8`276aqr;}~%tg~)I{mZxbJMX}Z< z>-EZU>=*>B5Uy`+2VsOt<;IAUMLl2v7@<0ycqU z(TJD8TU8l8z`7^7GVGI(^5#k{k9FxlVoay)Muv+2=pX;~<%>7&-dQw3NCYmIPOq2y z=E!jA?Ac@BGoQD;?UbV8>UMl^dcMD-tgLq)J^L^~L$aG5vz)BPFsY3E_8&gn>UahY zB8emFb`njV$%%3n0sy$P*}Ss82~qLkhfWWNCKs2oL`HdbLs2Tui4-kx-s({?AQ7K+ zCsRDc{ zBeJJr>8JekEgyhDkVUlCq_DQN{qWh7kDNdCAOF`MI_H!In_FfH!@x5Gi1%4vQ%OqL zQAj3Is$5B+7kH?WDKkZ)$S9-1rkzyK6w4LtP${eefEQ6JMG2e?dG;PHDN8^e41i`N zhlB_M?2v^(Km!VbEUj!3LJ%gN9eWnP=Q-Zr&-*qBC`bZ8DnKGY5@aAT2<*{|h_k2& z2Qaj6<|{z_cPO*)*R)9n0-8be)fxN|U~R<<7whpSW;1GI;gcZR8Q4 z;cl8~>1oOjo;q=3`Bo#mY3@}}tN&x`) z``(wk&5x!wijn)^(xpcqJ~cBv4FL6ybKJ5%L(Q(< z&Np@r%vDB)i&i4*I_>5*fLKSi+dep7ovA!b8ng;7EpBeqHVc*F#f9Z|mOpa(XenW> zv6pv0`S6nOIa3H4O@Hjv!`)UK;K=b4F)CyTNI18vqyqFq(?K%w*a2`9I!EbtXw&Yd z`}(sN9)D>3?&6w0I8+@jTQC3dPu_j>(No8c&gE&E=jrTdl5K?vcYQl0>n4kOf86}&gU=?b$*XUCR4s;c2an!bZrAF=#>}U(;c15{ z1_1U7Z5&4B%2))j2+BcLPg~7scnBZ>FaQ>C3$P0u1C2M@n~mmHXv!h!n8KKfS&Hll z2Ofa|Vbl-&CIn$NL{VaFFINiEtOr`LMGa(#yv@2@HmV;)3;#6%wD+znZFC$Ja+@ET z8JQfP*lOfKsMO~n>jQ@A!Fm9h9;|=xH8nYP{@kOt7H&Dm3U#NOmEzcwvEDiF2vxOE zB&E0Ojc#sDn1m*T`uy<=5+ts+Qz#Ds!iC2k9Vt`*KtrUyss!1gV^tGLnr@3QMu|)9 zn^)eBljzj3htfQ2G#ewuN;!bxv7x0~dEfzbAc$Hk?w2Csi1Ew49)7)s>~oa|?jfhW zpN0bcI1cd4<#OrP&E=)V)lR#0{P=vU-EmF=qZb!flu{-P;#dIy5R0Nf$7#DhGf_D( zF|>56k!F!b>~S5GILeT+;Y!#}({8Fr3dj)vFk3+{VAyL$&s6tW%jOoMC@2&ebS{p? zA+iR+7Hrh_m0E8!Dq`31rS+~6`@jnI}{Yvw~*w1e%0K~RG?hkk`72+0{OChp z53hXi=6iRuUW<%j?*L%9S}eqIx6w#|jW(egD-@#`fB}}a+smt~kDi_nA~^Zbu}1y! zU;fQIibat~R309gXl2Br7?}`Jk+rrznX)U?L?I!o5(za~^zFwUo_+fK*m~W*`16k* zdwlM|_;{MSdaHBgowcui=S1ZE-~Q}otF?W0KJ>}Z=4Oh()l%F`?Ry`7GBr{9Km1RB z@aD%?UU~hUv1IJVjg>GoN9GSQ!&`4(H9>%&UijHXkG0TrhKuI*^^1Atvplda*IMW7 zH}5Q6n43C!a1Njc5dX!?uYL0A(!m4sjaqAI;o3KznhQe&$Qr%u$3OiAno6OVG#Wd# z`s(D^X#fQ1UcP#>xwBm^On~PkGz-^nSK}jJd-}2dJ#g{Pl^?(K%h93JJKN3r*6L%& z4nV;Gn7a#0S3mx&P#6J_TQ}EF9UeV+V6uM(U- z-7IzNwAwXbkk4IN{41|HfiI&?XmfHuZxlUtW(87Zwb0YjE{ zOi9Qmd8cu3s;mIUV);kkox4>VxpX60yt>qGw*ylOG_q&e%ZNh&=>s%m55|Pfd7_}( z&0cuvjV?yzk*Tbgg3@TBoDHjTuj7-^p^4ce7jIwnu46M>Ptzb!x0kk1N7@W!)@h^n z?mpSgD#C${+;1>wRS=O-dGD0gHx^q%RCnVy}WKYHo%)f^y7imhe~#2hD)@bY#;LOwV;0sviY zJmA6EV+Y1YUwPxwPP_e2{_ra`fj8gzH1Bo^RH0BlbN=CWn%}y+M4-e=5QHvw)>^Ih z-w2seV3O|mL=-4ZfoZgR2TN0mwmm2MYL7mge~`sndf3_w0B#=-L4b;HT{&~WMw3ra$P)lw`~0AMEr zz;dC~3ls)QduN@?`v$bgs9E+5bo(;8duT!UepK|OkV*D^W54BgKk-Nbuu?jTldY{< z7^>mX;V4Nu-Tb@Xd19y5yt#09s8r0Xu=fB63RGZR%F|=z(NdeU%TtpZm{iIPP`Q_MxG|Sf#q!Q5y}`VWa}kkOSmG zB7n*d-oNu118Ea#GKxr%^4_z^z8o3Z{bXoRAz*((ot?FLPDF%AgkC&*R%iy3?z9gY zeZCTvzgwU6R}5DOAX1+y1OR4Wku-&!F4Su>QY=1xe)84VKTA6i2oIMFhYn1y+~p=bv2$yCb+l0Z=}%rgFgL}5Z@+&7VSIRKw6$T3 z21My!FL6@Ha<(?tqyPyCcW1u1Pl9;%l1Vu+OLwn5_4L7SogZuTvg6fo>JJ{-sI?}; zT!qS3DrYK1R#|M!^N&t9n)P!J%{FYdU6*nx8jWDF-hEg3vk%XY1p3601Ba)kUDt*| zJUU)R0wj6qS0XAAqL5d5|>)wu&iU@D4-9C17^lM*v_JvoLmX=!E z8*6E|LQqK4t-zFawiaD(Il$raXuHvV`DcIAYo`h$G?k=S@PRRbS>4(E^S}PtNYaXu zN~`16H)_Rlb$z||(#x-cq#)eQOrzDQ42`XCY`yf0R}ri>L4CUkItapGw;v$_+=nWN zkQCyZ*A{kGZ*@DpFiPrm9v>MEqR_J#qwY%@`aI^of3`{X?*3f}v3H5`o`Yt8FcGNF za_%wE!hqlyy!A#0N)xlBxiyGE7nFz|N^Y^}YL{mC^{n%RUy#jzJA_V7ch7bTSxaj8X%^SD6iV}b> zU)kE)YCrn)F;faqMF52?Z99wXWoKurSS>^mxV+tJ)yaSt92uKPZI))OFbIWxr_&X7 zrBYzCEHUMzSYSclPvjHPL_rV?E};L`X(J-?o{iBP_4d}*wutKWdQl|z?;{T&(}VQ@ zGCf%Tu#3Hr#&V2^#4O!3y>R6G@ndrtTWu73ws~%hHbG#JdH}zC<1O3C3zdQ&3fnY`9lD(#@uPQ50=Z4c`T347mnP%yM6JqTbyR34WT)9 z@_4P*`Rw|QFbYcLia6(Tk3d?X^qqYKkdSn1dHwn`j~w~!C(dR7P0m6J6+i*Nfz(>< zp+Zp;0>I6V`{^%luWmM9`taK9w;mdcvp@LW1w#=)_rk-|{p^TJdG^rU+}*9)w-!FN zv-3kq>Bg<4b}Q{P+jke1Myj~hY8^daJ$HCSz|`yA`d0n%69rJi9yC$RE&>ReE!HLi zfB>UXWo&ab2g^D}6^1wNw#J7>m>@;K+}Un=W4&?lPHVG4D=U|3XUdNsohp|{x9aw1 zZ(Z5kxbb^mdD?ks#}a_fHtX--xU$@7{Au>eljlyULUR4?op#!ViHXNgpI&LUZY{5z zIB+O2p~gT%prpEReI+cEMuvt;VZo?SeCx$GKK<5nj~*Nw2Y?>K3qOCuI`>b%|LtZ5 zY3jXa(Sh^q9V064Cko;{PpW}S7VU}gnAzRi**-T#Cwrz4eP^qEhEqteoA}fhxq0>? zP$(4vT<8?e#a$ojadq_RY6GjN@4Apl36J5CBXR0g<u! z>sC7}7(P%e4^?{&w^k6>v*HG^OW>SUWWibB&Qp&Ze&*DnvZ0k8{Mk=#r{0)Av1=kR zAOiQpX%PT|*z~IcQd&S91E5Cy9Lv=A^QGNMdX0dnl-3Hsv#_uiVL%Y-*LVYyV%de1 z`vK2?W$m^9s6szMsy|GiLH98!D#%%*K6vv+)AFTq2@lQ;Z*2C;#q#vz(aRrQZKtMF z54$ZiC6)KufDr)H>%E=YP7;_fHf><4b!EbfoO{QNtRPl}gi)C&0n6Swr9%K_Vdt#Th(O-63b2u{RL0?{N9J$bUHs4g`SoX>ds>3< z?T;@$|J2;0N9UF|w-1etzxe*U)8*>vV<%;%SZ{A%zjN)v>8Am}u~ZHG-Zvk~vaIXU zL&H(G+pEk@0DuQr-l|WHRtp8~eb4$hhyt`p)@~+o$%Fs_po;R8O(E7GNVPzDAQdGg z0L9MPET=@YqQ=fnqh6aBp8~Lf(c(o|iiJX&W}$I{EdW9chK6DvOs;Hnws#h5^_AG@ z#XBE&&-o-QdG>|4h(0n@0&lmsx@+CK&z-ntRAvaPJ$9(IN~G3lbQ>MPoG}n6Qb>Yw zb8!cJ&-n~gp^#L(gPo4uzPV(5*EtSN5p{$jh5!*oK!gQE*aN6I4%>}RcdONNJ&|S{ z4l{$zErmKuJAi@Kp$LDG*F+JZ`!c2X`X%_epfBn0+U(FyDFekmMZ5|w~05dU-CHI>h(Bpl*>h>m1i&P8CX%@A>9)K0`=|6i2xAu z+>z2i%6sp{hG7h()11W;5h4c2BAOUg5Cp*FoF@}9F#;-L64{rifLdz=u-5LNaS@n6@bcYTSZ+S?%prg>z-SbDr3%Op1gkjii_m}}u-5+fAU_Dq5Ak%~O54iTm_&tDU8%9C9 z-TL`2f3bM?(4&u^RSLBZD&=A~?QLyrrq*6txbxlzA5Bfoe*atFm>e$u^&9VvOi$NS z-fFdf_qV?}KRf>a`A;w0ytDA=laGA!>C^9AS$XTN55h3o-rgCimd>Azc*JceYUbzuV>GknwuLL1Arbty}3S99WwwBs-u(Rw*fwR`);q%Lq&yR<>;|v z-PGP*S~5VIm4G0s-HG`tdJ9YA*XU-f31caPj7kmU{ z06_U%e1>F3#wH3BHfz^J4UJ9A?j}g|gL)KzbX!~C^Od#LU)*@N)A8ltHV0Mha1#wB#~vvZFDz*?=dxZFw#m4ZkI zvZP%4>~>>${bnAU8avxXlZl}`jEf_cq}T1f`TqLKdZ!SX#pRknm~;5!m)~KAdLRWA zs8-h1oK!}yURqwSWkI#DvA)hWJ2*M{$c2*;fGy{gdkTR8KD%=1(dW*OmJ1(Te7{h{ zQc~=+>bIA+He10@Ub|k3n8fO^(r7CO>OcMBV=F<{&9pKKmCaLN)*5$bdHWLz*dOe^ z-@FZfooNBmAnZeSo8J4_sNGifz*<6p0ENh8S#Di6Ha1zWH-Gr!SF$uK6iQi^_tI3t z3NQq506Nr4k>!HwAvHT4Bq5DC+g8@>=6SDDGU&TU<{mnBB>3R2U?>3KSusnuvpq5_ z-+ua`6H_GsVBqPPjvgNW_;P~-5_`U!4B`+F#Cgv|5-MXAY_xVx9I6(Bu;sYN>Vr?Vl2Vw< zSZQ=_rI)|@(e>$Xk8ftK;C%*=0W8$o+vyc&Wv;r1EATT4tlUtjgW@#;nVn1J1vApvAzb`JL@7->-F z5~7GO^F9LV3kYHFU%NSH{pG|erL;CkJ}}yPZ?jY>tu+KHmB=-kJ1tj?^vt)v_B8-l zs%8K7fBE;J(L?1)W-n~xVBnDmB1$Qg{v!s2fD|Gr$0!3*8&On$$Ph_Wu~5&mz!EpZn$;XPAPF~rQAWex?D4z!7cwMZbM zoXZ@tpq`qUoGd3f2ms8Mlj-M%n>enI5daXNrk)B!xi#fA;=6$g(^;55&$nKXc9V>3w-$-BsOMcxYpWoofHg`)`yNCO$v8;?iLrm64$^w>ug^uy)&NM7J)Eu zZi8}lqFSvnz-F`SoW645=4d&d98gVXCI?2*`IW_471K<8=CKn8zyIU&I>S8Ax`q7c zqYIBc6qPEX)mvA}YGDP(Y0}zSSc}U;6O+@MoB8$Gi#eB~a>En_`r)`Tv(UQy;RheQ z@bJSA9-P{E^8O$H;FYb64j(_6uCHIY`eU~8>8HOWX#@an+%Q{BH$H+Q53{_bDxnOc zZWnr~?Sv3vH55@sTY_a!m;l(t^;M(uPM07U9~@fmq}{E}N~tOXa)s9-(3-+hT?|w@ zU1LxTS9TR?@8+e&Z}A&1K68JqQtrRqr#4!ze{lBJ#@1J!f8xR8j~0*sz((dS+*tFK z>9uCQoMh-t92ucY1@VR14W(2d10`i2krDy26VO+}fk)c*4c?iP-i3YWZfFjlV43>P za`@>;$Q`V8ClZGG&0ZA7F~#0+ynp(0CkIMedjK*PRbrqTD6-BO z!%9eCkD!<(qxq~}@H4RC_AA}?#qAqhY)44~Frpwr5J>3ySjIa5Ae7rj67fH1B;srYiV~doQP}X#P&LEJ2~9g?DTFdt*1K1 z2t$X&*iU4!z@WVJ!UdUhUQwbvXgRa=Ss*7vSoynJh7U;Q_~a&k~_yIOS( z{L4T6q4j}6;URF4D`W)cytm$~AY|v9btH*xP!A^OE}y^oz@Z2B?rJ|=?AkNBd#d`~ zQ#VKJ>hhJ1w4*e@{^_a7YVB4hy?kYHVsK!vu_J0FEdrHgT|$Rovu>|4JPIiKfVN2T zi|5Z6rz2ICShfb8Gu>`y_wI>fhaVwiWA)j~3)dGGNd&S)5Gw!;zyP9J8;j$fx4uX_ z!$V_JyN&<=KqU$w>ut3+yC%1a{qV>{7z_ghNOGtF-~Hk1-F8uJ)TQ(mosuj_14saO zVBg_`htf;u*HtMe>}_QfQJ^cZD?MMG?hAf)G$-g%cnN zoIv_)v&)Z#wC-&6^Z^KdOrv%;FT;JK@tw1!KitCpJ`=M9NrDi;J5NMX3g<1e_l^Y? z9!ykqHsqr%NP&b6`JNLBV_{;mBm}D2Y1f^z~t!I*>e|d zVTY^rwS|>;-}>OdfqlEjM@Jgx@*RzF>k1mFwLJ+`eoXAlT3D+CD!*X#C<9XhDP z$a)|pC4vKZ>(YE!8`w&cvzM+u_rlYS>d?RV)7RJL7M^_Kp|8B~;QMpyFaO{jsZ^)e zsn;66|Jz@bgn#ng53@ufqxZSu-qPm!;J|PtE=^70fyo-W;xq8zvNEGCGXQ}uzwmlH3641u_(&7RgXdD;sSNA8HKk*(c6j-!vX1Gsc1Bn)N}YTs?Q;#?i4|qf^6Qcd0Dwztt#g;whek#b^G?rV7_#%igA_81DS{4n*UEBei#SiZrgih}1X^gboPu%sba`adWp=9t7iZZZDzg9Cbqwt!yt)H92+ZX zD7c4Ck~hd5!fKIPNqvyRzK;$+PK$jCGtp=8JFpW;v(q5;=_F(lLJ5KFa_>B|2X=tK z4uJ@W0O?bo?!Q`>g20a16BK!orM6NjTWgH#DJ5*KLZzIcoq@J<&LRauYZk2xc%wVG zSvc0#d1%gUnUs7y~zz)`Nh4{gY3D}P2PI*bdfUC|I#x7$xsEWYwb7QyJCAi0M;xYxbNt$nYw3G zDt_nv3+K+=ViO!bv}bzq1PR!`=g5`oOW*qTkB%HWI5jm$WVKe!PVv^8r}MlBN@Yaw zeTQuJz)VC*FB^&c7r*?p5Y)@^Fm7DBygt8}MWw1?PvC+5&SDbYeL5l%0o|5RdGB^u z65O_z_^h*nnLXeBDDxc>f|(GJz&Y=|WA@%TA`F7SI$Pw0%yk@>RViMWzqPsH16L$1 z-ZNGEFaMLj_r2FX*j(Fs?ztz=oI1U{xEw`+Eea2yv;q&#*=>x72&80Cavp%0kQ`v& z_Z+qz_7K1$Fo5XeI8S}oy`5K%ePDQ(n+qafpTKj_Poe$!2o%0+e6$l>N4H_qwi_XD zN2@vO@*-nkz=8n*8Hp5@op*(EKr8_~BLM*;8$gvxO48ms;)En%>t}DwJ+KFf5P**y z*>&;UM|rlcWU#Thylem9@NffoU5Ef+leyaV*9HLzu$D*v#(^-A}?Kh7eIXN*h`Tjd+uU@_O)RPBadgj5`uU>uQwJV%USLDMZ)vx{f z^Etsk|KUf;X1iXPcHu-A=9}i+n^%wj^6=*l9sArN1E6+pp*aDhE>}?$M-c<8UcOmG zYWLCO8wp%EKPwH#$mA_ak>3dhMDE{GZY#!st634o(`Qa~$7`!cCZ>fD8Ng~c8?4TZ z*3l%;Om0o?+6SN3V6+*u1QBET+TCuRi|kXU|@K{jCq2f}mPoO|w^C zyLxUmE26Tf4B75h-b!6um4OMI9}pus2H*PN`g38hZ>W0k_~gOk{~*hH!lR0-{lC}; zmp5KHz1CA&+iu_hB?eR#c?W!NlcE@c&m9@j5x%+RN*(*iczJrToQG1%((+>a?b%K< z=dwecD~^YJma3u))Nx^|!8<3r-sm7I(xlhUO67_Nl)91TeCAv$$-AK_N@@U<1)!u~)7Viy1OPPN7QNPb2}*&+lE(r> zkHHAwh>(nTngJbRp}axR{*L1AQ?G)>XSPZJAhutc2k3XN$S43JL}JLjPuLnoMxZHd z!a_4e4mE9$0)kyu`- zUO2}Il~_pth(Xq|9#be~UIZnU$`q0F)?48yGR}xd3jpRo8Nbw;mB`7bTf$1nLjYfn z2mlEiQu++7W7X@}jgB3wNaM1qq6dx+Bd?!7)%)p>m%s$|(sWpx-zcs}rBO}?wKor) zl>2v&zkN2lvE00GPwmh|_|fU}^P6l%Nn{;!{cY?TFvjB+J9hTc`Z3}{zj!y z`#0aY%sx^>lYji`trN$pON$G(r(S&NzSWHz+-o9a0HHN)Z@O9_u}4I$BOqi|01$vl z^X}yI_}r}x!=57HWu}GDB7En)%bFa3Cl$^wb%N5E6E(COvsY$0$M)8ika}%#UHihz ziW4PGt+Qt@o;fFM;TVOGvJ|nig;deE9)%8ZB(eBFe>b~O#j`lw?rF!!EJC}-HuUe~ivvhcBaBOU(&va=(pP~xo z(@#Gd2MPdkYi_MAT4~s!3S@DlsZPxQU+O;2thmKuGrXEKYD!Vz9ZYe zZhpDDzJ56@#Vm~?1?<`TZ8H+)JKQgzzsoER0g&bP^n-!vv%x$gpa;?S%iBRv+rAOZ zCGY`&rziJJO^=;E zdyQqSaLmB2=$15ERoUuwg8-Elop!qv%b{9hb!AIr!j^(U2q6&3BiZeV3^M{N4-91F zc6N{grQ z5>hDMw&EuRnTNti%#;EEGC8n?AVeVygx`JjluI-tv&4zP@#WcTSt~3xLThi0Obk5y zqH_u+vI*zIh z<853iFDx(otAF(el}2^<-o5iTu1R)4&T;_=AlQN2+~S}9;MH9Rch_qQp(nKqBZ*`S zW7=D~*EPU-xg1r?p;G9DXEvU#kUCG;(|E5Jyz;~MiK~%THs8v+txrg)4lqSiG>Xje=^yb|BGf$rQjb~20eSPzl?_R8Oidkp({+VC?>WcxwAHH@e zyVdl0+KH$>(a17PZTHqf^Xo6a`^W?PqQUanix;k*ntkx$%kUk-i+UZ~ssjD*|l(u^E-+b@Xq47#ssx@tS zb1SV&t_)NRnvJz7V`{z(cZ+j-kEE!wQKQkfr6AH z;JlMvyD}S34wq|TZoppZB zbMteFj~b;~6%q?Y;bq~#3$P?u3AwqoGBI*!c(Arq?jB3x$7UM0hi^yr*B!dIPv5Mf z&uq+6NhQ!~?~EX+m10Z1)RFh~xRU24X(vHc5>m3qY82K=!{D}A9aCgVh(^7^%m5zH z7rxcAv-|g}WBZPTK|_gX;nwW+g|$}ant?!a*zT+k4VHF|4{hba++xo$BchPvw)p<8 zf9@!8{zFL5pS{0>hycPdfOWX-r9gmyNJIbtr9cZ51~6mXN{jm8L#k&xbGOFp)xue0 zjAk=bQ z1p;rkiTDYQ?KU)I6f86_Fjx<+&jzqJ2n;B8cA^jgK7Not`zR$AhC_bl_`tCvGXSu@ zrxS-kT+;vm6EGn*{`g02=js5!?DC?kf);R*+st?yJ3<7u4#-OoU>$IdY{B;j_L7+Z z!1+EKpxHYF4^h0>-uUD1zO`$-(ra&JxlzGDHxJ_JeVpIWt{Ij#?mN2s^i}7u`sl-B zA(?%n5kT_7v*r7f%1|t;0J|q2{)2D7zp$1z!YIqOtW8Q$d1!cid3o#R!iEe~wLSnS zHn)0lC@ZBh2z>qBIr8}nPo1cjAuR9u@T2BO7j6zTs+$|@-F6ab87LCS0z63~yIzjn z;^HP!aQ}%1mR4U&x_LkZz$yxT&MRvzfd+v=g~p4ZTti(^G@7$HclB1*?bT}4Fs_E2 zTMRRYN=I?I-s)zaWVuwy@(pK=M`0cB-FJ9sSlnDZU#>-s!Qtj+YI1O{pJEq`j89y? zI=`{C8pqYa`sm0gCTXu)8=k$n@yCDm-LZ)=rS;8Qs~%JoS3C%k!5eR%yIT9exj>1= z%32mzhO3S0g^M>Amv0J%7Hji!w}P>L13M)R2o~GE$c-XhJ7N4gz(G^KvDoh z6vbe?>KmO6(*<;+Hlbm-S|M2r?P7DO#-AccH zMl}Gi^Wfb;trkdSvqBJbJ00F$asnZQja=7sIZ#FfbL(A+rk9(Qwd8|Srwr9nDE+%{ zz3+V(5AMp+F4)XC(PJqo2I){qR1%eU6c%1u&@2Fj7J>)_+~qWL7j40J@!S7lWX9d0 zbGK09yNH9*|q@PMQ}>OKVbT9Yi)a z)_~HI1QBwU*!MrWbmi*xfqKcBR0pI2<=7-?w!X0bTyR)K&53BUkcys>a`zNMlHdMjN4 zLIM(_1N4Awy~~UtQhWF9zj*%I-1RHZKl{w_`wqVH(+_Vg%^f;C^_$O}m}~dmdHY61 z&2a1eBL@e*{M8p3;NQMIx3rY_%;*4K`a3T)x6+@yayE`a?O=9c?aIOm)f$M17Ju~4 zMMhOv7nQ4XYb%*?%sezSmZZhv?Dc_xL7yeA)07AxRBqVoh`<56Pc*6s$ew#L&xk~$ zs)DhlPOrV(%t#Qa%q6F{ZrYM8abxyUN@te@lp2j1$YgEv##2x3d;Z|W<<0CTufH2h zJiKr0Qm@t8N-MGM=54lS->#|J@ThClR+_8NJ@D{ICI0T)r!Oq7$E9jF%L#y(U79av z`PaYsRz+g9TyGhZD$Wd;W?mMhv}oFP9z%=-Rv1e_-b-eI3^vQsHbAYSg|`JTG_&l3 zE0^Z4t&PMJrZyJkF{P|(B4-20q_lOAX9c)`J$YlvXHt95XbS7efI}n+Oz0(m2k5J4 z7`BbG`s)Pbxa)(ZhfX}Xzq&o5-c{fG1t@eswF$QO z^gjF7^i!S?p_}Fp-?!`7ks$y8=njoCfJ!!#UMCygRe9+C@=s0~lQjXLFhwtKmr7-8 zGY{S~IbZZQEBgKr$c*3!EHE<(Cb4aH*f$3U$KHBt3X_1uq_CG}*PXReM>cgbQiT?n z)(M)=e&O)0+W4hQI(HJ>`si56f;48JT(WjgPD$r9x}0)GA3FFgou-tpI$lmr+<2LCiuiH*zSFtQXbF7)F)>l~kx4 zdJleSy$v9$)mmCO%aVyab8hp|@u>p`8}*?I6OWHht}b2N*l0_V1QIAhRf;6e)auSd z95;A9FMJ_T8f){-B6FMFq-QNkU1JJSs)4%R+Fa~znM$Ra=e;xq8P_+v?%h+jn$3+` zy?p5CejPN~oN-Ay=7pfl(o)#uXVr-VW_u~Wb5j(4k~$OjPYSq z@jw7VAhEN~TEPB^_Axh?+bX?2DY6sa^jCcTJ$vKq-IwV88NuHB!WUWA_k%EnHO5N0 z-OqUM4dzmZLX@s9Y|Jiq3(M9(T&j4vHySLq3bqcU2&9xGHBylm(s_)i- zLQo537oZIwsx_?Eh5&MaJcu+v8*rlC39sL{mKHuOIsiV)(=0D4^*R9j`Z9& z>0bS{SO3mu0m7(UsYn^sh`sc-@1@7+JXl7~i@aLa$L>4aY}vDCe)`nO(i4bN&34p^>5eM~@e+)uqJ)qsONw$1hx)3shpd z=>rd(eE7(rUN4b=WPRSvgwk0+%URlObq%nAl7b+cV z1ps9YR);x)C#*FF?3BK7Fg2>>8T7NsoJV@Yeh z^;h0sy)xT{An&Aleap%yV)TSUdLexXpjgg?S zr^Cvb%X3i-Q`6&}ZtudS3sG1N zk^(>?W8e6Wk!}~@?0N?=5g40gvHr+9*xX9%2XA~BhmKSwlBg8q&PGxA#@p|OB_1Ci{{9bsvbwst zZ{NXIt1SqWRuah(u(#b#GmOf4)(cCqRHb%vixCXlUePqBNSziyY=BS$NMpe|2F&^y z3IdUhPYgsp(q4P=8p~3N`;P3}wR>u1ZLtz-0%!y(E|s>{S|Iz7^KSm{9?^8K?m?z| z_19PmJc9#J0e}MUiwtBe03`q;duCuKCAK^5!twLZJr3kwef5pczwr382d2LL-u(OT zo;tX1_pg8M@vF`Cum8n2ds|SG!Ei-9`{gg+06KkU?%KI)s@H=`y!VMGm-6DwpTAQo zR|hI(+g*G1>EpYG8=az9>-lE46H*O_pk z`u9%npPH$c%R`kRPFEv<=F*Zlw0F;7ZTt9+0&voC*?u|hVZ6VvH8EV#Bjq>VoKIWU zvqGT}tao0Z2!cp}0DyFB^YCCT00@F$V0s#+VeP2<^Y?FE?>4=4<(!09Ox6QSEhDAd zTPuh5*B-oYpR^W?1+7R4Ys`(6)_Wh`u-<50l~TBmg-fCbX78PMY}{~lERfm*TwYxH ziy!=C?`ZYl^mq`Kg@@;!y04Fy03ehqknBtWh=j!KVB0l|KLHp%A=U!SbY5G_RI!K3Il*4TM0@dGXvEs05l|225K&aKt#iXLu^%QvLZ`Sgu|1Xk(qPkLVA)e1Qte^ zPa>Z?9ixMv`LaKOm=FMjR9XjXD@$3{G#Y-Q~&QMky|5Hd|e4&(ul)kQ>Mf6-W?>$P!2tg1s@C zG%{>gL{y!yECVqLHnO&ZQb(~WOwP_IjlEtcj6yBYw%U@3R1_$o8FFixiGYNZ zr~>DlbC_8qB}7ol3J1bTrL5P?CB52sbcnsogi#~{<&9{rC`Mz}=36jb>WrZ^=w31pgVv%?9UQbCvFy(rqy}20#ky4tG$vcIh5Ih45 zC4<-lq5#hx3E5i*UJ2<62h?X|XPf&I3%p=WPEQJ@2BP2V2FdLxh!!8zxVgb>!FlBMf6H?Cb<0%j2pMY_^1R6+oO zN=Yk#E>&B+_opAMy0h~TYVU=yU1Jj+DJ``#njHsWsomTZXq65MW1TMue5AsnaJ9io zIWFJ7Yj3xkmCE&p?!WKffAjmB>ub*W&%N;c$VkI`$KJpEgCEV^TBuIIkZN0;W z1J~g|g`Noj1l}W;?$tfWbg%w~3fUrCKtVuc@&LU;OOBBpIj4m{@=Ldth9(Ao^-E8! zZ00}s!B3w!w(r>fsqemf_Q$WB-oJO(Z~ppIS8uKS;78xzJ25`<=)tmY?VGAlqx`K) zTOYl3V}B4FJuJeUSH_0|I(T4kes#+lrzL$BZ^5?jYr%eEbYNy` zFlGPRxm!Vi59}MP(zY*FCG7uz1(2mmb$0IBN;4^kokJ5x0X$nLWdwi>8vq$XFH6@1 zS5-I;I-__gBk?1BsDe@gCGFF zS|}XE!AP~FDHeb@oyxv4BE21^v89^z7MMiv&Ul zL?J`D-dQb*u|ZwBuUu`s`1yJ*tm_Z}SkSo-uPnmm!9Bx=_uS_ZNRap3PsZF)MSjLE z(`PkKx4#s()hQnbOmNp;FMM*2xScCQcgPm-fF9U+lmKK+?u$-ZxHzbe3=Ve}dYd=q zcOTsQr5BF>=*_c#@Wpj7D^2Si6ZLlwh?sYn zIA(Uh9=B~q`nym3vzkQy1>}f~fc5Iw!_R)@*)RTHr1jR;s!|GsX3qcy!4L=pMsENl zgM+?L7u-bve5QyIsmOW&+2Oq-{cmUxpL_ED{?|)u+5D~K$kZ?Zki^1JDXeHtiaaOb z3YQ?T6zGez$emCD%K&63lt!0%$Q&bVyS123yA#$-r52FaTb%-AS*dc56*wsZ(M#Kc z0jNMx`smcs%;y?UJ$MiRt}m{3o7w$GX8?k=&eGz>=84_A0pQ&ArCSS4sYfFbxO5{g zdP+*Ev?)?+jnpWG^x(l0JLjN>SVj=+tg&qs6h0-g5>%&Jj?y#-bUF%5Q3PQ*FAQoW zgNn&bT6iTCB1tKkytST$1jlSFAOT7Mk~%cjAs3p6KzKmw08x?>f`}=%E+_UR6)_4) z3{V&=q!db_6lt0Z6k)lJf=J4^9D0W!z_SNNBwaXv^w8lnYbD*nWPWX>Eg5tWNU1$b z$HrOqOyHRW=^zv`&rNO$26R#r3MVCv4G&f-rPcK&5_x7x0T6h{1e9B+w5-%Cy`<~e zNFl6u?16+p5?NLl>w+K@GAIfMju8d2-{v%^@1x^^Ke5h(cXpNTn8|%&WbiZlS>Hcf z?>?3LIyGSC?d?GJ{m@&F)VHfcL=;A7B|Ug&JyXhHh3iZOB30C=2`q!~2(Y@ksrv$j(KMk->ZK zyhq@^(I9&!5`w^bM}mbS>%4c)Tg%=vG7$z!8CheBYLF=UdB z5KP(waM&G~aRbn>s$wA|D^XYy&aw5(&XRYMC>|UcZZ}=GvuskRRVoTqH!C7tkuuPM z{^;ySGrM;W4-EgscYb*7>h)TslJ&a1UfO8v@4vBW-jjhW*q*z5;hCqO+URV7QUlT9 zPPfzTc|ppWbfeXhLa1J0*nzcL$WW_5D2XVfD2Jsmj=(XqWip%Hp7Z?`F0X_>e`&>Z zd?~IJc?u{9|LQ}2ukO`7$aJs%BbB%<3r0dfX7C^wy|;nL1t)2WgV9&M`g98Bd+)#g z(9_4J_f38C<=4-?^TEkSPW!w9_wbj~KzaX4Du=i0U*&o6&=5go%EC39Z8UPx=0Z5IaQm#yw z55Iour}>1R8J?~MI+n;5`R=Lbod9(<#76l}l3-i{fVpP=_D9!*l$S5g{mSDWak+8_X|W^VD?!hzwDQdI#!$3R|0fhKedY1Y~^Q`nkOg|&Z5^j48^PNW^_i+d^I{2Bkd;Mf# zd6$Z&ztC>IR{#K97?Y+RF3op!hGEgbN*$`l|HXg*FaPuZ`k#E}r+?vZ`t-XAXHLKM z{SSZojsNPu{nw>1%8LRJK`7>J$qu3?bil~YcmxvLN9!)_&nL;dQmkfguD>aIle?#T z3|FsjOpFd4++A1RUb{B`*1Ok1M5Gh|IO0mJ8tbagtWaH>Z-tbG3S&`N%raqtDi$iF zN-8M%+^L{%u+9ZQR=6-yQ3$Eadj86!3GG}QqQI7VnE#LUFZ@j*L zvZ7S&?T^;-E_XUsQFQ*gUF&sP4{ma@dHKdtP#$wYo>LIk8*tu9f-nqOGvj(f+%?c5Ev#KmN)2Cm%c17^r^Z+aGj$sn$9U!=5!P z$z_hgY8f#1=LdqBC0jtY2-X;Zk_eRm&( zDahGUDON%l1MI+qB9x2@h=HAjf>9QQ5(D;K3bx4}^Z-NxV8@*7W9qfPqE+Re`G8Ld_-@Zgwv!2NTbnTU z%@An&*$_RFM`mOa*zL5A9h!LV(Zi=so%2pkPfv~wm;de8U%zzm?9|khR??fEs>u6J z9++QRy>@k>6jy2`<-H#qEKQA;<`-_5JPD$b+h&9BqQ}wi#0UM=*y)qd@MlvWysd0x zguW-a^B#$WB(j(x3538EfI>w@%MuVVvV&O3ytjg|cQBIscMa^_wRbd9xm#Oa z*qWZ$Ggz+xfCBHpOqBHgaeeMq(j(V1n{}AnIjfLh2*43kgJ7$Ft`P*C?uG|@Yx&~F z!q&#>jB+M3&A6lQ`q5I5xZ>sWvx`ex6JhD((V70eE>vK-nPq9LyVmyZ zJ9gs!vHIZdu}a02>VX9_)QEqtv2VSAB<;3+S``uiFzI!gR0*blErRt3j>r^2N!4t! z_QBg%_WbI}nk<835VG$)y|}ovxv}MGSkORJHqJE(rDsM2AqW7hHJ*LN)I(dho>&A+ z?X_OF5K^RBeqo{2*?_6~xST#1#x(#al=Oi@sREYFiNyl84FPr_6W;Ev_)~!AZiKW0 zneG}`19D&KxBY*gx;t{8KD?b*j2_v0w*7l%L@p{vtcK4mU0h!;Mx)WeT~mMb|NX&# z^I!iH0C;rtE02%;IsjWXe;ZCb0+OV!w?F#s_5aU@Z+-ty|A+t6fBL`w?`Cga2T~v; zdt&wu8K6LRp2@QZ^g!Fk1(_Lv!GGNS7(IKbU9Vk!|D#rdx2PmmJO0XC_xu@SlWTkT z1$z$fTX=i9XL0~=GPm3*vJxg-z}Cc6G^}*i*^;6IvCXVcY`Czo=?bL=A|#;#!Dt+C zzpD;|KnU)oo7~%Y{;89P4(|H)PtK>w`m>K6@AlHYQw>G%gLD4;mG#&++ne@udu6M; zru0B*D0=Jc*+5nsqbK8X`Snvb_K(QHvBrESV-=aa8!8~u&g9-XlB^UJ))<=uN#@Xa zmYfp?!pS1IVy4^ zwetOohGz-601t@Pah_WtNlGD@Ef>NGfn*Rp60>*ALZH;-0h~2NN=hOWxpx58+MJkl zK-RIzSwO%b88Z-`y|)YsB?^kGrHhv@Wmzj!nw*ZpSV@VH=SAwg5ad8O@JDa z)N!d?6xL)t!5%?T->MA}5gnjsCQ>BG6vgec_x51pGtbOVA)`;I^$@`$`@198b_Ofk zROxNt!5{xoBOFSfJM3rNf)Ya`qvtN)+S+Q>2W#iAUM_g=!;c)b%*T%%SzB)k&DC=C z;J&d34vzgvl5|?h=+JPd*DJ+Ay%8Qe`skHw*}2QjxTF9*+yNi{ZtCpkBbx4h&7U1G z=eJ$R5x@ahB`GUHph61P5?HuS;<7H(qDG{Zv&MlmfFiIlMp=d)mDU`hvg~rx3nPV= z9T~s?9T1{J25<;YSPm@a0p}#LqBM8Kj7%bW zSJh;7tPifdNnM(eBLbQl82S5O`%eMji3jdKaB%;3zWc`P#Y?p~iXw6D%z3TDa=oF; z)vUlY4OBQRl_1$*W|V%kTos6}$ea~H5F@HAD^#GY4)Z)0-Zjc`uf0`{_2<6u)T?j4 zIXicw6xJL@xsQ;9D~!~!@!leMX%$GieeL^M;)Q#4ukJynd-Wf+czf*>7{GyGltOA} z3nbL7#PyOVKL6m>%G!@#J@a>-c<|)Dk#D?tcICpACyyQc+AlxF0DtlIA0}H(AlquK z^`G#7!G_0zyXdozrvY-+u3Z`@)j|-~evSZ*;esK4X~y7L+6z0FVF{ zzz#hJNHIazxE^C9M2Ve7md=0(h#Zm&yJ`0R#o3j`G(gQCn-p?iSkk`{ONYukEx5PY zIe&fb#NJ(Bd*%cH7yyLvU1QVzzmNA;QK$e4aO5PQGdTD9j)QO4ly?^}U?>pO4f&*CwL(TQIm1K z>a{kK^`0|%M@vx-q6CxCLlX;|u(YvU8XiPR2#y&71VygMdIcJ-B45!#=!P`C_{ani zj8)43<(^4O3ST%GUA@qn8ZABf@P3IZ%|aE}QaSg2k0Kog!MXXZ>sRG(zHs7=^Vi=x zbAIMPRjP<>4uaWR>%4a?g_IJ(T2~mvL=k%D7z+?h#*Q-r0Fv&ygbjdUg?fFZlMOmx zOuO01BgaR^YUeLr{L%|ge*Xt&R@Zu!QY5HA=Y&Hq0VO*t00uEB4*TDI_HeBilq*ew-PvG>;Z)66rr%t1Im=Hqf|wA ziy^_wwA*nM1mwq*r6gB1_6T4k`^%urJXB40x}^A>pT-GEnShB z)CN&(ZJ&#yZ804ZLZ9r#pTQm@ehN|augiFwt-6D{=q?{V-eIvA0FaRwnb8Zuz=)oZ zjWJ)Wu_BB4L|`%=m#wT_N5K~L4|jDlHaW{1_owf$OE!usBJbk5AF(|cxW`% zHs?T7vC;Gki+JgJ(k_&>CQ{im5AT_tQ9t0bRc6y8=xfJ6)gp*10)%~H>ulTRGJ zw7D{OVdV1ZE<>%|v# z?f<2hjsq|P-E5^xH=6hDyZ`9X0|5U0R(p1F(Y1(_7PMTMy3Kj9XDw}}oYq#(BB4ak8XzCL?vwK%+YFtz6G zQ|AZc%KeA-6~gDD3)5pgLthu<3@4kDw*KI2aN)iGjc`ghC zio7mMh@&>z7^!nIw|F!1#i$&Pn!bVQh+zKVxy7Gcy(nRzo1D1xz4=mEs(f!4Bv-C4 z{89J($UtRfGhbZ-9ZoyorL-G}`i1L>isuha*D4VkC||$6e(|H5=dQ^U8!peN?s}VgVI0c@I41|rgt-Z&_oHuJUOzh1+do+?zy%=BFKldW>1u61 z39EvwPAjeGfh6nocP>0IDVb!M^VsZOKYC^}{J=e^ZTg5v5ExX0u=$ty- zc)S8b8!Jm|%d=zCdwbmuqd+1ii4-{R(OUvWFvP~2jAi60wnA%0X}#S6tO!g9gudwI z#~vLV8xNMVB-^mNCrbw=$iCEg`PI3#mCezy;i)~+t@Qvv+t?TNgwB2W`GF$?a^w2! z%{H~OrpdDqLMj04+6!Mgv6&A3!JoWue7Ud^Joj%loM*t96e`f=O(9-6y*Xa*O%4qJ zz}q*H*WNk1cfx%2nFmU21~{sT;k39Jscg^0NdN`V0vH5V0QUaT(#CbO*zPrZesG|w zAnE27n200@%|PBeXPqXYl{8t7m`Z`hhm7pyikAiZDF7H8@=Gg=9uC$kqu>9|?9lM= zuJKsO%IczSwwEWTt6R6OaACsgOvknrbjl3ml>u&RJ$eFNu_Lt|&|r zYfUH#*fTMs^Qtgdt=6-2!#K+xq)^@=doM6RFT7zTq!c|Rgk#NKNToyoGAP-s=X;w% zM4_l!&rA{sbDLS`2~n_j4h00Eik)+&$c2#LB6cXEGUz1jZCVbbtO#YR)yTUF8%4AU zsxM=sp39gq2t%-7t<_;U2t>QH>a0;R4rR=S)3hZxK{b@K&;heAytM>mofAk=6j;vl zBCAIARwp%H}v_U7jkbhff>=fW6}*r!Fo2vw!xdgyw-`GhclENdN%I0mSL|&n+)* zgi%y0(eZub{ok~@(R%NL_o7OT(I5s0nmqt`1cTdt;6LAO^J7lp#31halfHh8*#o2Z zXe1WsYq=jVK0CI{%~T`+0cZdk9)ZIna;Oph(JMDg5x(@q`0=R{fOWPsT$M*B0{{si zAOtWBN|(oU??Z?J@K7)y0wF74G=zm<%^X_e*{6}~Iw`g^A~_^17)4-FF>m8n?yp4x zxm0;bvLp~u(3nxH%biZI6sd-!%oLsTXRnmXlz1CTb$DjamtK5gE@@v`-PrhP_x?l2 zIz?`B;WE3pcC(kZg;D{Q9A+{=-wCS`?wcM37kMj4+rqRLR$H4JTa8+^tmXRVY6r4M z9=sm_Zd{*R-)!}|-ZvD9?)`fPMXAyS89?4zSy)}T71ob=O&$&IBq{HR6YfE#dvy;o z-K)Pb_~e~8=&{@BXyN{|zw_c|QvCFLKlNX>%h$3=@~G92v47!wlLj)y17>8%K2GJ)*gQB5diW47iZ^});D8O z6R6smHw7Aq0l)!DaDW0J2TlPC1S0`}+kgRxz%vnGGwr56%K>Cq6+&l4|B^{}nr^;p zg2{@3n5B>bfx38UDjz&#g|Pk{YViT}TQv7w*8`@o->pV?82U_0D?llB_4N8cxS6VLl-HEG|t z<$Nw`90i!R>!EC$j?##7w3k_F4Iw&UYn=>~(xOtP3)feM>!MaFZJOe(_fKcOQE60+ zPleEWDAKyBeT;y{6t>8#m1?)wak(!yCNfK_Njeip3CMV8bYNsW_0-ON5Qws{!T4}v zpbh{*Txkq8Kqx6I$d(oM^(VN^72U=7-JS;`dhhQR<_RHpAQSVp2iNv=+4a|S5O%(a zACDorJ(Q*$YcBvoWCZ{OOaxANM=1b+AS;ET3;^oEXyTeb4_+dH?X}bt+xkEusZ6o2IVDwg)6i_;#elOcUY)a`o?U9y2PzHc&*Vh01Tem*<{LB|0ojg*H zN{>y38UVoMSPp6cz?2uQ)h8Z4aAZ%IEMLCWP8XLJDL@J+H(4|9A$884d-M1MfA{3E z!SBC!T~(*qa=$Z1B|&N@$Pj6$7p?U5*Dn3tub$lK(YtRf=DDku2D%-nRMhzX+G{^L zyVzTN{^|VyU;!MHKt}WepwQUW>383Lzncp^P;MD(gosd)a}0NlKMNVIa{cpHg19 zP-^FWp5dMS(YSe9F?uL zg~`k1nv_8=*|f$h8E72#w|XiAuP-(SGE>zFp&u#jVa8?=O}s5pJ_~cYfvV3#A7DM1?{T_tV{WY~tzT zFts1@hMojj))If|rKk6g4gd2$d3k*$XPJBOjQ$H>cy9Oj@ZB$bDz5(M`ui_E^Z4;S zGq=ClNe*9_n}7Yab0i{T**NF(>!#_2Ps%QATWg-4Gc*i{Jw z0C@EHzD}?A;l)coe&xg8c%a6^wG{p9Kl|3vLwg<>X?*YU{LA0`{%E86JHPzG!dCYOFTXihC^0xQ zT(11iuRQwocR&2esSlq!@xaZkbu0az%HVt}y}91Ld8;{hX<@orJ2pKI05{e*)^06@ zUMQEjyr(!rG-ciY?Vdp+0C)?)AOwH_M}Q85@qiu?AWXBQo3sq5NH_piQhC6BV8zb; zz~3c`5YP`Q_nt_EQ3%L@OaeV>E&E+2q30j~Fa~vyIkq+^jULRc?U_6bsuY5B2G~KQ zL#H8Wjt&g|+Dng>wCn>st{SH6^jBn@N0{~0`H~<0AA9Ff@Pb5E%-u1Nu$N>l- z1OR|ZfXUlGvj0Tm;H3GpR~9+V3M>X2;r`vjLxUw0yxFz0^SvVNH8ifRZ+`Es)nkWu zuXWo%NSGt{v?$Ud**!J3dwMD^hlH@c-m%UUX>#qxW@?I{THV-OedFEJSL*dk7jDJ% zO0UR8P*Q<1Suf32o#~!Fefrkit&NV9Dhk3{4~V?*-9jsXyvz!4$|0I=TR z&$A`WU~)HkXrSB(qpAjg)>?Aq?Ii{no~~HqrJ~ijc6B&D^5o>|T+0@o1n%HK+FI() z92**#EMIwNSxEYMZt;lZ*f?;2;G75VcW@L4LS$f+EJ9Sk-Z=JvgaE_^ngT_@BHI2K z12TK-EebNeaO?qKYItdZ}WN5JPVZ@SST{t{gdf>*(IGSKhrT!Ak6Ru^_bsshy;P$Q2x_C^b0%Z*Fz- zy!E@k_4q*O@@7(DXs@)ZLDhHG&wVgke{iNTP?b2+KUb4(acO>GWv(luA;@K#AcGEq zh71c99rT2ZNQemRjP*_u0ZH%3IzmPSsg+z_U4Q55!pVIT!?p0;Ys;^_yX=I%GTUV8 zHhVU1l!c~lw#Fh%oaL+&#R#CSbA?5ZBt+2XGnuzd0s1JOo%ha@hyoF%Mdk`?5dkcF zGdf(JnI3ri?aL25xM%jp%JOnkDxB9UaKa9J2cLTW_AfXvuW z?{coNwonoPyt5uW5%iydy|siA!FlVutqogva1yKnAm^+g5>gk&<#`XdAo2pGRKgiU z+nb^uKr$=*?=)rgNR=3^xwowzJ-Oil#3NZvQyZ1~9 zoyHX)8Is7PGQambUr{3b&Yyqh*3Fg4iSb^?w0fzrD1%5TZf>>L7iQTc=Pu4yYLkNl zLv7=TfZ2Prw->0klNWwY?DVs}ZThGgw{61$Bzd1j*Bo#G= zOAj78`sHWuJA3gR9Ys&vchCTQ^VOetXGcbcA3u8kvkyIZ;oS8~DFT3t7q6{!dT}*8 zv2XX|Pu#y|uAI8Q8Yl$3x4Cq$?m?z|^*390TGD>1jJ^N<`-GDJ^51^z(4hlge){Cg zA6@+Jk6+uncji}LeBteLv#-B*_Dc^O*?oB5_ddG5aed{FzV*Sy<+J;zhTy~lJ>RRS zS^?r4Z=aoAaU->XAP)EK8bAaKkTB>ZAiz+77dGuQ33@JH0{{U8-~k=T6d>6~J|Y9$ z0+13$0RjNXyFIk__|c=a!KriS*4kOlLC~L;ZKu(LxP8a)Xt&#+{tOYRf2Z@78B(o< z_fQy1NJ0p$7$BGAL2HK^rBfpC$lhB-B7!t`U|m*ZHC-ub2>^?oUhC#c9TjNk*={Kg zlnByZPfA8W$3l?y?5tw1HCbRrBx#C5Yej;Tmd&kAjXqF<(ObhshGD6e8RN(pW2&Z7 zqGHn}i|zKFk=oIH2Zuuyk?C>n+P9v2`o!Vgjh(kJ_>*fNE-frnYjqpQjg91$*A~ig zP|;N>ldRL!fuO8)cw*O~$vzl^ebov801Osg32eiQ&@lVB^Z{ z8c8!V)ku1NsIqIkaolD}x0j8H=;lgs<=U-CX#|hbaX;0P`IDR5w`nD25@IKx1c1SL zM|}?|$DTbR5}|WWYgHpz1h1R(+dBJWY%?jHZXcTj^H;0c+4h>eXFWz*$3jvfJ($hUwan3@h!Px8=D(L3*>$}l2tZCU|sXL9gKMOV|p z0eLA9J$Z-VeV!IUpoE}2H^$o_)J4%Il1eEOX!9b=b7n)nW>Pm$Z+L5ay|y3*MDL82 z+-h&=NL4G1Uatsai&AGrtffk`PFffe%plTUm$ZuFl69zq*ycR~=bTTCEmccFAU8KR z(=4x6O1)k;j-$9%&)Z4SOp)iOHS28BOQZxs*Xwph zMn_B{x@k8qhohslm8GpBXK$U9B+0j$b7PYeSVU_{n&w5R7MIGZmnBJ_Im=O4wuM6> z0SG}6AoLebwp%GM znNz2PKxd0yx4Ua>qBL0H5CP!g&Fk-6x-u{j)~fR0_}-#SOh9~(XS!GSAk)42TR}1b z^jAd?i0JZ_t7lHVKRGu3_!B38{Qkx7|L~P3pM2YtM?b*`OfeD+E#II#7g=ku83&aI4jl)8BZIpD;GIhgrW*~!71v2ih7V7UmH{jj%j@l4HWW!nZ6btz z?ximvw$ESspzY0lhmULNkPTw4L|zo@L|!We+%2-g_z)lh=I&PW$%h^}dH)FyaO2`e zyU3mFF9)MQK}dH+g9A_w;DHGUJp(Wj5fCza$t?Slu>S4CT7l>aOUOcKYmMlSYuOX~ z{)z}XpRi3D6ik#;fC%7HbN!=_u2qe2&~vCnFsPLwX7I!w8NEZ#Q1V4C+@{uLz%b9f za}K~cmxKX&aG7UBs}yM&Ayi13!r7dghO>yO+HJMa-dNxK+~J9%`wnu*e{|u>=K5Tu$mfMMLJ0z&=UK;LE6`D((B_5gY|dXfzk7UW{?@Iemqu~f z8dr)-TU(v=wFS$ZLB7@NS?B8Y>T+*g8FqPdYi`r{BJlB(Cy%Ke*M#EC^|KdJ?R^kP zkGHcd@76^2l^?gKo(TP%mp-oY-ZPURMD%R)JPX4h45K2?0eldIx%GYTa_5D!ZEC^Z8avw007uIkIn~K2G#n|p@U=B-n~lX1%q=% zo^^JEx+KY4D1tJUA{~DEIC$^A^Zw$y=ezZa?020W5Jez?oMTS~%1l^f z$0oN*sz6t*Etb}DmR^>J!g5%6CuF3FPzHU<&RUJ3sMU36Wo>9o9N4?J)6uin*1MhN z)YNdV7pyL?he`|$40JkOYx6kNDy*hi9_Y@=le=Y5d-I)hSvQ%QnX<-Ry)e5pyS#hP z*p-WO>q{#~j_f^oVCwY`&R)5)@ZbXnAGvS$nJdkA-#_!jqf@(vhko>GyVLz<9>mGv}bBo9C-}BhX{cnGGpnf435&TeeS-( z$v^m4-y0q-fARANeXPI!jn})`Hkx3+FBhYLK9N_IUT|9%Q;#e=BIF z9hYw5J#KEaC78HWdHvNhZ@u}>Gmky|8&5xcv)%drPhS0{7oR?PV5*s9Re&Z+BZarB zlXtJo&L7)70|2jFoc-w!SD-S;vcv_0tIln>#z=9!we{BN`GRMxFNl#W_^38Ewu^5r zpT4pB*6F{fnNSKRge}Fg(_W3jpfNNggzWW-_02PR>Pn@u)cWb?o&kW3B=2Qyl2R#h z-Xr!qcLt>G*&A>_V!f{bK?Fc#blgv4x5^u7on=;m(jGiJkO1J(vz26#0oVijC<>h| z@;sBso^aRDbS3Zv89=zcyp{Bz5!8~Nt;FSGE9saeLhvTiGIHDj>B3+-)*%8Fz0`rz zDiVQaU$9ijskaV#AXLiSOEV*|CPdAHm!c&D*L2I2wRLTzA!FP3YX`btKf09 zU;s#w{^*{7;ZuEo;&O5{OraaE&uf2yfZvg z{k{LoGkYHxIrXEtFaN^_F21qwlYf2wzxbyw_Vt4RAQWAFZ{;ihn}_y4GWLJ{J6~_F zC&Rm{c4r&v=Nwc6Kp2KX2;MM!NKxCrFQYgVrMf8 zly^Qw7p9TzS`1tmgzyz?gXA_}&!Y zwO2Q)CH=K89bakMKm5b*oOp28(+}>swl?(g%j=aOKRDAce&71i(&4@1yGF}PEA2{X z5ACT8XdO#e9xXkze+U2;=3Blke(S3bZ>9YI`hTAZ<-}MW?msqm>izep$KzxBhbQg8 zdvCsX;>giodg|DBU%zzm;_6UM4F*(+tQrW8?HK}qhwh*L;KS?FgF&Ydp|rav%U^hG z_u_$pfA{B$VS4>BjfSJPz(U$W3|om>$O_kZmt_Al?*E7vbAiy-#$MGf$l`AF?}-Dd53QP zG+z3c$=+R|Y>3;EEAET>`s+|T4w=M=j2^%NdgomnR}UXL1~38$QbMgd8O1lVq&G1& zb>!fIooI43ySR33ex+0@#|j>Q^x;Z54C3;w<<`npu&~l`-U}iokEodY2#tQBdnWfY z5%8{y65lxrcj*inzyYK4KthU;0HD>{diQEtYYbDKU%oUu*Au!v!AT)}|Dr(w=f)JJ zfQ}xH0bp*qwXn`{wJL0rg$5AYE*ai2gMp&I%IKMIA4-6a$s<1%thGIe^2ibZoMC4a z1k+QK7cMPJK`4cTBD2NvQrk#z--#2kL1vi|-WmYNGG7>3j>}OA1bGACJObD( z%S#Fa6*0iX=-Bk=SpQe9_mWp%eQjuR902BSE?a{pHJ^L_k;hLQFaX}#Z~XApqL%_d zB;@{~VZ#gv4W%b^Wi>}f_W;wqx(Au=)!#f`^4kkGNZug{#q5dj?AhxsvxAj^T3DLf zYJdB^_m3Xg^Z7$Z3_v{!K6h~Mt5;X9%yl+$lQUkNoxe19Yr{29tu~_rQ@ODWX(=u% z&~GlTyx&~1nk(Z&!&pi>Nz!baqW#@(e0ON5UaiK`gtn6>d8sHmxlIwRbCLuVSJrhD z2~8G~C@AH*Pdl5%yKe@iXrr0tw(O|v(_9MHS~?Hx`@RCSEtL0)`uAYq{#}@X8G#TC zyLLAX0$nPVioyT_^e0&Thwl(1h?wPtwYhhW$xjaLh14vbIjs-u0RU-5h_Uc`xH8h| ztQW=d%&uslS^-y*A}G9ESh=~mddbJrR!5|jC+b)S)=A-su_(BrgX2dAl+FR0rbxks z0?SN*l1XHal`M2~i_WcfMK=Y2&8=i>d9CCqA=yfbrH#!SH)qpS3=K?&s$>c$omYJk zJqrM0vh0D$vqutIlUkTv5uitg#=Uo8KuSx(fJu1oLKRv9k6vmC2<+G)3L@u?@SOFs zYI$&Ucw()WF0ZW)4V7v#NP3xPrn^R%cQ;12Ste!{N(n*!b{B-;oJ9hyl^|MMTlMVs z?%BP$v9Xa9A}^#6fe_X?=RDrcS8eO>w;8S5_IV5zfR@+Vt#+c-fD&S3eW4;dhxSg! z(Jy?X6_QNuHlQ0^Q8kDTJ~j>j&6Q+gf8(G2gO{(LS^1U!^@Bpv?GCBCnT_tL{r>;$ zxide$dFjo?p_%I9<<0T^4d?tXG!~_8rU;y~)YpR|pf}(=3dK?}3XlpMGJDGcn9*4# zfjglx0)d_H_3~qThQ9jDiQCV-YXZKb;p}YX^sSag@<=*h0LW9!p}vrAOeT}je779k z92}}5TCfRtm>eCJj7#&Y8Pr;)6jTSGgZ;Jhet(M$tMvH};Ly0U!|O z__6T^j_eW$6XW8!=MNp+GgS@Y{v*Q!jmN`CmIHYBp|Q!)ApjuHUwHN*AXWe)bvZuj zhX(}!>>IB9=C3@%-dTWSyC;tRm;Z}iZan)3k5BB|Q?Ki2z1f-^iw+&$#{e6h-m!!A zC+;6dfPo0V{L+KT~m{# z<@Jq5qxSh1PPUtAqfP+uE1!SDLF#-VNWb{PldaCG2L~YHAhww>L1)*roE)86X{Jld zJspJ(h=DwyASFTGoJQi9sqwL_1uRXXlt1nc2JTxB&#{d&VGP zKY|FY-34+FFGI0G||i?$QkpLIrUzH2{#Y4^efDSy)&WC|k|$;Rg>q{_x5E zub!TPzxduoaK2J4W!AL2?XXlqR0s+IKZ_ar%cdNRh|G6c5Ap4>Q6B*^3h)7g1#liR zA&rm{fI2NW^}&X#kA$uhW7MeDGC<>UyTiQYkY!HRYjSW*0>G^Wzn;|U4T+h+Z94}9 z2G1Y_S!<9$2f8rk6Swx;>d0;5_D{e$BoAIl0`IMH43OnnQ50b)a+^(zjRefEyz=Au zUawRh^cj#+i`}(yMMqKG*Kv64WhU3Y2uKJ3?~IZ`6r#;~p$-w?+b{p*?CA@?{X4(D zYjP9;JMC<6$^k&R9FZgd-rQ==-dYTzaC~rJ|MBBV+5vz!K0N)}yC0N7`sx>+n;ze_ zYiefx+^j4I_u934bq_M#tH0TDfZLD>0h|XZG$IHTj8L!FA?;o`ckSIv=i}jEX7^MI z5CZ^W3t+YA1@-8m-NPoJ1LH#tWtCB1eQ2tTz7p3Ii2l_%gXzL~B{%|M0RV=H53q5r zKv#GnB_fg*QsUgrxy7X$NN5~n2EC9oyQc?+2U81$vCew$ZDxC&JQ)O8sgJo__(UwP zcCKBY)k?;3$rKj1Q`P~!20&zw;1L;-89XwBX9L;Do&f@}w!W^V61cN>!yZ@zD+G^7 z))YwSL4c%PGvjG5xOQvx{^R2a0RS|1Pr6&S=c#ZujEm!C*^jC~J&0g)* z*FU;)Zc%3Yf-)I&0)Yf_;m{V4_`Q?kFMi~B1z-)J3Q%6Zv2|(o z9nUEMm{OS4<1Eb@;8!kP{?4__kadT{iliVC$|R}g+zMH+1Q2~wGZ4h+7$smpHXo9l z8(XAl5UNmX=Mw-&0NyCWu|w;&Z^QsdB!v=!ye$brr=8_)CvDzbSld_)q-wV}g$Vi@ ztsNvoz}vIeJ_7%kcQ`XLdj@9k-U&g7kmY%=*JZXdyQU8w*!$Mo8zaNR8_iA_1>X7{ zqo=#4)OdG$)^E$a??1{5r96{XLJDV1>$iUA^Yw5&>23U?r^L#Q){&>D{=0wo``+=v z$HqtZ)c*MY{O0P7*7VWAtY@M~$F(~*Zz5c}w)GGH*%x&v>!YRr$Gd+I0RGuO{J}@x zpPfE3=2c!%CG5wHRjgn}773-E-_Av$98gdRXjio&SV zZX=1^qk{l&E6e6L^5f%`3eo;r_F>WE+DPFgv|4%51enxPV^pF5ugonqCT#P{na#X* zQ#V@RJKZ|z8AgwSydc;y1|!eNo>&OkD`eMzO?-0V!96pdpRQ;` zfDAxDrXT=Dmw|}3Uu}|r3_*Wttbb#E^@rbkQx#5l@OPAr+un%Ggb2WznV3-k3vwvI z`n)I-2tX+1Ou>)?D1;cfzsP8bl_|Uk<4PG8u3ug{TpFL8LZ3hV5VLM<#932L-n+2IMX*WJ`g zd9Sq)!<85SOqv|n7uQPx0}zOjfv^#k3uClWkDWZ+EiwlXYuYh%!-h?E$dnP7mMn~PU3Uk_+}cp(4Hubfn%0pJ@y zJ$vr*Vq7URN{8efd~6i(u^?sG4*BDL(lp*VRr~5X++Jcb)<8c{h@F(Ah3s_`tz`d) zX$O`wGax~qIUxpgX=Z9s<=T~{joDct(~Sg{5-o#Rlb*e?^!3h`bvY@;%th`RjghTp zYjdOh_WK`rlMW6~-dx_gdU>H#8xllH3LQx23=&CHNP>ZZe=c%(ds^}dmZ^UT`V`x? zk)C9TfD0A|D0MzjG!B3Y$75AZ0x0=NcdW-4qiSJ0F0cTw2zdtz3o(ab925XiZYnua zhV7cxT=?zD9(q95S~+KkD2gIutoI)2Zr8#7rI$f6(rxmenHiB7{B}68X8?}?rBb}r zYK5i2PLg;S7;OxE{tGYu_kZ|Dxo^i5C%vw&MnNRJGXRhj8|`$yQ4Q}uaV!?W;?`EL zn`o(vJdb1$$0Y2*>R_q-OD{e9tyjNuY4g4lGh^!y9eMlB_s^U; zck0ahCy(tN|J+L}K63&Apha*qNy4;P>U5{a!A1d-ziAw*sj!DUQjO=*)lxmH_QM^&FH?o7VXkpWAo;(Q$xzYu{U2 zX_YGjQb4^l_?4GlxUnby-Vfd>l0gv+vv5*^N6D<2T^uI>rSL}@6R5q*;>2Q-Go{$E1AYIVE{?7Qs2EBD4U&`naO7Qn=70q z&ZZt(mSbZ{MI%%o&?1U5lR}x5NmaeIj$slLT~=dbRc-K^xWu6x#WIjVkf;&F)-qU$ zmEbMNa#}+A!@WyaF9~xRxEzceD(9`7$&yK;GN~09A{WFMBPw0uio``yQRqYo3K4}w z6^amv3N^;AuWv5hUV8YE^QX=~{+&PizRyc%okU?{pg%?Fsho57o2L|+ebkO>GB>01 zN9MK$?f&k^f9G4rXWL8HKe%42%>X-pttC;6{E_ohPk!};OYQp2z5m^R_d9QW=f;t9 zQ!$83jZ4ivGeDrfHNNoT;y3=iC$7G}`v3Y*ev}W&zxO}?!aw?*-}s+?>o;${w=s37 z@$(f-3PQB5R0xqvljuwFo~;3~7$TI2LRzv-ln_lSoN*W%KT;A_2qFL<}I24XbxJ!z*VuZ2B86ihaZjWb-YOJ95%wQSd1i|ccTTnz+Zb9-+zilgziNYSXb zYW1wxDP3x6X*MoKb)?-gbocuG-q4xEn&jAt;~VSCLH9VZf}Taea8L#!5EM!u<-JQW#zVLFh-G1xs zYY#otII^(NsHflk?vKx%Kk?}Kb6bP{m21})<`>Q#J^J=Xm$o)HKlkDbjau!!4?i9b zM_>Nj3ncN6UwLI?bMtTg#;=Dm|Ns2n@1Hny>~o)c#@XiW+wU{Gv!{=)uCM>o-~9G3 zedB9WowILWyts7x?#rM3Y)$d;wcBg!>o2|dY%@*%;M;$qO8@r1^{ukt-~Z0{j~qVo z<ThqfN z3%qx8Wmxt$v(9F1>R4`Cig9ypZe#4Py?eRYh^@_?-X57W1?%2kw^pw=llr(QG!)8W zvLHx8MMwBcni`+-%};wSOsw~yP!f_@k6w)gNMd9#^w-w9Jd?h2wQC}~VpOmxIgN#c zyo+G(Zkdm^MweHYw$_>r;{KNVXuIae{>GNfx){4|M;Ay0l`RTIW(~#?>Jq}BZ@f2A zVr5$hy=N@W;Jl2b3AM}t3bEwTbk=I1FtR$%4O#05_||8i|I8!j**FHh@$UO~wzrSZ zE&LDu-G4AD3k9c~1*?DY`j6J7oC1F37{^Eyk{rWF|>4hiGU%7tekG}mU5B;Otwl}x4#2r7n z2!NJvoH=m{z_*@y^2wuz(#$S2X91L>9YbEp0J2Z|KCrES;0W~>+SF(x045>PYCr1X|eR#-{1m zhqqq8(nPaUpZ5}qP=FL_j5vuPhGmMOj1+x2K6>;}r(OT?tFO%;I{ft0XS%z$OKn?n z8lsHjc(n8UL&u+dWE!~E-CBR;FK#Z~y?3 z6d|`ZVQ0&j7qJU$0f}hs?H|AO@w>MiTz|Ct=rb1{e_^K9-UCK9sTncjA_JhqsN0)a zdzxL{q^Wh5gq1XkFc~J~J{rfFv({Qw6;V})s7O$WfC*qF2qRXJi?+sw@^Y+*X&CXL`bllC}6Gg5yr4eAXX(MW@ZZ|5g|jQ zGSMoi0$|IQt@nPMm&Ul!sQk%K-jEnujmBs^Hr5e%-~B;?{A#Gyi30q5kvxK#+0DCWsoqS_>ud9>%D% zssiyU{y5_3z1cnJ@B5|Tcka~=c zMIESyq$vCEfAGPzE35TvR!k@*XHqhVq0F)xaV+w2mSuUFlYn6%M zi{mg>CMX~lsPa!M4Ly^lN+mdlsz3k@D$J&q)WrLkdt=FQs>Cq_m>eb*7$st+nl({I zwNCxrOSkH-aqGt2Yad_A((wEX&;8)XAAWH0qf;l28EGypb(im6J9_*`lGLwVTf2H? zIjhx%gTduXR~HU1tem^BvEF^_t+yY2?9msV`^?Q-cQ0SQ-e^qckH{Z=@6X5M@vWr| z)#S}LE-l|#o}WM5Y_;Be>*_o2-uRVY`K7^NeCgu#UT@fFbS_`L_LDbWf9k2HNbQv? zH!ps8F`?|ChaURigR3`gT$`VtpPrd||NW1Rwaa(+_V)VMuisr?-sntE6-D^?^7W-V zca!An7cXAv?sY%Da;-f*_x=ahmzS4`8;?Hv*qd*CxO{g>K!*<>+TQMkGQRcxwWxIS z#+@XouWk-5Ub-2By>oZ-!y9+rd*^Z)_4>7yx!J>amUr5X&L|JvZWllXR$ybhn`Le1 z+KLh!1xCs38~&3*4$A(h7BGxRi2Fv46p2MOYcx5=alW0V328l+K`?Ncsnw?Zh(&gf z9XqnMvt5(`tRje#LKw5lQvpedPN&sQO;C8WPoFtvWBK-nWv^D7IaGH+T6Uq( zA&6!>wY9AQ#k9e zjg|odfnlyEwKqSwetXKcH9LES@|9it^f}&2d>w z)pVh5G}geGN~scwpoq+113*NgNCbJ+s1wU};sdOSB7r?9APFN2J<+-UWL`iIYsyQ{-?2oLQZ#I!NB9xRFm_uW0Wot2QHXDs*ZOTVk-|oHj z!PV8xesiWXEJ~N91Bt8S{=#6mnvX*(No(2Vm9>Ryx6Uulccu>CxUun1zVl}$EN0btsYd4&&>*SFdV#nW8>qiOU=fV{8h&855|M>pk#V5 z{xuX(wBI`9Nf^3TEDKVmwARQ#c~RcFwsiW$?5}?51-2YVWl>)+rgnGZ)~y@Y8c99j za<5;i+O(E3*d(?X?KxA{XKT(`A4?wlG_e{bhEUJavgpsx*Ew~&JALO`5HlW^A{wJ- zj>abaL2YGyS2dR)Mzdz4J=JbX?AKdnIx!2U7qeu()|g&e9p7EuY;@W}D7`2rL_ELemnoEQ1h5BG?3oN+QPlAe=a2@}(!p?A%#_Inrq?0cJ=tH)ZM`QdOUAG^2SxO?ct#!P$V#IfIa<|+E~ zS8gOUO<;K0V!t8Vo1ICNIhmkmtTAWS=D?RnK=~k3kZ(Y}8%7 z*-%i7a7oRVG8*S)DV4AdV1*jX5{U_FIrtzdRZU6}-g|{lYor~~~URBVz_2-ceA)vdy#R;!mj64|O~-`|JuUzRv2N@=3RSXti6 z2iwPv95ORc?(E!MU)w(S=u@ELue%5&icQUwt+!?~W@1BC<@xEqte8L1-dP>4UE4eL z^t^RuZM}Q-jkSkgUQFxuKqvTf@q9qW*oqPA(uCO+QALF1-WEt=bsoV8L@chRBBCN1 zAPR{Ry`i)Y1!QqSf8|%QlgAt|Jb4&j{X){PZnqmhyj#zc1d|Bq#Q8=XA2lUeSKlqC zqtDGi>uFk+u~g@H7D+uH#g>%ThkOWvC4hvjfFJ@Q79t&vhC96hw`!Z)n^&&hXf|e@ zwF*fnA!25tEQ>MbdC_jPp;}7G>=?#a+icYKy1lZD(W5sQDydLkD62@YL`oDZO-O}} z6NF&MAo|EmCP@`q7DbF9b54ni!b8b$BvmE@qZ%cKA%#4(nF1Fsoaydu%*;&rP|i)y z9y@#-fyU!tgxd91J{Z@g>WAl!j7Ph%EG44Tn(j1PA;e~_(W*6!koO@=vqSSoYH4bS zpa0DBKY8u-;b6$d9y@+aqDs(umYzFza&u#sK}QbF9XWE;kody48?9#AB(X`796NGi zXLTpFX^c31@?<$0j)$YU>FMX6dFK81J}O3|sYd2~($*9zT3&+#k$$rZYCDPAqiyy7erbnQ5Oov4A*?!9R5F#Om_R z%$a7IJn`^_?$&nACBe&)g~MCxz8GS8rGI^GeWBfa^V;&&o4ZpprvwJDpp3w*_CW1< zU<5%>C8HCDuGyc{tY8PyXaMWd`d~O{WKE?sN{ci|v}vtb6!~}m^zE$XVpNDvvPOte zNCU)C=~P9GAUf;kr<#{PxY4r1$Ic#)T3=ney)fPS%9j^kzujM2`S_P#JaumI;WytY zzx>7L8kRn~e)pYs`#at8#F6PVley{X`S#&UD=QnL4JmY-=XqIXS!C1xT$~H+c|~2w>K|dd+ zOdq`S!TWDr^1f`ODXCb}SAY1*>&C!Mw~rkE`1alde*E50m7`2ZH2)Q7&N=`1rFDG2lcRC+KTluQrCF9_bW?@L-4&QSzVk)Vhe$`Xw+ zu2yRf`@^#*j?A~(K$!i^gqU}8>9zMiTIHa%M2H4^gXq|a#9}o3{L9bI&U9vHToH>` zfBYVEAWBtVr|EGe-KMHTX>#tCH@CO8P8@SVV+vL=&e}%9 z)@wPSOSeX=Yra{Z^|C>Z>f9;HFzDB2+9_~DWIcwy;TfhhdM09{rYr*BATceH#n$m% z%|g9i%Gk?bdo4$J8m_QOXwCMLS{Hz-N@!Ty?DhK%Q>JMW^MOl}C_2uEj&bzZiSuWt z*Vb3|_D0rb5Dbb^f{#8Lwjc<6l>k}=#}?(-*z)X|V}}kk*VorWG}gFYuke9G;L-;s z2g5|cu!*V~L^W3Kz@jm+jzKfCGsN5*^b}eQM~znVi=TV6*B`C#jEJ~D9DA?MC2UAz zMb}|bo~WOoqRe2ExFAsoS(d7RjY*SQUKA6db7kSNAF%baGgrj}U?3k!$JY1O@7}z2 z_r&6f`rM4f@n3sOp&%mT*jNVsIZfvz;mfbCJpVV(edgEB{P6cKr?7_(O?~E9&wTLx zrF<0j^#ecOU?#T4IzY=PENas%#4?0ntefB}F%W~92%^SB)Fk*Ay=tf@E`-7td1S3Y z0Q1nid1&4N0K)mX?EKt>C3xo#`=gx^fVT1Hrv~+UiOjPy)pdv_qfrjWndK~XMHykr zQoOh7lCCMcoti`{GbGkVs1nksi9plD66?4$WS@a_#9S z9yz`+-Ei*it((t0^=Q^i*qym?_0nSxoom(-Umjh(yZq>d6HK^$`}*AM>Bk;f1n|+t z=IqSO+0%!C!?$kTJay{m$;H{}R()l8?S-CNunFjD94v$&YU_nbNblK&8urYEkx2k{Qg^_5%LoIa{kS$_B4Y1VpyP6!)@vb3t2r|qiAy9)W=1`) z+)^XLY>gpURj2BOAZjd42$@18;)$~UuAF@M&~r~Oj)Ep!Yt^PtAiKIfzFo?xlkG#z zq|SDTFu6k+M3J# z7|cW(Vk`;**}>39ml3nIoEODlJaX2gj$LXgv5A5Wx9e>fqe?=Es$K-1ot!9r91U}0 z%xD||0aB3&QkNF0!8sRV+}!D1zqOPlSuLwckdgNQ?QCyCqjjPVW0B7EbXK1VMc)8F zR~-3+@nAeCnI4RPmE%6c)QMv(5rIq$kSNA-yU|)+T3R{TnMz$fYXEg%JI}k_?bVvA zrR*Rvu~ndlM(^u2clOLP0JgiY@9wS_g>yFXUbEC%Lr0Gtsikv3IzPAZ&g+*T%`B~l z5Gr$OSg}bI2^zft8J5v#dwb)yOV+=+_|2)QSzrJx0W%FW&zzjSy}Zpt5+nPOGem#{ zFC>R%J8UzTCeHC{Z(L8%$sE)SOS8690*OSm#AMW$qu`5Hy9vV5^4*!)sZ*zqUb=jJ zb8{<84Ji_10XXA|JfF#usp$l$b()X2re7NlZdefO&s*(LH&x!Wd`BsA`NFeGX!xO1bn`b1;Z00;p$MzwgUCo1@K@ z!=iJKp9N-`&DvjUyAy*nrqY?krY}BS2wvse0$@WDb@o{6kN>alKk?O*|H<$Ew|@J7 z^?Gl6^mqQpFK^xH|6l%t@69YWer~3HrHHT~CgTh!hB8P55gW^@F-9Wg%6dV9s2FCj z6?QO65GGgU*;pck@$&NCRJ$Iml3`aO`ZRZ8%#s$owe7pBcUlW`0M4B3oH^M51ZY-= z5s)b`&dX+IC+6jdKm=TY4nY!F!0kz%kGq+hg^-d1yt5nvZY^ydYTK#P$JtmzHcGI@ zST-?4CSo!!ajLO8)rL$(B2qyaW142ANIo6{5ivD7&tZzFPGmI{Fo~+S#+Jbgc`!4v z0&JWTLJ$HuV-1-+&lMp}Gq8qG2$OZzQDWGa#em`{VF550bT3`Lc=Y(8Gbc~(?XBOr za|w7Bu*78uz3Qs;`)k%r=H76)xwtq5z*+Ks5d4t%P?}(E{YF_l3bZeO{C<#ry>0=l zuHLk6@=zY+Y@8vQ`<4P<@!kMv}Q&EB7%XstTzgCDu=GT_{t8I^q~g zQZd9#T;wAG(xef6I5gjQ>G?} zNk_<(GPWs=N3iw7JA?8&Km6e8^*g`x`Qy(%Hvj5dn;*QHA3OF?YH9$UeB|_%JGb__ z>kpqgx4F0BWkEr8ZUMu>kG}K$+jm#T3v;bJFIe~;PZ3;YheIFA_z)B z;5|bC{_x_*e{rQ~O}8NfX73yf8B!*(MG?gGjT03iLWqGt)}~ZR`>5;VD0oIUKs)xG>YgqO#Ig8zj$``Xkw6wgi)SKYEmc`uEIxzAl5Q5hDAXz!<~{~T#_1t^|hTm&ujIx zEc2|EGL-}nvoFTX6hmaD5Cj52V6aAwzC3i~P+G5DyK!@6SJpPW&anV;pQp%!@nAeC znI4RPy_HhM@X|@HgH8mzlfFBYn3xC@L-2`lJA2zde)pZTM^7I+er$F9{o!yp)v1qq z`QF~hm*i|xl)?L=UaP2zY+rd9xd_HOs1i|dXFmSQYJ^fR+lX_j5PadT?A{q!@B zF0ZU^YdGqwq6UWXx^!VWND?hxeeu1em9`77K#r4`E zkcfWN>yJB~Y45$LHSE+&6it&_wN1?y7rec!8Z*}_i-LUPnU}w5Y#ZwL*7~b=v~w~6 zkR+zo7?&nDCbN`9^sBNcd3c5WrMCN>0! zG1<5n_IB0^t@Azt3KeD!F^Wbu1||YZOb(U}d_HE?B*`*_w?Dk~#-$CJvMw{VvKNg(UeBuN>@d!HmuM56bF_cJpyold(fif*^7s!8H}D2av0fQXf# zh!VT~epCsbow~$22*yQUxu`jW5JD-|*~m&j@WB`+!yp(kA^0*xN93Fnl^8uM8&Xw4 zj6pm{@6_jh9Lf=Zy}iBdo$Yav11O5o+Sbj@-COh1=T_G41RVk>rMtXx{lxJj0E)6# z`oVa-rwCWCUhWTeN8>JlyDPWW)^7I(+lvcFHa3=*m#^n}ubI|Ih|rcE{R*aE7Mc+{lRt! z!`^T+OIy2p8-?#b_4uQ=?%v$lTkG~00jzG`+1=alaR4CAwA#{DiSzxzW>M^I zZQq%jUEJ7MQ_x^AaE|u6yOkMTj4}9d_wMb-9)0HejgQN+*X?ft7e4yvy%4*`Xsb za|=^>o*QFJ?}JEc44}aWWB%nmT7ybyNq4rl3tX_kFdrwD5+iKHS_vT+(MHykfT$%T zMW~=WSU^Nj5`l?WG>Lg30j8Fvwh@C)N+xBZ9Eb#_iPWr0!c!=awsTF&vOmZfK(Bx# z4%TQI#Yhw#j*G-FlT#>2qlgeJk;`+Cj`q4|A3pJw7oWcWLv40@@87tk8lzOIDpP@q zsxg(k(`t9pISG3Dk$Iw-gI9Ttqp>dw4@wEjmFLv{Pf3M9tQh9wda7T4;mMC~um9fl zx9q~9!mFy#r_`kn#)I*oWO^|E^$+t2vN|ac6awgQ)SsC?cY5)R@8v5uRxe+?c>Hwp z$kD@%mTs>0!?-L$zX+w0F_xW4EVti$<4Sn--K1VOwxyIvtZt5`yW4;DN3XyC{(G~F z(?t%0w2EGhQt^<8m6D_?-k8aixdb25tp3z5Zepw;dpd&`O>Se+(;XX zflw4f1P^1ZK~aq7W~WXaTPT4az4hip=gvIbnfarS-nls*eDgD3Fo2~8ro&m6z>@vW_$y;?0RORtnf;!2+~E<;g9HVx65$ToBT%$bEB{OPrE zUThZQ3+GS#jbD4-x-MY5BfawRn$igY1d%C{QDqoP07&fd)Y-)+Ph9-tH(Z+aHaA~* z_QezDURJzG6h3&|7? z3ULlrAw=wlzJnFaZQ)es z=dYJ&{{*PAf+r`Olx-42PAXZJh7gLvTLZ54PAU>TfvEy*0An451uAR}7npgBsOi-!+%^;+;RgoZGTg)XGLJxYB8Ey)DBe_@xr3s2C`tG81nzwZz1n z_F^0o+97%}rP4^rKmejFON~j#g%=fO28k#Un=G@nT0Ke9JTLRYGf}PHAV!Eqb#GB< zkWhhX0!!R0ipzo{MOiK!>b&^$*^p2@%N#*%^5eIo|eUc=A z7Ws%sv&;ch`ZAVbVP={^DeZpv-n-+y-p2aQ?9A-7>o>fFoxPnSM~=Mu`fI08_CxTa z@#u~B{($qq(`Y``thO!`;1Zt=3#!+qTK2H{W?{e*W;STX$m6 zL4R~;?(jSByw_}AACJrNaPaCIuc*S=bZvG0Pk--IYeO`R+UKtZ!}($3>bo-g)mm>v(5(FG*^zz5WKkKE&Z*{0HCt{Vc0ZPtAVx z(Io|qN24rjtgNgpOkcfy>vpTze)G+@Ha9l&yfnuB;0J#;zc5#nq2C{V_j}))o0~Sq zt#5Ar@JBx!j|&(x7?!WR`lnkP-CCo$ynH9>pWj`(Jw4l~*QT5Ehi|QH^}AzRn*wPW zO5<3`N+i`PC47JC>b+&fq?vR1sLxV{qJQjgvTJ<)y|%sJ360Typg~5_MR`t#*V-A!ud024;6#_&9|>~_wf7+kJj7C4}NgfHr$J! ze*pSG1;2THxBvd7ad&f=l7IT4!;5E6-@dqUavsk;JAZe#SY8@jy0mom-xCUNkugTUp?FQ4K4n~4yxQ* z8PRggW8NQjuPv?gc6v*zI}7zTg{@Z8LPDXrWpEin9+7)xM?5hLtr(5~sB*%SvPLk8 z(^zW1H1`GK5$&^fi2xOqNy58IENh)wtMK`UZ(mZM-QF0Z+3+xh@eLCVV&y?j6O(i$ zQdS8R`UyG1+R1#v0KWH=*Dqasui2~}UtEm+;gu^_J5!xB%kpt9F%l6}*&1+jJiZ-6 z1ld-*lQ<)j><=-1gjfn?fN2}{Ymt+l9Zj zwYkulIaHsWI=l$rgIk+dK3Zzm4*R^{?XEoj_~}Mx_RY86o1JT1c=*D~`rg*gE?Wa* zTxv(dapVaIS8LQ=O|vq-|Mq)x&Ekp27uwC^Pe1wDm9@J`l6>(CpHI{25MHtNL`p}p zq}%NgGKh(hJb{%fV+so!6q~1?m^rsv-`cqQwJ)82;qwpY;Vs48nr$Un%`tq80GuVu zEnn0{p(<%o8}#?suqr?d=DporV7{V(MA0B>oFgAVDg;)Y1SqLhHA;rrii}42?kpN4 zWZ9@O^?GAC?nkc#2m}zGq=~9RjkVS~HY5>If+Fm6w?hab(V3*xXs_N`{rzwMZtnf# zk3Dki#K|AO`i76CLHg>e?^x&3TIMD4y+WM#@k~I82vK5;-dTr(xTosZNI;}o1#L~} zeEUDIq}0Y*qgHbnWNZ^V)8Fk~`rzvJ)(!w4OXJ)>f6^;rkR#`&0sPg(yrU1z0FbBv zVi22{Bj=_hs;ahTYXGAExe}Q~W5OB3KoEj}Stju|T$u$j3`L1CK-CzEG1y6xm`Erm zZW_c)P&P^=Q9`7;8#h>XxvrF3lV`TAHWQz@$ZR(2n9ry-k~pR^*V1Teqw`#PGbSJ=S(sAqZu*^_yk5^j z$RSkCC1OKNq~JO)!kO(5Oe(}ufM0t&P?y_UvyP~J?b`aqi#Lh1)tSF@bMwlj zC1-7#wXR=THP%4HaO3UQFNtWY-R|y;db_urOH}N5IQq%!9}qKfePwlTZEepP2h`Tr zyGzSEVv`u`$5-xFW+#U2rHf0(Ce3=IKOAjsZl+0+q>i-q)*BZMn=EVJzPWMr;>|Rz zS(|Qc4%b&dvd(1Lba$`Z-n!$mrg6#o#^%b}O5ze`^Uk~P6WMybv9n#=xpAddZzf4| zW4(K4IeLHOCF6-oJReX=A6Boj8m)5Mmho(l;J{ zY;kU7FgP*Q^iLcc55wcfrWBB8&&^NQ(|WitJ)h|CpM7xitKVFB{`|s^Zuee!b@N!W zdE!*PHMhC-;ia#9_NilsPW{=BmsW0Xl!KG!=bIlb*i$Fxo<6(a;e8y}O?#=2<<&i7 zIWI~E6PtZ9+fPT?PH;0KC4wlEMk0W#%mgI?lwbgkRa>FL&O!T$O;tF$ArK>|UpnxP%Vw4ztpdjk{s*1>>)kC*RTN03vFo=cn3O~9R zr3-}`z_0-`@q|sS1QBQ|-i<~+jECKPbF)7jhETFGoGMty#2(R@iAqgDq8f-w1qaEP zD1@=IDS)+=&9#+{+36MsNlA|$I}&^hJ~nDi2#8n}hL{i zfC;LIli<*bofSi;)4Kfe^~{QegR3{E>Rz%NSFR~@;p3f^?ZLQ=BE~^g6l85e!m0|0 zidbhQMuifSAxlhbmC0ITh~Otuepm67M0h;*fQlL@se+9$s&Bk=^U}2&=T055R@OE* zAA0Dey&l)vogyZd159*{X=4%%LrMpwng}q^sMV$$trTe0Tdigz05@;0DktcFF$qT=ElbQW|}0-CKS0)owaVHthUm`&=4rCYccoUyRRRbmxV)L z{?gZ;1g-!cP+;BK8GzpYaAT(zjZ1~t5J)0K3@dXA9A*q6c9KMY`PaUL*n?>TcQo!; zlL3vBr_LnVTZXm)1T96H3C}_|AtfxBNkSB8Le?<$_PV#P-tPB%gK?SFoDak%AyTCv zT4}RE6E?V1bUtFcvop?UXM1x{`UpyionZ%fKnTRd6)03itvJihIb)1Q5fMPV4`o?e z>jcL8GKyTedONAt%sBS?!&vai)ZnWijwZOR+myGo1%ERt8rdntE|izPF#Y z`7dR#p}$reR8?kcM|mt@qoM$o47wW96EFiDnhW=!ee94AB|h|xr06xCZ1poWwc ztTEUmzdQwlh18#M|cSW7CBWtt?( zXguyrH7bPGnj~lm7KvihB!m#Wch*59F{Y+m1WhwrmN^l!EX&8aHptpkRh+Fg8H%NlHsg%a^adyLkNARI`2M+D2<;7KVN4t*e2ph)+yVurGtLL`Wc&P%RFJ!$BFG z5o64W6Ndnd6w6B=Kl9X+066y8jS`apV_?e2s4N7Sy}NX~7?rd0v!@s5-oAO~N3UEy zb$ro4UU>E)0Hb2F2JO@vch*;$^D_^fX`Mc9rrHGH&YiuLmAgNDt^fBA|HVS`>iP(IW37f zYOZ$ZcXtP2tADCBztEW?rh(?lR*6(YRAv|z5R3pNjW}gM^CCByP17ty4^m^;QEJ&J zsro`BG8?U`CN@z$REP~bOGH-a_U*fB+G*Me@Dxij2W_keJ`fMagOcgN_}5S&k%Cc4 zyorTbnGtCc|2hFBmJ zP!@?Z>_~$5N`MjvVxyDx7%{O@lnI;=!0JOF2E$Z6DN>_K!4{aL(FUbL)j*8!{Mz>D z&ib|K#sbBeo3}ocdV}kyDal9;VkFKWj@dWc&2De&>Yck!9Y6YO&pZuad)Vs@cF!G} zzC9?`yTR0Kq`|SX$LCKT`t$Gqs5NC?{QOf_uCCv_ekXBB>JpV`1q0Z`XAPfwXbluX zY-rv~9UniFFNc5nPd|G0`43-w_87ZS>35=EkD+z>!&{|0erac>%T!Nqff5ISWn|0<-fGmK!WmF8 z?(X#qiMZb%JpY+T`fcrurZdDqG$$5OtKqo0b>bH3Jyi;+^Fy(0z^1Y z74Q~>ZZaN%>U`nJr@r;hn;-q)?*IEl`_W^~M?|A&{DoM0#0*o!;h)_3zk3vCAAa%J znTJ>JTy@rp2-Gkc5GyP(aS|m4QL)wn62*g}B}<|}tm2xKnM}gQz-Z~&$hgKs1Pksd z_0y0t3!|BbX-URnm0(gKNTNo@W(*P|sS+zdh|pL~0!5Xx18^G(6N4cKB&u3q2!PN4 zDZ>-7b5_JqRhOA)nU>grNSGYuQ(4D*zTXaW@xg)>vt%uMQOMIp5Y#H32h${YZI zSwsP`iBUx*6H*bb9>_|TSxJ(*Wo8i}fK0T?BB%`g5^J5cIOs@4Ne!HZ;j~JYOS9^) zON`Mvv#%^=0LF6D)RiW3KoF5N8l#AkG7*vC)YU2&B28-mi$ql+W&&A9iE}Z=vW&(U z)r7>QXQGAFxO3;ZXOGW!PQLTO4O^d~v=haJgiu(CLor63lVlvmb<3qwiAC3t z=d8(0&1~lS-JPs8RdYpuIJC|HAUi~v#h|9%05HloM^Z1!#XM3_g=>|-USU=@(@}r# z*+=H*n#m~NV>G5_W1*wD`6EoOAW7Q0m)6R2XXk(Q6l2-0)rU42Ma0B^_H&1BuGaUqhD;th4rT7BW{peSPL$vS87MIV zfFu>;RD8##UATd|`Lf8k=t(cQdJRXp;5}KXXG0!SL2V>0VS)6u9F(5GGg;blI#Na? z5XA(3`_{#?UwAABe`ob0BiOjJ#!MClKCmieKS_ZU6ltO-o`ij1BIFSUDoj~~8oX#$jL_q@cU5pFKu zTwc8yO_8=!Nr?cbnUrc^I58p$mKemaibkVk)kZ~XlIrL(a#gP<8k>VC1_DnqO~6_? zqgFs&C1+)ukVB$H4CHs6ExeRW%9Ay4kkb2rtM_0$D48CNUkJEK?_uAn6IL{|Vk;+& z&Tu&L!Pi}9(Ca~6yR$ehN~?J@wF(soL1G{f5!e_aFkpb7>WL?Ldny*hMAnkk7@35q zathkd;8Oy!d;aId07n5LGFPvqC&gz8*E1JNwmupncE&I{jRC5}(rnaojQ--4cWy1* zI5|IUjd}m$E4%&Cco??^QjQCs7e`MXJ2X4<7vKL;JxxFJ{8Kk>uHCw|TB|oCA_|~v zsAf$mc7=>5M8Fb3Y+7n2QQEcBHctKcwL8~tTx+#tIM~iy79-Z~IIWNPaI?{x3WY=j zYh6%Ky(XTo+*&JlSF?sGbT}-wj-Q;LpFNs)*Sl*wJ|fOp?>Dwql$%EfWdgw3q?V+i z2)*&RGdFFK?X2Bur!{6Pf{0keV8*$n0$|YzeiNe_HkPY?BUJ-X@5?F$sNe06hGY#1 zD*x~ae~*||RidzQc~Oc80ApR{%)4)Ut(C->j2VqaMUY0T6^bIp=!~n^vTlE9l57I) zNcFb#X|xJbRcCFCa(ii6Rg%Ps@L-g;nk~zw7>{F8+s>n4)M?iGTPu6rt$MvqGYfrn z^DYA@#wa2cC8g@jZfxBB`mg-GKl|SAE-hX8Kfd?he{$xlEjL|CaX-1Eve2mb|Nknf z9+na>#fMveo?~dN`@8?c|K)htw}zuc73>G#Ru;ib1jDM;mZDO5RWy}}%%Os61S~|% zBud2O00O)Uh(V;#02F{x2r=PaHl!+)6f!Z8#=$=1enf8Hzxe*sG$9763L9hD7J1R@ z`u@(iiZCuquM(Iz%hGncWeoQR{TM=&pkA1WjUfuvy(Nm2K7`;6QS>1cr6bNqqnBQI z`ty%HG=_fT3yZh6d&g!S?abHQPT@=MOEyBHmW@KC43?QGN-TWdS1L1yG%>yymiegB zs#{}0BqA!+8LOr`LX3#I{bpo-LQ=aoxpMCg9N5&@>56@3EA~3{oK5GLkC4c=DlhBjDEBs)Euq zEyE~D9D!npF)H(Lx?Wci0x8knXq?A!BWss26Uy7^2&17%Vm(Xx$`W!TXeDK0qjmLq zl8s7G^o-bQxKoR>H&?>y>ZYl~WC4idacN6mPk0paQZvKGsOaQUkW}3Xg{mS-tlE{p z{lOoTPRmaTulD&L6HoW*`c7u29!!f0s6V*x249BY2_{snGGd+7E7fnyM7t_cHA;*j z6y09P5|gG$EwPmbjMy=eWwOqIV3>k0i9}U>a3Lr$Sz~1KF(*@6B`}dSFwR)A)$s~Y zhEh>^rcWSt0*MGjmGwaI`e0}FU_2<99*keiqDl8n$yA{tvQHO6)Lhh0&(`bpnYGpR zR=a6UqQXSZa)f#jHJl`wD~o(`2S)^)skST-Qk6=)qDBO&>|6trv`P{3nB>P-8LURN z5~p(Y+&2VkP=>PfxmApd$Qeq5q%rSxBq-UAEZG=?#6mSRo9$2xFI~BF@x$xQECUnB z{l$+zsJCZD^!V{3GqcklzV}W&qi3IeY<0DN@xvR9dK0h{))+JZtOBs2L9hU*NJ8E= z+R-L<0dxk;PN z_T1ibT3+jo`=J;ZXbiW;5bw||wN>b3fwKY`R3)0xhE^F{rA5 z_V>5M##v@ngdkNfyb|eFlrB*OUr;G*DhdY?z-*W+rIUmxL=s{cj>c0nGvE5hp@!i|o z1y7Sr0P;SlsBu=nBuXmd!A^bt#6SAq{N_LY&;KVs{=sj*zw`ZHz;$-)>|_7VfAoKN z=__Bp{N6>Eq$+yvlvF!za7FhaL#|UAgVALh{&iav(Ze*R~u+V5sFMf zFao)XLUV67H3`4cp9R8x`a=Em*R+450Du@Hk=@zZDF!<;)166vmk1kJt}mflV-yw z*Hl$MPufoVc9)ZPril(PDxy?q6p5--MO6$?CT4<3liIbbR~z-V`oft=#jY=-NwZPj zQ-lbrwdcj>(GQFbgTa6lf8!g^UA?-p*R^?`EZx~#TiGhc!_lBW>TmlP0&$w8$B!Ss zd$T9t`6DNmx2YGqJ9&bv)$jAI8#~XOnR)KfvjDCxZA#iaap>r{ly0}*XxG_jPIT*5 zu;v&k{n>YK-n}sIQjA7<2!48Db{zEPo%K6w-7_ao8spYBx4PY4vpM&{wXNmtZiq03 ziRi|1Z*$9Mu4$bKYAQKhp63zf>t8(e$l}5XWSIcmzPoev_V(J=)^MCPTB+~dJu=t& z@)sU?d%63=cb1BL{i&0OKJ(n;BPr7~Y1Hgn7kA%y>*F&=>%a8U6LXDP*ZV*E(@QsR z7d0nA%NQf6h;nrutZ~r5Y$mHrAquES6iAHp$@xhjOqyLppV;hGXp-uoC;L`XywTm|3Vn_ogIs-lP*y-FZJgOo8OCb4P8Y+@{#xS}W8#5Nl# z)DxnnuvUq9uWRzb*s%eV_^O3f_3#wxE!$dZYN=z=vM4~XE*Xr9aZXB>erl4ds#L%# zKPZ_Vj0YvtgYgSqHC03b!9o0z;ukr17-aK>S3>)*&N0$eK5DSh) zG9Hi1qVN)}A&ntQSxZyUSdcSW3l{@3DN&4C1Q}YJh7gi8tvr;ONQCZf+9=RI5Kp4S zaE^(i%BaztIez$D=4>Rn-5u@a8B@!bB`GH^Lj2C|j;L?d9mF@9b0IGbTUVh(tAG8Ll97GD(#F+e8js@rxmNK-~O5J{ON z$-r=8(!|sdeXVAOqY=9_sdqwu+vQOt)EZgTP_mbpr&&5xZ|8-;B=s1ggnFYPB*L}k z>^zb#Q=D$NGWXrRy+JXk)eL~r`y!0lIT;t@{`M2kpO3@yKY8WHjan;7YsL}1Hp_{^;=*5@{8Tx=;LcQ-BdzERmRuP zB%oCPO;Ocamr@;|*I$48)TtA{^3Bixvu}T|yVsqY?L713Ll@4T_`w?=IxTi`2n>H(kU;mBP2 zrRPov_|M#t-+w5B*}dyWEC1=z_O1-M6H5=t5rGKbjvd@I7q0@u&Ao{8YRX!9+fKIP>$#k_|DCZ(+h1sN`CuKUi~|N z`^(2>8hhIt>c`I7s2ay()$!R=heqAPr_Lm1JQ}oH?(qwcG*a5x*|~l5hWH}u)PfHp z6=L@@a<)$vha@;af0RD)=?MobOXa}nQ15|L6Rwfslk-KZ1`!?9m-`-+^fOkF6Ch82 z<{*EnE}Hxq=%lT4&pK^i!J_zt2vtDL_a*Md7{SIERuO=lGpdLof=CG7ORL!|#>4sP z_RBB7oFui^-gtkvTP&PHtscjLpL+IOchLX-pWM;1aB!Vg+ga0Uw^lbcclL%h>um0Y z7|57e*K8POZ_MoUbbH~@Ag=kSYdbnBd%W&#mRj48ko@44Teq+8HEnIP`{BlJJ~Q{! zn|6e9rzi@WI%`v}t=(NsY8{(8KN?)UwKp8>un{&sN$qGjN@`O{(zvzUQ)8{Gx92*< z=HuHtqsyhlV69_ooJE#(qNh=wLnX#Y6n$AtFSH*$dAJ6x@9(CjPRu`fV*Zt@cV2yO zqqnznr0&1@#q+I(i@}cv<+&r%U;E->%c6vhKn*yyn11+v@%-bD%r$Be{f=vX>q`&+ zm;dDL;cyoTTURq;f=G2~4OkA4m&xr#?~VJWoB|M3^Oh>xyiaLx&?M?wMXR7;R26wu zX)!c{U=5%eV_+sWhL{bQ_W#;G|4*S25($XWt43>$wQLE7nVG!zfMiJ;OI3v>N*$$X z;+$F|&88zV!_heSfpyA6lj2|ZZx#~RBC$40I7>`O!G=4XR=3Z$?~Hs@%RhYwQhiV< zJs1y4rU&B}eaL>7N0^BXNem(atD2W-5JNOY`qk&214@A8Z1d8^+a5`Lu%_v+{@WDT7)u7otXN&A6?(;4_|uxnd`T3(Nnxn;dA(T!V4M*X*ohexROSq{Yc`DgYORmKrq-HZOakHk zm~>KTR8^TE7kN=e@7Z#iB?@9DW{whxi20tO9D_tD_=>@VaZxZ+>HYR@7r<1frIqu7 z3bCo4YobUK*K9R5clX|Y>#b%Z``h38#((hd{dXMj+S+E4SgOQ3)l*!5cE?)vQCX0r z*5!pC4#!1NzW2eEyvSAJ$rFb^bN)1EX zQxTB}D60Z$6W8so7kPf@#Mu)UUaWY5Oa-CncE^vOX}|PP4M27RAFiO&KpGGf!GQG> z)x^&{v?u67b=>#X3?1xTg$WP|K9>-PC{2ox~A%qY@Sr$o}G1GWl80I8PMB->TFoqN7 z0C440%?z4oaRd}&grb(3Vmu@uu}O2L`R>~nce@+&vxkozJ^9|nrJJ2>ZEa_|(;#xe z``%#m^{+np?AgWYU?T7ruU%@?>n}WcvihIj`_A~*jq5RZn53H9WXuHn*)N{BayJvH zI@&~Jjj4j;j4^CXMPNH9uJ&1zOhme0;8wNY0X>T7r`qL}H{b!AZt|x^gh0f!PsY3d z#OghO=pGDJ0bKV?Cnv6H`>vA!G3~z$6DF5Ja1R072hf;Bg=B&}PmY{aRgB3RjikH1 zZdv};zwv8nmI8S4k+a)7gO^@<_}~b;?RKZt?Ds>x)yPuwo8Nw89DE2+N*k^DsKg@H zviQPSvW7=qFW*>I5o1%fNh3|mz(DD!t9D9~#^uei<&s!~;lXIHKU^CY+cR@h6#Zz} zGse5-%t(g5@JZb!vC$+Kb)@W-V!d5sN-byBnE;B6KpaCP+h}##N)@I@h9?=(RgAJR zY=~WAfwEe=?|jg`^zrs156_%C(`wbt!>11a_>JAgLo@&OFP*j%sGm|!=BCn?ML>Mz zCvUE9M6%O6y<#RiI#Zhg)JP44b}jkJ7tVkCdw&*1+s#Iqi&RKH5fjA;RtaW;v4ED| zSDH6b5j`lHb)xgBE+5eWA(JN^E>Va`#Ds=<-`qJU^NA{u8o{S&n)_0G)&_F7_2t>+Mc|oB{n22%mwU6F=ZnqoFrZrq1Ke3=>uHCq+3W?EJ7_Qom z_t~66gDBz9y&sLnvxn2CAAbgL$B#_C|IwdZy>>TCXJPrBrljO3sp)|&j= z`1JGG*reXmXd^hSf7-EOPX+8c}zgHZx-`q;_8{kQ(j zBuQ`Gx_jy450bY)c89=dR0(Chzyf9s#+%ZC`4cB(| z&K*1I%cAP;s}f-DvFh*ZtLs5d6FD#xJUuU00Q}j{zEpSNqYp0{W1?u5rZJQZR1KHJW@=a@ zLPQj@FSa4TFgxq=(P%UrG7_V8iByEqx$}!JzWC_$bh}0fKmdkA+S@BSo%Wz#st$*} z-CHZRmRTlVy0f)>>w2ft=$)O1#M^h4*Vk5=IfUqJwa45qStcSOQPt5nk0Ah5Eq7~e znx@t|=aS0D`O|5OwD0jw2jsi^6&Ig=KJTfQ?yH4<-ZQ-~dHR_eUMGu4^{0M0hFC?A zSM*N3PoKuj6JSI1KB$d|D27O6tg(jmPyX}|FI;$dc6Q;+!Qm#+4!Za-1af7=jV7O~>Rz zngy*HDqLEyqLG+rj+N0dge|#binv+1X%kyt24-Wpt`t;bWhCGuh)9W{h*3d?fG7g0 znmZ&KnHVt421?OKWwDmQK6-}3U~Dd5_t$S<{k3l%edh7SS?5k1ZZ%qU3k30&UEL;9 zRvj5I_>l`2PA9}Id4CkIwFtJRo z>b_?KD`3>x{8gu%4)5;uWC+2tidMX(SD#p(5#t1ZDzA zl%ZfIQ5KbnIiSQSff&{>6W}Z%afxGQ5e+c__uuYS!3q5e$Iqx0aXhUbBWuKVDzkbY?b2 zA0$X5Qij@gYkFgS`*(i(A3yutGxPJ!OBe49`yNO_94WNkaCq0R1S&PfZubyJnvZsedSoFvd1UtV!kJ5#Z|*MNwB$r1h^MA!5mo${*`XqtQ#7&B zv?u!gwcq=bk54SL8*Ea`hQ-=A5*c_gRdSGm4HJ>DDg#l}gV+!;n2T{~EN4yUeK8&m z!Q5%pM}r<|In!zmOHU^4%r2~~uGd1r&@z-EicM-C-?%#}LUVd1bqVUN-CV0M0?wQy*4YjtaFySvx3#z+)n*jW~3FEM&$18Xf&#>^lJF>quymYK2^z* ze)OXso%(P8TeYlqUrU@mX=GnL%nytO2ym*Tpb)@>X1yUXgb-@A3_w zD|d(cN-4en_I!Vdw!cUIMAJ*7fhA^%5<~dJrh%D=1V0OlDPdBn4+s4#S3bOY{p$4W zk^aCdWxw^?-+TEpj~_bu==&F!DQUT6x(K^bg;BC0=d%5y4JtAEvMlbcwvYVuhx}DwLB(JtLRWE~eVJrM;AZ8vFv0&KDUlfiSCVmb=hJ37d-9Nf{lnY;#ro|pJv(=L@eIevo>MykEe}smV;W}wk?_Xr?~ICLKnm)E zphyYH3lE(c`tZF!eTQouN?5BuJ*ki?T(4RW)d79lgIJY_D=_k>*4_T~*L?w=-ghHB zz#&oH-HC~qiO4#`OjX%KM5G5y&ZrV0fmB#0&bdnaiHtbt9Vo~EDpf`mVVoC4Wi72- z+G~|&4+NDe*y?_`-d27NL9{G`T1kk3pd@r}{wq~QzE(~xqO1?B@g9r^CDViPi(D{G zWD=rcn2ob}K7yJ~t21iu4RlX{)_gPW_`#?@)t*mBVRyG^H983-w?;ivOv-YUn9j=b z*2dazPt6>OG9|ug4cLq|gv8mRw14pJH`A1xbtwy5j?yG;gOW0qWJJj;i)sR~P)Cuh z;WTxL7A>1@-g@6QeJE>5R*;kACORlC%~vfOr&f?u(|DSy42ajJk z-f*dkF*ey9m3OzgG8ky^r7uIA@X`05rz)|WY!+3O)G&t-%hF55Q*m};JODyvx5p$w zYL?%4^R=0FM?mA@xIZlJF0Z9&8ltz>g(%f?)EU#;+nby2l%vt^#@5o!n=gO%*}wBQ zzqP%$ySlMeuV;FHG%bBn^Ztpap#uy-M1&24)R*~Gr*-?*($b?BKKs%aH-`m)M~)vp z^$-45tvwImyYJq-acBMOY(xR6^iry1%fK>@3G#Xtjgb=lJVGdA(=k*BqEc>%D-w%J zsK{COBp`p;miH4IV*T_2iY9s|Xhpd~#njW_y};Tefh~QgL_9%)Ru%QVKcff5tL(=B zRO&2WdTYr!M@(TnC`0MejI2}dRm2#}hJEP+c}<;FYsoQN1*=d+brBWiK$QlKsuu8l zX_$ZgeTk?VCT5FJO4MGzUr$sth7g=feeljukZ8%)>osQbp`5@oRi4a!r#MYBV+@H9 z!8!}!EN!fJUU-_!@zP7rHfxRE_MU;c zdhKeG+LI@bHR@?^FuHp6rY~brhBUL4fc&5~5s3Fb1WyDqrYim`L-4XJW0caB^;(S$ zt4alp?$huNtRQsXf)e+;FwiITVI+{BEw&~*S~}R<3cyUPKU2U8U=GCZG$9p!;+Lv= zZ?d#MF*~hs);R!qUgX92zz|VYYH3zkoLlFx?-sCs#7toCJv;u@zwtMYE}m$#rY>Gz zyK;T?8^3(|`Df2?GJX2Olx^3){d>E+eX=$a6wbsj9%i+SjRpzBaj#LMC(a+Or&wOu zTHYKRtBzbg?xi$*^r17yj~#yP^$*5nVOsMw+I{wX{p`Zgx@)ek9ee-M>eBY|OD`X* z$IkWZH=cR)@Zp7p-CQnxe67`PzVOt-YH#PAi+(iJVl+;ZrZu$?3&1M^P?8G4>`QYh z7okeThCnJpP$rO0Y+w&MHe&x^uibp%+{{DgA3uBM$a`#`rb^|2@Uw+`MJK%nH0c4Bc~H?;1YakKeeYk*rBW)Fg9BEpg&3Y=}W(fCPdV2q9McJqYb@zac7K%itjr zqe5Va_PO$)%0|H$GuRp4xbvZ5Tb6}L%#yTTuUnUjK!nWxqu$x1KN!C8_Pa4knkJ(0 z?zg|&=}Zsvz&1@>7Nqhoub%sSAH;OOIt2TTu&wyPlRs-)}`qV{|?i z8@qQrHycxrDpP2bZ`K(tXOq7?$cxEMnW zQ7I-#!p4+k;bWONN0jXMZV4a(>%CK?235YTPTo)|J40tPiC%*M06Qxo#87BtOGH2@ zBU@rJ1R+C0Mxq8lW3&>$5n5`DWE`=nm~j&$@lV_t^yj#NC`=j!#$jr<86?zdZOaJ( z(8z=kBQq0`FM~)7K~xYSrNH}%MqQ#1gA9xzHe_wBkX~tWF~!u}+}UF%W~OKSL&ha% zzw)_-cb5BW>mNysY2B5@=#g{hzVOVG2gj4gB1_HZU;Iqu4`)KuH8#T8FxC}aUS<|#T z^E*3t7mqIV`{QA6NI@x=uYc*uV~3}-j89)U_1(Yt$>!RY@9Ej23okzRVlZXm>Ss@V z=^y{r8@E@Mo_XZ>SDrjVDE0>PWe)Y+7?_RyWc+!3D*;4_<8PuLS_o##O z7#wQ1me=K@&5bl+P!v_w2-+vb5l?Ut>}!9jLPQSqUO6E!IUo=MAy|`8Y{D9Z7)O44 zd*$md9y#1TB*3-pLhS5J-3Dg>SPMs9WqfOS=?#WOcG)wE;F`f zYy+%?n47n|&Wy%|PqWl8Ln8rHVQ7FVvlPzQEKSlR6Lld9M%>-r+uQ4w;%!O* zAXOYHk%JlMlM7!OLO2jgEMoOJMP-hX5(?hD8>ASFm8bS6+@T^W;6 zt{>mHvoJH$8w_u*-d$eb8uW4--Kbj{4&M79V`G$4DPw>-mo7L65JV{m00S6e1Obtx zwM@zANYZ3xs#!JihyC47XBxnvjyrR-dHu2iY1EXM zh;l|W64hkvGL0f679h(O0JH{TjgSB`L=G_4)otZwMjEAzfhiKCB&NhtR6ztu1~%O= zm^wUj{M7LYuBUKJzwv9I`zOEi%GOS|)@kQ5QVq_<7{R^rNC0F}(C>fH&$fLO^*92#g6qFU7w*14&v*(kn25gJtj z0EHpqsKHcQd_`1Ymu5+#74?IyM)U^4Y&9Zk0CN>lkNZr+4g}5j%|t)RP$pIpjS6sx z6x1!W>hQ&l8`m!0S{nBA>9jN49nUQ+JoE7J{?0mp)RG$UHVK1qXSn{Yub!wK&34!J z2Df*{Ww++0Gc%=Bo;%-I?)w`XTgJ=_`AW>AY!ytzfG|rW(fbl_f12!sgHn;epU@z*z|61_(ZO&?lN=}YzVwkooTLX-v06o0v^uTD zV~4i)x?~2XZuU&DtnVSF}1?lT*!;5*`!$u*Do(`-Msnyvu9j7b97pl zK3b!+Tzv9WGfUU|8@HElJbe18ENP8+|UI_kR3# zE!EFI_cSDeod{c)Q9l$uG-_EyQC$#dMGSL_`-6)J2dXUvfek5f&JYqCBT*7X%3@Sq z3qCyKXKOd+7Q$0!W&rGrM(^LZg8St& zQ^kR!`<(O?fEvRJRZkVb1WLq4ge;S41mxh1>7>w_6g&Wt z#FTA4&H960W)iXn8d;Q-jB^sW+bf9(ljjDBq9Y$7$nHDwDnSW;e;ZZ>=S`jkOdz9B zBGfBMY}HQPcL`&FBNBiWtZKA!qY#xq!(p)#d8ZdIetb7e>(rd3#sb%}66739Sujgt zOlmE}kPwTT>P)SzZ*BMbv+Y(<=C_yboImq0lWDfwOUug-oxK2HW2@Kg^;^?(F?vBD zQU-z;6C%qHmDC1G2P6qqHLQjtc#*PVlo2ZsM1z5GNg^?dMDJsgIBRS)A|gH?`0?5k zk3aO83+I3cDOov)ZCdl#*_l6FU3W#QQ7SVug_+o$kqTLzn8#KEBnL1i#1mE}CI+h# zMTmk`8EOxVy>P&AAO$q4!Z>3R><0*9;st#`oD$xLS%^*bP*o5e#10;i)oGs=Bx2Ya zvtRs7c=tc$Kevx%-lwit7zI@qSygHc7t6S_yE->F^XtF-^-d!L@X|BS|KX2b{phU^ zGn4+xuYL}x{hiHqRKpFe-5y}ojHusvSd+Ek}pb{mO?V)U6We(~wf zk^j^G<3|t?->Hs6Ldg(Iu!KmY5dEv{ALO7|V6KYQgEB)u(HgF(C?G(sVUPt7d|4Ky zicMUmR>q?dMYgHYi9K+&5w8--DxQkDPiD5i5|v_%tZIx^LKVg2ToMBn-doGY+M*~0 zFfP$p#kRsSkrK?rIjt%YV~mx~0WhCzW9z4U^=TvcQbTDRr!Iknz3yJ=i&`yJgsSos zsRE%58ugizN{=r0 zS1rUK<)6mY4ltkno``J^UvS*tVOT5qbtdgab?z7hW)R!I9N%soUgXuQO z_*BAAyWY$FIa&9=Z)N?U6Cb^OHPpV-e+;oKy>l>ZCPEn^0A*g(>vbX;jz(|1@y0vv zy*oXB$a{^@AN|=ovol9t{?Zf6tHY&hROKaOWn-gJmUVi)4KT?`GGG)2!X`DW@xCo$tt}LyrEcG6K z_~?A6{e$nlJ>Aj2^Ebb=d}puQ?LYSTNk8JW$x~CVir@vou(|Jq=ucgUNrhW2AQA;b zG@bQ7-GBW4kK^FzqsB0fBZq0GyPN6mZqs$tb{NLQbjMM{^jqh&O?P)UWBB;qKA-Qu z@cQBPxSrSJdR%vXXtHAgd%;(vyQU{CoH_tm<9pI={y`0ZdCn--n!00DMc>xVSgh$V z$bb9Z#aY;=VLGM*{$XCMYZZQ4f5>D7RjOYEV5wU+F|-ga@Yc-$Y;-7k+r2BSx& zd|WeAd)UZ&wCoM)e0bu`;vZKtvfW$ZsgU;}8c4Vjmr{s`;{F@7?PFcTLA5(lq5cQV zb(l5j5HSrQ5H+s5^Xl$q zC8<%LjiqfoaAoQCr0v&H+w8vnj_zj~+fSwD(3Dyn zNx6=HguK-3m!qB2M1A{39U>j+T3KZ)nh0?~2Z!Oa#S_-<{RXQLYip4u3@2$kJL9YFs{MtuR`B?`h`lzMiaW@2M}mCJb#r&9{bi2p0sP{q?L2F&lbnK%)PFS6I$^h#CH(C(DNh zy7)({d-VB^lI7{Q7MJU{w+$KNPAMGeC=8e1vt>T_7JU78ULq!tGG*$2<3}nv5EeDe zS1N%BSU&z0r$*Uv8sFh{Fw>0v{(C^uRDy8=>DaYng4pE=_E*tsrJTpDKOxu2wah92 zb2BeC;!^TIYiR0ktmnxyVfhH8_?d~!TUndv&A9;F z74A#$xS@nXYxwDFrx;17x(q`&Ax9JJ&I~+12R#T9PvVaQRS$4mgV^*(IZFFiZ|ZlD^(EsptG#+TS*QGX zA}~1kU+FI@&Q#Mj?@9C4`kI>h=KGOJwbA3WWAsmgaQ*I_ z$UQStFlcAwuTsuaujMa1YRU`*l`tmb*OzETh`rD_4jOSXtE(X%b76aq%liWx?_k#% z!-XU7aby3}i|xp?!AFWi! z*TR|d6$okPr=5i~!g0JZ57Rmq6nE=&*-TpMwJE3%N!~~{1v}gK_mZ-)_VT{CpbI`G zC>u;gtqSet%c6U9l!k!=sd3C9!>kGAlg`>Zg=p#|phNJJhfg^_cp<%aq3x5GxeSau4rlGm{ zG7yNgyeB^N6Rh_@0gPNh(?+9Xpp}RJETFuzcTsL}^f{I+UcP>2x7mN>g`3&m<2E(d z{M!q^{WnpP?Rz-UJ;ZW&-3$A6=n`e|Z4L;-rSUymAxgGq%*NUBBSeb; zJy+=?-Z>)ihFcqxyc7NkAT0Q9nit9pADNkZNubbK8IKBv22#LaEhC68{fA4zMB;d4iHtW_eA?TrHRe+=8d7+-daIx*Xsox+^zyESOuO$Ot zsCooKk+r)Q%(=vOnx`#Opjc)tRT)@^hE}o$Z~e5!t=sTD*8DV+C~6Y{Mo}r?HMW~? zuIu!^gUEmL4fJ>su~i4Cxd50u6K?cxCiP@wIF4T2v_>)^YE9VN0w)4^4Ez-{uNJ;P zg&~suhlVyp8lCl++DflDG%OkhPWBV!cOpqK)IXTAXIgxlXh=fLOkrBal#(SuP%3_< z8lsM}&&O4unIvDTJn-}Cqy0$ zHjKYGiTNOY4AX4^zx*>w8fSjo7B)@~_aAbr{#O=>ewNqt@o7N>U)00*32s%D2!?Zs zYh{0mw8R8L>CpAXw&sP)?wZ@O(R&kg#KNLqMUsWjqzm7U^c&oi5lYK&C(p^&8@yxu z-VF0K54RfnuK~Da{nadj;_c#qruH1YKrVo8BN_njWrz;H+-Os=0J)8Ym(}!IF+*i# zCCXkak&sxQ0f2U;gl)%^+;5(!9;^MeD(b;8&>0UCD=;DBxlUsST`^CHoU}$V1f3pA zNK}@u)h7lkv}c}nGpw7}2h3r08!jF$SE|586e?FPgDaNpfSGOXJ-yAjhqm@Jt4|{@ zOUcFexPZ?$BU5d^PSN4#HRqS4KPsJ#*f3YJY=m<0O=K!K$Nbo;F1p6w zDoIfbGcyn80?-IvYn2I!6ymcj%F0u}8Ib<;YCT+y3|E&th!JnRNW&MsN9`|Q_)^1q z^35t9NPOh3{XvlXdukaM&8qz}EetIIN)vZv`BK%+=mkhk%ig!W-=py58pSmEIv-!wo|o!b)0l8b#wM`ue~cVePScuMk>U5 zlS4iI-g`wRzF!e&te$utf9iH!@w&~c{oZXrZ7TX`plJ(KNBtUf(c5>3UGC@eoIRf$ zxSi!Qn=x8^AkKHAp))096UJXH%k)4x7U};kj@8-e$K#1ETirKKU7uzvO}mgv*D0Rj zo2#bHtg7j6N~A8vf<7X|l~IG<41Hi~ zT8VfrRmrJfaMYlH*$%(GB6x;Se=|A^-Ts>P)AqJABa=M6YaijqTEweJTRy8U2oX5| zi(FQXT~@LXn#U9fZcj%*#p#Ip#0#fE4n>tjBn%*NT=j)pzbaLu^)O}`cfwRUP~T$W zh1>trO2z|qEl+zXNF-KLzIEYxk3NZ3-%kliYC5|bS5pc=`b^MyE&l8;gUCzQ_Vb~k zAHf0;A-Ipbkl+w$MNcbksd#=^mYZ2QmG)5Re1-WuK^01zSH9XiL2i!BG!L?a;} zk>qpmieJYgQ-23fgchP{t_q+)qJ;&U~zYQl6TXw^Xw9r#682?7o()0Qw2aYsv_^JT855z3r_bH8TroahvBwS`*k z@vaT$qi6_bjXpluie8T}wqJZUonJZvh|?^QfvZu{SPk)nsGvnw^*q6c! z!vcqPGnti1(qgbIoqYBd+79bE3C`Xfy}A1(=683noy=|P%5&fah=wW2gwvOqQ)0|=ky(-qccPLD&?@=-_Nt>g~6We z-Y)?p) z>HF+3j1G_v)3q6!xO$;OUStP(UfUe*bSsu*Ja*~oEUFy8TI`)1E{~noRvTfpWA+dJ1A$JiZdKgEu5BjZzk8M)>T zbB^!Pgf(Da#v0y?w_cgJ`wfYrM2xZCfQ7SCsb82DC*p*}HVmZql5m5?lcF-3U09T1 zuLd#Wm&RA^k8QgvFVZn!N3(K8)rs5ZHKZUlUw+ZDhLd3!Te@idywa&XIUWaF_0`EtVrT$ z5BuJGAO(@=WQseymMy8BK6XyzycQI2lZZ&eCBoD`$)4uMGzR}*YQ2R4;mS~;w0M3m z0=^yN<434Vv;=_fwF#0EQ*vGXT#~K%i5pI5_g{~*7b*Au{m=#m=>LiTXBY($0BRE? zKt}?fy8p_Zh`L{ON&qk*P?sgLuQL@O(|Ch#kfFia+{whvuVUwE!l(V1*#W0c&pXjR zq2Q?4;mdiE5BMw3Jno^N#*CYq+GmbkNWduwlA1dI+8KC?tS*_+NpySI!{6~B8}A)9 zIK=^09XFuT5}@FCgE8Koq8F{cQJ9THS0I^!$Pu7QQ3|LNWug^LXv5<8e=5+Uz$j26 z^8wyC*`1F2CdDJ2(TxJF_iGS%Dk}vJe9RJ)F1L_Pt1G3z4$)bRlR>R~$po;2;PPFF z3P0R8+x8K`Xv%$M@KBVEFgEz3aYA24_Pky)6oEh;*8z2ER-2;rI%>CTTa+_j8*7BZ zWj%PoL#33O5)k!Q^pUv`0A}gPumlVRsd(cci(m86uDthj8#$aCpeo<5$F3T_6iRPb z7^gvboIdP4?VCn~3TBS=H*O7nJQQp7_`5@-CQJvwUk*_VcwTym04(kMj6H9WSW!^ZK7-`$S@{B$&Vh1WKT)q3wZ1nFfC8O+Oc<` z&6r~v_XI)`SchW>4a;V~E&UZ%aVGvAssIO)6o6?hxrMHqw5o{It$N@{yjI;#Ge|Nf zfCpr=DO1ZDn~zX2(hOBp+_o|&3Da?@r}ll&DN29h`QcYq)*dl>ZQ#U=ihp!{@2+L= zVo_*|>V+>%3&|<-m6gJ8%{@IWTXMD|FKL{48N1ZN9A{a5-rqaUrf6_;7(qPSOsE|( zViTM!6gUHRr|Ki!7uQx^ob>$usV!fR?Td?vF#0KzDttTgQFlr(4Kwpw`IhOGTHEnR zY*IjHb*W~M)=SmbK@cD!EiG+7O~lc$Tt5X@xz$x{Wjbled5~ME&xluBp@;NPJ+7F8 z@YBN|oM*KsiaUrXF-mHabYb{GAW{)T@|J>ah774GUG5VlufgxgT97Fg5^Qd7?qFl8 z6A^oSJbb!t{25>5{Hoy8xT(D`nXs>hH^N;VxHW{OUNA6a5Zl1G`itsq z9*~*O*`ffscpBYg(~|f5$mP19VrNT)F}xwAWq8bf#`w_Hl}hBL6#Zgnrhg~<{4FW} zxK(*UzI%&1+~T-MfIIZ5_sz!#36{i8EdoR=3WR+qNqjiUm~k|J!bJQ6TA0fe75%|2 z_f=#vFkT9jG$0Km`%R8h5eDU2A!on;n>MYaF=3YI>m?6_8{l;uGbOqRsTNu0aVk^l zQ@oZWa9=??m1448{6sf@tXN57_|=-pnfD2f;EOJ2Wu#*O_SU%p=8)4O)%iB|IZn#j zidv;Pl>09dpOAyJ9k4;C3Lbn|Ym-$*R3P&u!sYG7B4{Pl*+2vYXzvW&B#;*02Yscb zLnr3fI>abopnQat3)@PnP@tX5$w2dEV^}@)2<#-kc)1=s&3l&mrAn2v>4V`? z3OzgF(97OOAoy@*A<}afetftFy@-#D|3S|E@T0Jv|f ztWTa!ZavF2X)U(*sSpX-$bJ~=z-{&0&iF2#oZx`pU5IFe~ya*hm9xgQ#p#dMc^Iv1h zwK;XC=ysn3au93PkdZ9tRbc`;Ca$Op0G#up)gKA$S%m z2Y@iWcj72Cc|D}LO%G7)v0;S~Mi8{PqJuTNetIZJ0pv-NkU#t15hYCd1Q{_hI|ASWW48O%0fB<1ILlt`; zc5MugZ(=EQ2gTo6FzAq2!I*K4wZaf7X4;L9HX2R&@s>V0{Gy!aN7z-@_sul)nw{dk z8X_*!#jhVwqzLjp9JWTRy##Z?@SUC8r+exEN@MTUzRmiZImfE(bh3=+ZT4U)6ql9% zt}9KHlQEte9)!#qCP$c|*zWS#ei%v?ZWOZN|`0TLb>F}3Yc1*!med9`-@Ag7Z$WdNeY1&}v-cvx_ zs-BNnu#0=puq?TpM)>chwOmSwto4MAH2U(z5DAZyVS>4i#`nL%*jNXWD^80#D*rXR z^5w7oo7nwDcmcp+H1WS(IAm}CTV(1NOJiVa`KR;TochS;As$vm!1%5fXw57HX9A^=n7ep;AZ$Y$S3J8Oe1*Q#EhoaK z$SPb&IOqA(rD7LhSU9mCTJ6u26edAO2l|sIB^nlnV2(yIr=ZT|>cwVQmO@yfD(Y5q zveN|v_o^?uzHG6nJWwRNTM3B76!yfhplD;hfL<(q&fqnGcq4Lwy~un(7e<8*;qL_g zsxpRX3mHM*tAUU^iVp8!^XumCt6Aw8ldZo)YJVRu*SC1nOrG2~Mx~;o+xW=Ne<>Tr zhMUFM^BhFSqN^FbFaVa6Ry%Hcg{P0_9cLc|NSp1a4E259z=ye*e|o!{uSf2-dta<1 zDiHfW9@nj+$|DAa?CUdZ$68rLAA3JgE3F@8xVEhuX?$e_$6SWiWa3Jl8)@g2Aq(>r zD4l=&uwK5d+jsQpIZp+)`tOY3o3wI>1n9E+lwxmq@L9psF~bM#|NP8&4VG#@eq4U>4FEeYrFr@FnDBaKdCbX8IArZnEGaZyl7* z&jdNB(5bbF+|*t#8y1u-qHFTB0n&V&e0Acu_zF%MUn^KIwCGhURxBfc9Z1&cMnZiR z{8N26lO9+kQ3JKca@H43av_8l4gnPP7O0bn<)TOsb4c;hnLfg0T#@u#x&mQtY5@-` zKkL%fV;LtvCmB(gE~XPJioaHC%8lXU-s8pi%_ zd+QHz#{acCOjlIhJgo__TjdFfW;4O1&XJfh`lfs-l7{cUMOpa&oN=){2sp6!K5dO1 z9nH|q>b6Pe`(>Nv>~~4WV4+k|%rgNkatk1cE;!M-GB*ygVirMaCxgS~7iH-+94n$M zNH|wsGI|z{QFCP12W^7iF(7q(#p#0{>|Lm|v=a2E2`Jv*-W2Q)-Bet#or#h$zFOuOn5(TbRJ;8iq|6w{C@CRQ-KpAFaueds^X^&V|^Nw zfL7pZol!`*Boc?Q%#u4d&PQ2}Ipuu>T+|MnPjd%_f#s$1%Lvi`H2P4wy^%N*QlZ4u z+@O~n^i=B|LFcg2KS_W<<7lgxR&Y<4xpFhg#*-jgZT0=TE(MeGEv~947sB`wt-cy> zugz#c+F%JpSxP1iZQe*xn7RXk7D8YSq;NO!HFHv_(;=NH zDPH^W}V8*>JEobT~xTVsX^Nq>+pdEWKWs4aN%H8IVHh+bQlvyv3 z4Pt#&BGY9>qD<90rN`-`kNiUnBZ)*kGx6l>O{(T$1xvirl9m=XPI%qmWT8P^oefAP z#_c62L$G)5a-zbDB5i*X!*G?YXonqWP%Wl%j!Vo|XcAP`e>28*n@wE=3&-~3&3PChPQ^<`6ao52}Wh2fkaFMn5*YPk{EEo+1>_E5;O=5fTId?m>E+I zj0$E-+{_Z~|BiD+H4Fun*)KiMLbKd6tc}M@lsgHKCl8(QNG-8APGZ);{v>dO6i&h*_d%VG%~t6xZMvo;~VMv}#a{S*ZMU zc$m44bOMJ11=ygGgZ}SZ(M6w*^Z3= z&e30=)f0oG#Lj#F&^>&rx!R_>_~PZ{B5mEf^BT+v!t%(x@3QYoohw|S8*_7d3x{98 zNk$FKdE|UEvVPg+%tSPjufqduJHMrr;k>C2WPQVjuDtfUF0Wga1dECgArD9{fhLED z_?E)89GFNzuno-*Wm3P6^3*_aMm8!xUDYm@;XP z-Bf*Oq2CRA`@eCD(VaZnUscO`CMNT(AI}Xao7)%Zvgem5b)6S`kvHKt+Oz;oLY!<# zXiiZ^My+$m)sv-V?EY!n)y&QWZV!Kl?a?43l_F~E`B>~VOHgO&+Vlfut}X4ahCG0= z>FGy1RSD;hCp-PMRUHqV=iwmlN>ja_nFw!I&&%st(iY^{oE>!R-=NaaA8~pB18;;G zLXs)ksCoyPV%|7R19R|?YBj)Kk;f=rtug%^d2Mw3G{)whA103>#FCsXzy zPJb;XinP@Hlm`$H0Z}KB)&hcPrnmyYyaQ%+^$t$ZFfc$7zG$tVJW~ha7fj_Qqhr8+ zO8_7sC;FQUAts@837K4*BmqP@M=*>V*XWQn zF6PLllrK1oJLx{797x1!ecyLmEt4YHk)p2uiAq31eToiJm=&=J)2tR0zVy1z3 zb8R44=TI61A)ri)6_cW8#6F$80sd#Fzo8xzOkOu8j0gop{1%=C+VkPo zs_d)5z_*qpNT%7&hgpYK+F6;c;1b4z^gv-WKjx8c-)#MeIiU!7aT0Ydt+*oy-XPfz z!uMd-X$@gt_AW&s+6El9tEgbh=rp47LEml{ioUSGf^)_bD<5N(8eqE359>) zgJ#VPR~}+VrfVc6_BNP9jt7T8Qyo!&oSYBbFCu+tI|)U7ps4Imo3w51j@o1-xSKL` z9itZZy=Z?}6$t*SK9p7o~@`7AFwp4*&xEE>)^!e5%<{I*h3-Ez>N zV`t$r{%O$P$l2aL?%GXKcH^?y0-b^co7c<)(KO+WB_=653)`I^_TQA0B|kt3xL^1= zoK`VfCS&*O=*9*AM%FsSjOpKJhOosJVBDdJZ5a}~6SD@L^)K~HX)U}ZkYQEVi+?K8aJJ*oJ0E`xiW?_r7$Ipbiz?61_1-oa%vna55kua2$NjR!Tf)GJ>xvV0kK zZKJ`!kKuJ70)u4UTRpn`IdoPAh{u|*_g`0zeR3WFEF4n-rozl94FOHfb$**VFBGiR zz)6>t)|

U#Be(a~UOUR&9aLOG|&1c117$ex(+B)#m5lHWRIlx@2E?KIwylGV}&D z?<}U_l|E>SwJ8&a-rcGqf(Q8xCQcTY7YYjnpUI=cW70`HUcXZ1A**=YV>~tMRneBL z*3b$wu~OH5>!<(b=V%Z07k{DQQXyMvE^Y#X4{0WFm_$)wJQ4VMnF%a?1c)!&vw5N?{B)$xNOY6qpvU@OG5;=*R#0Ujn;Y z^n*H=;s&u?7^iKq$^v9FPO~k3w?gcqA#STRkr{^+8ISzKt;Ni|89%M@EKnZdO#l#I zgLx7}uL~8NuchW_4zwJ+@05swAhdY33WPM#^EA`AwM4JJ3%w<($ZoSzF0A*1q}j24 ztJj_Ne><(bJg=u7xUQFsnTF7dYMm5^05TC_a%>?~8BF8R5Tk;Xz3cz@!sce8mp+>b z>d#{4Mg>vLNtZnadnY!l_C~6Ow#nN~^*h!S&g%evDSs*@_XvkbcG<-%#LN9Rp-f4m zaTW>e?>A%OiGXe4l*#An-BV$13=GIbo($pF5ThEru$RMp`se1{Iu=P7Uja2Fi*=YV z=z-yDm=F#qArQY}Um68XDb9{eSnQ&cS}z(^k|4}yMJPv_fFe8>GGyD5t2L&WE2%7# z2NLAuoK~B32~nZRS$zM#Bjo=2rM_xs|1(v@Tg$ z?sRyC5;Qc>MrMJjQqlTpS9E-x2Y@o1t_BEB6_>51iI+Pd0F!`!bJ@!LSo!6?MIt=y zwrhujkBb6NSj1Lab`N>QtRetG86*RG$uOf7$iC^yA5PPdet8F!X%G{*uaZc#Z3D2x zlgD%0U0Ju|G)T1HmB<>$>$H$CR_ZtcHNGsp=CjJ{6fKiMps;#|38%jM2G7%tVo)am z|AEfsgAEUdSGJ1^4Yl%_W(bg<_~7>g4JH9T&ZoYFeebMr(SRCN<@Op?Uscd{gok5F z%h9O=X}Wy(E4&V_-AjKR+LtV+T9c`HKCCpCyS90GwTc`YrPC$)Ed*^R`*0SrG5vZM zDH!%b8|;@ZZb^P4RClZPbWjp4EaG>E(A>=OQQhs^A{D*;*lTomHeD5TxR7kPFy+y2 z|Cx*;4$e$wsi>g2j}j_P{~wa)4Xx9{XYW+S#%2wvvz(haA;=e+(?{(p%kYB#)xU2GE4ZM-R7k4IMAr1{GMS zaNt(dQvg05EDyWph~8ekTr|2rcTJBvj!4Ig1wV(joQk3Ye5hB5=I40w2cs|mX6`ia zKLL7M%y6D7D!Z5ons5vmw-DT}bb6dfBdY~=9+enPN|KcD@^oEzksn1^y2Gv{@4B#E zYLZInM6hZ|aiWCQ%RE#+L-Z6|+ZO_?nR(*wjwHO4Sr$qKU!&hhO53ESvv!4}t8+Nb z%)Ve_8xi8uy7&QaK6Rc0(r{GBWia0HPEPKsfOgOA~E`PKvsQq}_#)wBP z@N{FiJIQ*RhnzmR&1~{1pWOH6i-xg>k=wkBO&|u)bII~TB;Uo6UoSj`U#f{-pWlvU zhg^0icl-50B^fN#3y@$shw4BiY>&-h!MG*f-sdraOG!}DZS{4oiT}%|v`TytE|70w zA1{x0P1?PSgO|G_iu~2lh#P8d*E~vw#3hQ-O*J`k5t@P#1r{3jT3?XNWOULL^W@^{ zXK<9x0wCbKpIkIjZ)eT}q8=l)4x1c^e1Uh)B-)u5$r+W$o_@_Qg*EnbD(nrX=sL?{ z)+EG}K0044&)wy^czS-2PLGu(mZ3PLpo!Qo-FFG0z#Lec+40wO92rxlt2d|>35{WK ze2Hf*OJUrO9gFy#PpD`Jx?jZq=TtP=@zK6{c3#n86vm|S7mn@3k0=|T2YOaWhaZi7 z`@jk21MkSJVvzpgrO-&XkvU_CMoIV$o!F~IIp_OKPaBRPwhMTt~&E(w3*z#M9s!8kJdk*1Z_{x2H*AU7TcCM4o2`Z zEuVV}t_z)CYg4kl)+&T~O7kf=0OI}RKu)hd7QsSDZ-LwMqe$8ug9 zyt^egGRMKUUKSz{qc*`8JcYe{`cu!Bp}zEfHDGg|OR9lw!i~&ge_Pc84+FAUUTQz zaW#mcD*CU!D)4&$tC+vrsu5L~?mM2TSo0#*sRqGEpOrTM!Wyp3M^yTrgt zc8>8ms~teTvu^Ba*HhW!`!lCZaq>@+;Xedrxe&nzuRNkW1iFUBn|eq`EM9Y^0eS+~ z8-h0cNL>7NL_rP`fLsRyGFgZbik6{5AqtrFy(DNfe!J26P)A6OPWdbaFF|wje@lww zBX*VVK|4`}~yg_#8znoYo0S@Y>?^e$h}hd>c^AB>9z}FOLR=fHqQj`gStds(@cH zj6G{#x{p*pXRz0uH9TAlnza^3d4ONg{YkyOSM~=Jz#Y7?`uRpNO7pK?X$+-Ox*a1Q zJ_m?V5<$j+^p_^Sj+r`kK>>lzY2u^8SlqTiANrVC@XKqD3=X&b z%0;}NQ5jDJ-5WugB01Lc|DM`R|MiU9|QU!~RPSUNd5I0g)Vf&K)b1GKJ1ksuq- zwa%{3)IlFlmqewPYHe)_e$TG{$05X$Vb?`gL7 zxA^%8rMhOL(&bJUMlLUHe>nTJ@a`C>@WT(iD0l-mYDx$xa#}v-F-4bT2MT-k9aeRi zxXPOTi!_C9*_!(9cSjC~{L>X{3_1O{%91auoOWBoiM|%P`T1cC#XJ!gP)FX_etWD- z80}11Dwv?CYJr%lj`l%A#>V3S1rj{EHME(^IL(;+$IyOS(B6nf#B<7Zl{2M)D-`>i zgOCUs8xHx3n3;vv!`_Ed@8Q269k*>1->hbH$EMlTPOlp6U(H}zIe0fLE*{+akKXtu zP1R@OflS|i$8Z_+$;8hGf~}N^El;p4^V9zJTo;;PiE)5IU$^-&{23r`!&vg?l*djq zb_qH;93e3O^=)j&jK`Ps7MkN|i))q+)Dh+gBe@#;S~y0e-NOY5!xdj`jyq9^2Cex4INF zFo?rrycGR%D^&v427L9WrVPBs8WPF%<+3Y&r7w`H3wX)NOXrD?((CZlgK%FWH{?Ua zS<&qU=H}tjbP_DLIK3dlb~n*OKm;@7mo(N|^imYVj2=a3nJ-4!7)kCoI`^2l@m606 zR4X^eXitdGb?COP*t@u;+S3HN+s8h!hmgAkv1jTh+*9n;f4a|Aayj?g&yyj~>@qLK zUroZkF!d{)yqP$ zdCl2EQ9RWhH~5UKL|u1|(cIQ7ohHuKvHkN~=~RmOz?`ZauXW5-Dfba_)^K@pA*Z`C ze){n0jk+EfB)%eK1B+=tzplcDE(*G<@Cf?ckKCnF@e8i*%h;}7UiVY=!k7Txm?5P; znq@8EdD+2(gBjPy+Ny1n0)vcP*^$PX|4g5TZUf@DqBdqy3k)Pb=&~5s|LWEk>c8AZujrU7L9xK!d+|0rCX>W=wbGZ_+(Px zUb~oi)k%hu+iWM|Zoh1L1qmuNFCbaFWa3z{eIJXUaNTZiW5|8V7EYD;U&gaJW+*4fEl_iCww6{WSySYH=* zt_b~64>nMLeYUdA`KkhV*6F4&%|z6%K{xSMBmh$SUr@3uUFlBj@Iy70PB_s)pt`TdEHKM|288b@A-X%ydeM0Q>Xfi`U$cU5uVN!* zU^;YGJsa_Ldo9gD0WTY(w3|D{+ixAk zzmv+NNZ=B3@Azdm3r9I1oCpgPSA_U02{zKNUG^?%g)`IjXpga-vA{~bzTH(l9!d-Bprvi0jk zq}Auh(e&}=DJU_=_lOfGXm@!eE8ZHu%iVB0{&b6 zVf~~20M`=KTWYZNp=6~waQh(VUo1Px%FFTKTh#+lg}FLislV5ZL643W_O5iFEU!f& z7JC9Pem$ZRO3F65mY+HZMR4SSh}o^syWtv`0v7gWU?)sg4`Qu?LaM;$nbE%R&o?5T z7JQ@k_QI;y3zZqz0h<>8EstsGUXIN*jeI>_mLDCB6wQ5S?BZU+(l+VS5$KSZ0D#zqV0y(jp((&;(g8qjG+PgqU z@uJYJwxh(9eU0t+80s7|05WN803#liu=|-=voqtVfcHhVC7>Gf?B8vN?U$9jo4cHc z4R$9N(#^!l=-`+2@!hz&bYg<)={&`ISYuP2qI}P#(M@9h3;1L>+IPEOXV2Bv7>5m0 zG8_AsA(MDfz96UpbM}^h>**f0W6bsH^V=f5WFh#PieEgl8u)G7JZ5aoTGF3610g2t zh|s{$xqi{DG-9XJ7~b5j2S{&}6vQ%rApTO+7e$@!gLj~qg}97_qXOak+sDS|>s6NX ziJYg&ko!;!sy*+Pd+t}1$KDSUMM}?i)XzP$IS)f(cc)JWInV4*)OmYZVj<0LXcXL2 z9eG$N)%7r9NR+dF2zOd|z-fEnkJ<+B{kg8T#?2ziPT=p036ix2fZs7`sB^bIO&HqG zyR{M*odf*5 zGJ11_gD))A0zL93FHaUK(WteC3J6+n#~9tS5Hf)?O0-xQJB+T&doH*Cft(cj7cA{p zObrfse%X}r{h94ePq$&?TRL_Qxs*GVbzj1zSYZq(rbtoA+qBO{CS(Wh4Lg-&NxP~8 zz@kYSD$=hG%kaA5sj5Ymf{B+gT>3FFqxt6plv%}-0 z^IyB^za`s};^M}}$#9HYmd;T_Y=ugV^6Ar-+aIxGGm(ys2MK5v8(>rn_j;QL?wrSF zLU!B$tjpTO#1BL-B=bIvcZwU`xA9;&3cvhVgmNo(>i?>}kgctW&%#dLta6{tF96YG z)nj~!j)$`mMk?Xg6sVoHY^i;HCfO7H_x{DvZ&SEEGd4R9)96TQ!hE-SsaVv;UG^$^F^64}r4;_s-+o|?hUK)sZ^9iwh; zn0Q?Is@C?jGqD`NFqRYiAUFHc>NCRaMKK+tZ({6sn}z-NW~KYmfzfnHZ&`@5t)bzM zQv-@w+w5!VoGZ4E_lp{tPTq}Gh1{eb|{C-S2fYQGhgMae~YAS zrpBr1{6~~*@DB?H01BcTOy=&P>*TT|t(&$A($&l3*3h}S6epaz|UTAr7CLssrm zhkcq)()lX_81Vf3zJ*7%r@v7F�$s7quZi*B7-4j_d#g7%r=^B?UG~#f+-{R)0ji zvm!)yig4#>Ax=_?(Xgy!8SrR;=QKN*QPlc84npDm#)Fj z_|Jn?Pt6^yifxrHl#HTBOYh}lB#~pVm);%R&AGGD!#0lB+mQkRPcuhTT{Zn=1oye( zd-bboo~KJXwq9+Uwzs3bQO1U==|ViS`uetYubir8gYMcBzpfguZ=nt=bv!PPQ@kQ_ z-zUhj(XTXl99hsz=~kd`N4IO>EB4k;n|mS*TDTRxBqI{b53`hh&1WTIpG*+Fm-$k z{Nv`^yAi7%lXT}LBLht$ebj_?1LquH2Ml;g_^Ggi04Tnn4l@0{q>8%a~GZ(?;RHEy|c2kR;6YZOSh+BcK_f zL$;=f8aK2Ur`ff^+$N#4#{bQm6&PCQZgJ3BFzLRTs&1qy`-!c9J~ABqA0Wr1$?s==v&3q@JfZPwV% zp8{^N+eoz`7#p zB?AMWN`HQCWo}8-<1QOEo)hv^KmS)`+2y2f>d+GK`;attuD@5mL(Sc}tyb_)wut|c zdLjVuN|*05wh~rDG`Rl$0*% z?ijkHyBQiJhwk|1T<3iIH|+P_&wkcg_X?e*@9obEm9#UxL`}W9+P*q2*xp2>eqzbc zOM9_knC4GRQzMVhY1;2w*996q`*p$pT)l!_A~js^aTCL``XegQE!rZZVr114EWZq@ z+dimoEseKiLs!h1@_`fZn`w3wKo5VA$bqoFVxFj1ENueBhCl zq?Oaq!Z!3dEwRF7R@C1n*Ovlzi4d6B;?`gvw8_hvQlqZ=X5$7i)n89V4Id68(#oHn zmMWi?^c^86D7|D)qsX(a&mH(@BKd1%i>kCR)wLs+xBgsVv!Js zY5{-;kcgKYfVEAmG_4RAK&)z4J}_yKn`SR&d?L+Zyn?5Sk!v>a zN$_fUzi)24_gb#8b}l#+>1-wq{=>mA!w!){3>%Ip-6_rw>=IV9YtVJfYIlDL^HD#Z ztJf>d80%kfhps%g54Wt^+eT?!Sqr`Vfe1Ef{ot+hG7jQ)->KTi6Ine)Ixb~sU%B-S z;=AW3C`O05ie{HamWqVm`gdhKM{*it=;G!L{$NHwD11bveCD*x6-9L1c)2~VED|xF zMEvSMpNi*ryzh6dE!JCf9XuAC~aw7%S)Jw>VSod^jcPWp=a$%hC+0`Aix-Mzh+1qO^lCID@d=(uw? z<1H3<(noI1^lu)L%?TNa=LfhO30-FIBt?m((ihVKQM^Jfs~X=hBBGX zq)OfYEuYs9y;hTcB}+>yoBU86_I3OyGa|W?TxO1(pfFJMj5~x(iRe24u$%JBho5i9 zr|HKd`QqMK5cO3{E-8@Xi(MKAt;?teM_rYNS(w>4+nDdf;{yPMZK$--vC68K$n_Q? zaJP>0Sx4nM+pfKWu!Uu-H?WPzuq+NX>VEu<>Ix* zIuTpy_1z68FL8bR*pLUFn@iTx;*W3;D}-rvWEC2UeQ5~3>@yGTWfF3>dPz)kspLp! z`UR;e;XG^ic~~-&M_Mt!s1;aqxAgfT!fj7r^oltY5Co7yqYXu;y8=e9Tt9l3!+saZ zAg>$zHcg4gvIdvTA9j(jqP=cvuWDN|5s)|XduLdHgP=EaqVd@C8?bp@B$!5i(?Z-=851(2!9O6N{#a%i+GDs7pm!`mNw_7HPOJ>y-g zAN=KR!7Qe{y!>fIFOXY6&9_~s595EzwHi#52b&bKYfxIrYF*ioH=tn z`QdvM5hKbgPVfSARD&AEHRBZV)2{i!js>bda#oWkw)GQznF57j|LeOQP=J{5MTz5FPyE? zwSoWMnkEB0=Lyn0u)Bomeu=Y6SVtbMqx?*@2Kz{ORz&R6)VspkF-B#;*T@ zYVi3^sU@Ez7u37>md@g-(KH#Pi$zvF*ZId&s5<&{0%7tDe{yJ=)k*sZEaezqb_F_~ zpzx=v+02tYy5g9p@t5_HI2m<}nDM87!54ZNi;GbC)@3pLRoaQ*_i;0NxTojYsl3eQdRa9j^Ml80e)L zuzPmgQQ&8N`Pb%gK=s4cEu#tB!q}-6vgH1U)kF7Sy6VzzfGR|&NgaIYJE(DX0A}1I z2{0Lyq3#7pR{U1?ajx;$J(~UHHhP?qnz1Z#BK2Os?d+t_?fG{6g`VNEh2s4V5i_3X z)#;f-5(6TghMfbXS1f+y0`kZ(j&e0-{{> zU=geBtmLvb;s!^14`$=aNhL3;Dpf0=7-t|{0|A&|m`W2McIa}l{?DunJl;SS#^<^drN$q41+zv}I~*{RF2x^UB? zO9mIsna{vol<=;G;Z%1k)r1pXffVny;RPK34Z@z_>IJFCrZa(ByfH zRU;-SK_dvN!hVY)E;^ej;o&!Kjo0GlYd8LQDHk&R(Vw@3gh>bGik>)U8>n=zri!G{ z^d+s?Y2yZIeR6;rdIR%X^L;pu5zIRmwY0E3et1}>cRpIEW=0f(+9GbsgQ5ShMYnbG zSX#`?)GA{~k7sI3Mm05ufQtY*nY*L$Nf2Q`4GV?>h>lh!;HSk6<&mR(MY$n@gzI%M zXfUAts`BQZ${eRYHvcStC-slPr$MhbyT>QjBO@bO_v(J2{XJ>t?{yDwk!yqeW!W@bj4%|^=x4rWXB=dyse_xzssB%bzF=56X78jU8!6GDP`AQp7DKeZhV&?N*=H1-nq#CyTuy;BB6Tj%Pe!`VoK(TkR?#)t0 z*AU0%EG-L2w(MVG3CI1s0d)^i%~llcH$S^+e=e<&0(=`2*$e%LC&5MVx8|sNfcgOu zyl-!B7w6}1sH!?WcJvb&cd|QU;xm;MRZfgqk zUviSyMg`IX)&oJm|Na%bxVU)O#ZQNRGdIuU;o*^(gz<<8y>$NFJulh+6PHUXOr#JA zY}Jdvrle(3SWrlEeD|&fy|Zl^`nmR)(T1oVa9%#9#W5Pta^`xNNu)tD46w_az4owd zSWGDiM6TIDaEm&A>^{EiYKwc!|0SwS7rr^UBU%0(1u|vlR#gcCfrx2~u#Df7Gu8pi z7#bcJZhJJqxyX66Vf6`rpeY|sfdJmkbjFK5)a)NvfUS=R`rUcyCcMQ<0w9Ql{{-dP zP8Obsx9{92(gQ~WFE#md(+)=~RjEQ2`1GGsh)OvV(YvrXjr>wL~ z_0mv35=(I=QZ<)%s~lQ1xBhPd`Mpfzx0|imWF~YPFul5|<+icZ#W291)Y?*BZh`zo zVDx-FBg%Bjy>))g+mbJOvefe@ONIGZB5Vt(&HSP}!jV=9NGTh}S5FHmK;u^cmojq+*I#u~~kT{WJfBLOU$l?@q;>yI(;hY|HGX3v|c zM1e6wox^MGNb$3dKUU$ErHe1V!_1ElZ$Tsqs(a8Z9%Zc+M|3`LSO z*V6BCD(mi7$4}w{S#hc;q|T_QlU@xkbTWX$yK;L62lCpO8G2(JO6igoDp@ta_byxu z1K{wT6akSez_?s_x{gxF%TLchv$3&}nTZKh%@TeSLqedIxo3WCNc{Z#D+K>IZD}lG zzxp?Fy0F`Eh=eZ>cVN}lBs#bNX4`#wmseSv6i5cHXcS_cmTCwe6wLMiJU-{I9^&(LBn;U^lM`j_X>HtoC9`I#FGX zKfo`(Z|#p$KlDBoEbKD^yblK|cb;ICkKKhs0*^55_NO@!_@~Immmhhbu8a;1P^dOD zl+xmRu>!~YOjwt`^;ddhZ`cQVgo^xJNNhqI{+X<`j)ehxCF2-2bY@rA`}o%3BowPt zp_j3Q^;y90Lg3GzKS`4y%K-kt1PrG^SK z5v*_6(w-cB;R9E%$}2d`SBHOovv6MWXl-lf6Bnx9naWPHhowC2a&3Lw49p+hg!o!U#H0)_fNDbWH4ujv(m5g)vP? z2^^%j5CuC(Y$}E*Q?y~5+josT&%8mGG{)qL_ZgW+Z8xV#03Dq*9esnnP^d8Pf=caZ z8L*p&=b_Tj=CWfRex{qce2fwE?yfUi_O5Sj11f{dxr{b zm-nHKYtL&hQK z9M7qT#2;%oeY5YvF_7e#sbrwg=39c zmK87ZSEhJ|0XzrSaDcHUZUi2mF>7czY7l~|M9Nx_m`*1N&tRJY6I8#x?XiAqr^&tt zQW`d-E}AsAdavJ*8rVNp`1QMK2`;TI1vE94-}L*I?w;HEO+%vqX+zE0-E)MZ-35Eb zYuoy?a<;~~*0c}I_~Rz!|Eas=eP>he%aC(&y(H%**1$fbFbC$_B!diplhHtrb3Q=> zwB68H(LqATnny=RAK)D?>RI9I=O>3h(|OilTB!cdDTDN>wapyMDwp+YHf zJN3bl^b^B#v63GB??s-Mjz<;0ha0~`Kl10{zBKLUjkO1gO0k!Wj{C-EU+Co6)H~+i z@#Fw*O6mQ5gs#pQ-FWdBB>*cDARpPzAVs2&rf;o>Qnh8R@@!>rG|tuRTkQ8Gwi7>f zd+`i*sm-#4j~O*pHPjHiQGCt34~@xHkX~3>YB{Vh1AxGw;U*Qd*f24#sk)2Cmvat% zAvc?*9YhZxzTwLx%_Fnl4*c;v>u#I_dZP<0$PdDmHAL!F1ceyJg)Wd?f070&;JM1# z>@&|OGn8tT?sz=!ra87>PJI})xHyMc457TKLM7vN!C!V=)R| zxYjCif-Iu-L#CIwj-H{Epf%^iAm{huc)C@OZU$Vs=^|rmD~5_d$v+t{IJW~?c3Mb* z4metRB(iGpGgGj+#ahc4nt5GlbwfjSP0js@mnEh^LqwfcmeF!3zX%keR(KIGq1y&W z5lpOm6L2c_V_O>f?&Y#zGji#DcH1~mZlIheXA#4AqD{?rc#7g#wR@;l@jjY>?eD>a z5y^eaOwaT7J(vizt*Nfsk$cn`uCGygp0Ls zS41Fx<)IC@1h?=0=yElu{7zWRXyj7^vjr1U_VnR^Q<-e_>>@fOTjA@n84=*LH!ubj zQqlc!)cc-C<#`P5%Mg?>n4qVrtOb`8SRtrgO{&wr@0=Lh^*x;=CmR|5X60fP8B_3O zEF>c`vnRVFS9FiwBuXeLN1d8^vZ}A(D0{A5yXyz%&5nABCG#!e5-UWwQe z4Lr9Lbe`fp=dW&q1yoD8&tGltX-u^aQ3iTq=83*c1^L7{;j>5vZ>~41T`NM%( z?XSWL=*786vT^Ip_7=^{Hc?CgfWyFj54PX@wDoIi>q-<}(56Y8!YPYxMu8}zZ6pQ5 zMEnBZQ3-l0L?Mo}Txq7O&u+aCKmTG4o2{PpiA^fYA zzV~gPA|cw^yopmlK|5K&(Y|c8i=oD>*H`|a_rx%?Jc5+`^N2a_rYd$MR3u#IM1L&C zTvg9WWKO!zpNYRrjDV}!tDi5KRj7r&|HJP%x2Q}rY;iWR5zb7fmT)^0`R~P=PO6c|VuNW~1VBlu-4`6=2byTi2jde4+?D=}iWagFb z4VCEmmgCb2iy?VMqoLQe-yO|M+RIVpWBFn77)WThT-v;KzF^86H=l)@ex=dzWNK$P z7~6@`pM@J8-fHk=S*HUIdnnssKTQ6o9T`QNwR70jRJtx(&Ki`x>VU}oUFmKuT^%Bp zr?c6;+kyDxuSiJ|qvD@G{Tznv#9#zKMYBs+ou=|>?YY#5rtd?~Rm}645rW(5b0hjV zGZporL<&-#15|`apXP!BKxu<1gDKLT#f0<(NRzZgSV*yp2|v4O8h(%Nion~{iDmy{ zA$Q*1x~mb7HM4|^H|e0L8o$w6w|QmfE*LAX5})yw1w1o%f8XD2vp0CTz>sAJUllNl zIHrI*@Y_Ai9wrNTrqm4p)^~^GIOd!FHlxxy)17A1!~lx&JhH<<8U)0O_O;FMv^CME zsfERmPbXqNCo2WE^EYE3b^2|!-zxxZ4-cK<7g+E%LGgY*`InU+RB)kpKU=vpw1r@X zZCiM0`81)wUDN-X3}AZpx~PO0c~CfzC;X}DvGATzdACYG=`~s_I?hf1IM&$ylt=UA z?rfo=WwrZgJB|p_Tb(=)#6Yi3f?eQUN9`#3DBAf7y+a4Hy3(e`W{2-;f+*`ZJrn9Y zeQ3XxMYAwg$E`oeQV+b!Mn5y$hEX^g8t6Y)`@4I*EgzV6Y^!f+Z|0wLFlzBGuXUeA zYfSx&@G!WFz^?*h`M|k?kz=1(KyV|QKns#9k5faALM!#`0H=5(id!rXv1Y0TF zm8Xnbf4|aq{W#`uL7+y{ade5>C8MJYTJr_H#w=D4?szc)`Z-+J3NnJ_=DLYaS6$PNR3n46+ztU%_tg zVCv^N&R(>*Fi257It}TxqX%s^H+HTV>OhKsxqpwM}M8PPF6IM`i}LQmHq&Di%|eH=822p_<`;TUON zH&4YJPyHzJy9in2m7~Mmh|t$6W8`rA-TJ}8_E!Ty&*Ovc$Hy6?+tI>CZUilB2ODpH zlKI4JwowJ@C{Fn!`$d$z0d+oHKC@d|;5z4>vUQ84>vbG`1wKn=(fA8unk94&Lh zhNjVDRmP zS%RpuMYAFlX^vS?UN_?;%tM50l*3N%c#w9LI`!hYofE>vU(DBWz5Md8NBrf%=s{cJ zW#A>xqLr#aIK7ag?QUpx+HytCbai?gsGo-pnpJj^c6i4D)^EP6_XViFi0%> zN~4VhWB$k<9j*{Sn|zF5&kg@Jhqpr?cCY>E3D7W|5>f_HX~0O5TP$NWWgq2Jmule{ zYxf6w9KKsU4UcIr2Y%kW$-eC@y8$=!rkM0UlT73G#+se?IMv>T1q-^+p-O$F=nK!E zy~O5Q9;Jt)KzxC&xO6jzSDsKj!0_4}NfSFH|@F7zhE z*Yjiji^UCmz2f>4*PW_~35C@kIXs*=s(Ow`g-S%Y10#wmi`+U#Zn zXFKmmo77<3xHAEJ#jSe$ROA2h@X%>hKMP;m{Z;1Q=If}BjvnFPXpzpQF*n6uc*_(rkSdmA{Si!_?)Dj-1 zYbz_4Bd|u5EF;4;_suYw7>V=KxYlb*(G?-iwPxSx8-36_F-{${wwvDY{-RuUNp-U# zkL)5TvN9V0uB>V&qK_$*)ilu-!prkRyK-4J$ij;-s22I~io6f+jUK#kB&n?Ub?E^` zJ(;FI8m3%28@RMBF9UibJ`$w5<7O6iCyPwPkY};Vs}2z4>e%aj%siiM6kdft|3ga_ zsJlu+|DaA3E3_?JKy73YMq#IjwGudGC6GOmy#XNz*OMD>t9hC*MWRq>f~W_=NkGwBpXDh(w<-+4ifWtBtwT*H_iO` z@>xZCBNE)%tQr!kp%K93RJ-;ekHv%=MyeR(vC-!tWs9TtXE1@p!-OF^4;Yt@jS|@p zmh*?QAUqo;c)7Bf4CuyX%!--zm**eplzYPd?DJzpU#ZwL2NV zd;oIj`?;9fsaV<~s!K(EE>EkmOAj48ysjP#u6*YX_n`9B!iF)M^K1G{=~i{|^j7*j zW?N=#YpaA#-KDR2+R^)f)Q=Btt|mnxAOelDXC$|g zO;KRnmL0PTEn0&!lyLod{Is`X|AVp0W+UQiJ+OqP7ZPxT2N;qbh<&TS0Zpc@j_L~$ z9sVGz6w6uG#4z0W-Y3DY-&W#z$nXB{K{LN(eb`zVoR}j3p_euvEque$ol`1xr$Ya} zv3!#JW$?pmZ7nT48u7!5?ix4Fe zE{nXv&Xzza4?6XoZdtBU_o!#ZlN!MYd8>)%Ba!&kXJ+RF&uMs_!qKb-RKm%Lx}pfB zw&Uwo5xC@)v?_=IZ=~PAIQ{lN7?sRZ094&=I4WPJ_9XBCSj!mKkyHyj*BEO?EuJo= zUu;XAE0~D+*m>`76Z)1@Iauxn27yaUs?4o_sp%p}&P%m1^gI@x1 zqRR%V5(5nr0FvEQ#{jApXO>(1%1ML1QkI+m8-NC?$*wxwkkS@xWhLT*8B>xnD+l6z4dEmVhXk(aPX=g`lO1~s z9sT#Bn6D4-e#7N`;zH93pFmgy+vdjqt>2cZ>lhd|5Wf{tc`e204;U0V6Q{jIn|w&e zvbBE~-G9DoWCQvVY3vXNy00mLGwchoo6%u?9$^10D{9iltDikg+9 zWJ@#7JL3}d`*Al~tDThWAbNet(;T6a)eegNWn~9#9R1uBz%UK2z#fP^9xYR8E*G$f zwUPW9RaE32aPF_U*-EvAjQ01U4Mc(x8Or)O$_5Aws<{(PmnJ8`2wA4S6(O0Bq=_CO zZp~FNwNaVZ6#aa2w1_QGX`v$S;m1JzfnH86%M0DE_Z1+%NJPkDVEJmq#icBX-KYMqFawFk>-lzS(dHt`>8zePk=|{}iu+S;q(Tlc8D;#1G36m=?=m$~` zoEP}@{cgt<(JU!qi}(ekg!sYrqUKf2xDXEt#U((4XWS@!#nBoJv^ou)uc`bC2INeP z0+2zHlOd9!A|w$-8eI~`ktU|5{RCB|GA_2-1fety0dDgg?GKK&%vIGA1ROqy7hm_w zm%BiT+dzw2MKF!iEpb(en_IrRrVkoTQJ$&>Lv%K5DVfyWo@-kt;^5f0>RWKAl0c)H zasfyZGdxmrM*~j2V%Y3|*szM22?t~aFy&XHllV~{@dVU>f{+(EGmCF#F;UiIk z@E`$O=tc!ae<_s4$LCa_1Rc$kNS1(*ME1Vi(f4q2fTmXMcqoxg@9FfQc)pOSwt`JR zrO7kO;T?4Ee^rj{2yTZ58}1SQ@jtG_Nl^Dl`G0l0X(R>?c$UtYc>ALJD;vIc|` z@YlGPrG^<9m5>e-6)*%Ki^Lg0{*Z|q28si6{ga;{C}TCBAUINh21Lalx7|V=I}DpX z&az2u$b8+>YJH7VbN2prbnM#A?>5h(+@LhZyqUHp$~NQpnAFIFhr1z(S5g%fJ^3dN zhj+sHpcx1RPy_B5okPSl%KwN$N}mLrM>Oi~;#AF3Lm8Mu@aV@rAcxD3k0Yw5@Q}iA zK2s{ChRUV&+>_R3-urPDyZFza^VEG2DuO5*B1ZSh`9w@$=oe)$BAIj-KL;m74a>RoAT zbwqPIzbEt~@|SQV)tMdHHMuErM~dlh2(Fe{54i?74hLB;;w;5xeSL7x!MV+#QXs%i zSbJn-A~O$x-aLok5}A$8#dq@`YG--vTTM}_D;#e0qTE!LBCJ>Uy(>Az`PJS&CO-8c zVb#sGz@=>j&00)bzqLWf>bkm+hTlxR66#!>{4*@Jv!*20RMmf7DMfQhG9fYm*_b4(q^FP| zx}jA~bJmNMl9Q?26T7RxLxoPixq%zT8h@(>(9t(!37?o>5n^Tmd^?;P6)%4fOXcJ;XV9VgBkd2A^`#VKmJyH&~#Bcp7hcKt4l9v9Q*-+M2V;?am?I@|;_ zAx{rp&jhi)MT-knLIWUl+7e2-IVo#Bn`%&O3ShF7xjrrnhfoz)_3tYMVp(&yc`}(d z6NE@JwEn4aMUf(JOjQK{&Wo2v{xP>s12nh2ephZCEp6X4H2`sMvd5)WP3uNzKR1aA zss!~${!F!3{U$|#TZ2Xqp0xp8YyD1rHBPoe2X9AE`o8ev|LnW!= z1Tq4&xB$E1Ih#vTs1t!|k^#tkIKJrkl+@@VMo17c%#>N{SerKQK{dPwW%J*!S+yQL zWMc&TzG>L1royTlivETpbG-tc(G?5W*ziJJ!WDj!X-J`H zrAp^?vz3H0(n|%hhO!nF)!-F9(lLXB^8U4~w9xf{HSX89I&DcpO1jUZ7#6+)e^))$ zD}*YQ(1uD$W`K~mk%nUT$)nNfY5i&4(WuA%;xgo`ehA+9h6PO@Ngxouaz(cfDu!7j zC7iZwFN6F~Wysc%LK;L8{%1pXLk4z@tr8ah2GTT};L4&Jm#@ND4E^?Ja*TxP+{Wnu z`0Ip91}*#3ZL66GGJj)jmz5N6 z^~-k8m9U30!WSNuCeR42q?-x-5{NNGp~fvDevEZ&zqf4NxS)@p!9J`dargHjdetwB zuYTsnmJel}!pcsiVQxB5g~lG>$|!Ti^OZU?;uF;E;yhQewK*4}@FI=+P zZ=RVm{hq}z6~GXQ>iEP!c@^=CV56tKmkYlee-5#;^gC7D7@Oj;lxAFtS`YI} z$I+8pLcX>u4G>}c^2)-@5@)Mzlgh!;WLa?djuLfk*TeLd{|%!2k&lpXn^Gyn()D`q zsLaB$jyo?dI!XSw!T!h*^=CqPM!oN*UMRdRldF;_EKSl#JN8;y_mP8DYd6rFlU0fK z&_VW)lRt8w57WH?Sk|lz44XWNt+)%^&khcIQVK!D#h}eqp8tvwONM{FgS{zuh)?jz zrwnLyRZAH$GMK-93CM%O`OP8n#D$awEXDZKU%y}t5xPTWxkl{_eGB39m(x)E&E+-Z zG34PA4D5U&WjBoNb7*hytzu)NHLVOPuSy<8_KqcIlk8-~Vnn4y!bm|DL_+2tAxoJs ze?MBrjb00;^UOl>;s7!MG)K4rw8lewOiI#q-SKoFPPSS6PI8^X>pezVJk|8^8XQ%` zTy5SNgsen|#ens8N*CMaGx>!t7Aj-R_OBJfDvq>Y`j*=v>rBX?&snf+pg>^DJxc;D zC&VRwksasbxNdNR4h!-vqf5Ys#YGQ};H8l?>U7rB6-vT|{15@l;`!Wex+>Y%q*dpk zu$3$R=sVONvOpkA#Hrb(4B(U{u#lW?&G>zcYbfhItFf1T<#Cd=*Aq?K{WM*#FSV(| zHG|$ygA~V4?HWaQkz?t;wfgj*-=ckY@$mWK!RIWC9fjLSmqM<5)MxH+a{oA)hmUlG zoC5#zPL3nId>q_1RpT{&G;{d`p#L`K)R^`?uP74a4kv&z!F}AE?$G03F=5&Xe30@C z-9Hf%iGm@;_AN0j@)3>vp)wP85M`lRYRuDN0Z7KbQ3D-Uyy8f2{$6Y1Ha6mWu#X~} zp`?>(q|+)S(C9LtQLpj-CmkX5tY0q#?}Vxt+yauzemS0hH9w~fiB!|Ijgp#CTgjnT zD5E?5#|fB%@ia4{UWb#BIb_uAB}NcVWl{liuu{}5KA^lp;|>Ev+#Er`Y>T;=i^2^9 z?{e4z$|O-I$<1EJ8-~O5`bx->AcPIO=ZP?nBZ4`sdV&f)3BC^KB zs+mk?2K1pyZ;7nTC~JR2BPFT&|l z0rTHho%TcP5gZgD6T?kh8!^ft8O+cX6JzG?k)9KB0ggElJEk42D(i?Rs_UmAW9%ze zpv8^=@qzr_C6{XRu(`V)QHm|SIkw9;_to`3Hz?guVR_Xd7IRSSqS#YcA=ViXa5;s_ zbvrX~(OJ6NwTK01bGdW2`||~ihWQq5?>qE1yWErlB$TwMYKoXc9R3ytyus4DI)GI1p|vX=UJ3!q@@e$(X|3by4fS8!M-WIsN9^dO<_|>xCx#LGxEiu z=M{$FlsF)2FLv#4jbS~v^P_)H{bY6R-q?4qBn=Y?0j`dF&pW?g`vTxkuN{T98r^Sj z-J=_?Hr@L8FS5-gzp*PQ424=6qX$1-{uyOc+PN`Nt6Amz-!0Naui`u$Ez&km7Z(>DN(;MXTh%y1c`XB3`s z5g?72ZLBGSwza7mUC8wTMy61R2{`P6&}1-zU_vok36}7LpK_IN?!6ru-X=i>!KBF!>#iFg|-ziHRVS`x;vzR$8jJj$&4BzVBRNC53 ztBi?`agSLAOMWCH&aFC1e=*Um&7a5axpjODe7W*_A$>U=P41|#sRIBQ^72%C){9?; z*X~=XQlQ^9?0KuRr>!pH6?L4Kg=NW5{Xct}o7YbtYYmYA6s9xz(ZNZ{$%sfgEpyBI zH)<8yfA4s(3Aw#MTuZdl@%g2Pw%{R;J?PC?;?PcTaPa5ibQ#p5P=gL6CRu@s43YEo zt(TscbA)ap@N%}O&Qa|K;N-8rs4n!oCw)0sGtz5A99j(sZ;=C)bx)0%4ff@V_)G)$hCM+WYDz zPAQ*i_jwzG3j}5ySCD5>ypqIIz9-umHN6ws$7^4;_{F6ffmw?)8Q?p9d7>RU2zn%M zr`~7_BWVkf=t~M^kpf*Sy|sAk7sy0-UK|U!J&*5K&d>XYIXb9QMoJsh@*IsE*~dt6 zl<5m}zsQZ(VV19&rgI6p_P}vVPAI)cnL!YRISYDetS}s8&ocnPJ#CJt(HA-JUykoq z#6QYC(g(?ZJ)GG9L1G9FC)&C%6T-U7F)_1Lq*`4AGanNH(``#tB{5Vzx$l&3fe=Q^-9;)#rhwJg7b1J zU!4&cfr--gc zG;@cs@)<9RaAJ3DmW24sm;l=752IQS6yx4{sbxCkCZu#|O0|~E-ICsCLst~o0!yQe z+~($ylS5%dPCl)AbWXzg(xCnp5aKNT<`bCXZT__er4^V%MDuETQnA{iMjxikcC6O-2wp$ zWfaLx05m2NRNtnmm1o9$7cduyXnEPko#&8Q4S6uEL(%KQ;Zg4KF!QZS9hk zg_csaTl3)wt!BX*ehRHsqcH4Yzu20d*Q#!+j4$qYCT!BCac=%l3N-QRMh5iiTA(sZ z!u{o};~D>DcJ_m1l7k^zBC{)vD3@?^-mDJ1Ax$^UKlwUIxBy?-23~d%86Loi-ftN1 zemy2M9+|(%@_o$H@*>sAxQ=U=zk-TDR_*c$?)TErlb^s!jMX@81y1;^%LXup8ze2V zOARX1ypEL$>O;C6-46%Ktg#t>Q=bb&9=n+PWM#YGaizHV?T@bjqc=;4c3JW5Amt`{Y8x5#iat8ISAhjp2C;Ua^(|@ zf!e2X+pKwLJ5gvFS03cw4jGJPP^6UEdD`36uVueee(3bal?CV@w3$f< z_;Uo{)D)wtsX_<=7`ajiaoyOTn*%|K;SVYj!}1ykU_lM&)S6%*3|+xG=OY0k^8lDJ4yZP~3Fs6Ya>8-+?k|*USnvx|Zv>+rR-IvIxwns2dr7V% zpF^>aUH4Y*urs;B88omS{eEKwLNQPgfCML4j%ucupk$TxA)kw0RoC^B;qtrb>w71g zl!j_P>{Lm4LM6OMd~B0aX9GM}zs&NC=k|>$M(-xP-9C-E&|JTG4w0BP++5zOE?SWJQX!MNW+|rt;ou1hRG#gV{|b zir94Z!`b~*|H^E%a>5j&o#UjQBi>)eMhew@|G_WDNT6Y>IE^(K&nka<9uVeFH|jX= zS6LpUR^Yh0;mvS~ILmoZb+Txzc?hpURfq#emvf}%*g38VB43XXNV|HZP7}==*GhD#avnIlkFA4rw<1v3Om0%6*$MiQ#b|qb(@zn=@b8+ z{@{v(tPgdQOl?$%J>XLcbs63)Wz?r>r%2_HgZdgYjC{oSS?y7Spu=T_k|ZC@(LYY1 zF4nr35dUOCHUWKVeFv~=5C-ShQ!o9&$3CP5I4oz8JnAh z!f%x)EF$(LCDiS7))XavH~Yo@(^{g9Ynj)$pBuO0JS0UOsW~~xn5OZ$-+=P`3O21@ z9@f%E@CMjuUKR%2j#HYx?;Pw5&yza57dnh{CXriPa%bzo4OvG*=C1iB@vxh8?NA%F zNWao4vgAR~vM3%FFq3;Q%-M2+bxp8 znctN-cy5tVG5rS(f)-BgzMi7BQQSD5Dde?Z9V1p_xtAmNAw~r)D)Z&~ro!`MFy#aP zbnKLf9q^$t5tXm#9q#yqiY`d4*hGV*>RbD4)wsOEQ;!cR|7Q7K=r%7u<7YC|I8_?z zr1r!&tmQf>Qh`Gux;Rz~KOsL8Fs8=dC~{y2a!yne{U1+f`4DB)ZvC5*6o!y)k#3M~ z=?0PRZlt?G>5y)ukrIZ60VJhMy1N;=E~5{ewt?^(-8rKC#zD%2kharDdF)dX+}%lt_h zDV%!XawiD=&i8LA+qowYS>>oPNFu15qJRoPni-9{4h6gXj~)clIOj234+&_;$5h23 zHAlc~1sIZtT+xRE@9y_Z-)9`j%3gcm5_!ZZYsrUef@f@b75a2D7rB+{)puN|E{P(i z@P3b1HhDvmYGo!yWbp);3M4_oS86594V%4|b+f0mI=kYsc2txF*O=aWe=pPXZ|@fS z2w0@cqOGGUDlTE+1&?t>&gR}~k=G~mBc_~gYkq9>?0HGu*pm9^+m6Sf3zyGo;$hwS zUpKm2cKY7ZnYhaMmI$cniilB`N^o z6?1klE;vpb#rZ3`~WCJ}_k zOUI023k%eDT8`#C#}wxTA@|`Qs-mkLzsVBE{-nhCg!Q8&RSsF)nv3pukbX~xgbNTj zGte!ekLq~2u3;+vHFF4QpZm6mg>Noh(8aH64oocA2fcYV#*`M#m1U3^v>!)cqFl#F z$bi$h1FMjwS#SI+|LpuXyN5gs01cckE-o_Fv7nLfr;RBfF!HNiI{IdUc;B3c zBu2j%*izZj*lVW{MwK||OT7Y^!9&RB?<1n&+2E zjhMfY9-tj+IPcqmm202abzU%a*45WvAuOMrIxE5woSkRkY|G6p5t2KLgp`?-%k3lp zf=e~DSz(9m_lzxeldOADUcT!s^%2kLU228g1LIwXcGywXO=;jJg0EFSusCs46J) z;@oFv%=xEEKDGonkeS*o3vxy2MOyt+BtOsXI zRYV^%&24cs2*WUcphbC$7CaTfsKjgx`X;{F)<`E%p!&|`rAiGA6()^`M#$Bm1M)=1 z((pJrMdfrPZ?JK23bt9+c89m9gz(;&l+HN%V#b`B*&%%DeCdsekg5bTdiEcUFPcYS z%XO~xwQ+4fkV>c)CCFY#GY5KY=rr-+wNV{~00jzA&Z8 z1R;uBe#XmP0rS$A4KiPkwb5L5``#RP!1VR(??qXZ>OU4|QofvjBgDWBHNk#U{1G+C zN-ZEA_T{oH}}@ah~W;A;>1hHIVHM|FZh2N=o&Phzvgp>FgKj+z(A!Ma;io z6!c)WZ;VIvmNK-V2DsOnP23+>tLlfqUjXak6?mUwyfV{%RpuCyeE`LmH$sNOaZgYB zr}tYLG>{xe&|qw+i`_+4b9YWg^QU+%YUwMvL(L-hNiT7@%CB0C25dG(C9@=|mG3Q)&va!$iPjK<57 z>C!)EQ2N7V;G3{HSBTa+97(P_OQknNAQ9ET5|-=4fP-%s*u>UiK0gtAy;)#ZB)`Z{jY zqruubM_QWlwI_De&-+JXQ&Oag*Bd@t4fS>RMW;C~H|sFsCr0qIbvmp1tVX`sQ_BvL zXrgCuJi0fJ=)b0@dBw6nQFX-1_t)Znjgy->bNI1D924lY+eOx;p6lm#v@Ht7lLDr= zpS#mKG%Dio6=qU)ENu@ej2wU>WwglX-84>Bs)=tqtn!kCQQGQaVUl`rtRY^;md(g( zI01i~=sal_d(R%Kl7A;q7voW~yZ$I5Rw4`&8Fr9GL7b}J6aKFD@07WeXPT2(Jz`s6 zC8f9V(`QDhX&N4l@@q#6XC0>UyKsr3g1^=( zk~W#}L8u`=KYzeXm|0%_xnQr06)}Hw)2$kA3Vi6i4gHM)pZG{egGN<{3?*|s;b}4? zh(I{qT^EIh7h^%|Q1*DRvljWfh1lhGCKdIZcKMH&4rqfX$8;rPzp@$cA#YQ^PFOuT zi1Ly$Zg2m43g8R6Z5pmQX;|TOsCga1kH!q)c7<@S)cWool&)!UY(Ltcw$9;!&ERhUVnO#^RnvH>U}@K_W-5LVKjRnW9DXh4lubULy_0Dh zt3q97sW)-ttD>JH44XM$rM#U;4r z_E)@rx+K8erb11Q_nu|BHsaqmFe20CF(pdf$juKLHtcEckK*}2`&Yxj-zac+QGNQO zaVXgobO&GpYz~wV$0oZfBz)CY=N&*=krS<(SD!f4smT4P61Dv_F6*CFg^ z+e#sGaP)xl075H z#DWM~L?m&JCL#-N+806yM)9SPITwWL2OLj+l6;a0e3HV|7*3I$hg?k!va4#=b_=FeI(VJb_PtsJh2g5cVs|K6 z)O~z9N86woR7JyUn%?8Tdr2!)F7I&wM8KrLbOh_uZj#6SGC{p6VOim<=-u2pRaP&N zLW3{qQ11hYeVby5*((6eh{W@~N<|7Y*sVkS{4RYSp4FkAm@OBU_%Q-C67JWlGtT|$ zljlGQ%^mfLH4_>Y2G(tvOe^g_-7OdG!2k=DysFnUqamOeWeg{V@E=37%zjwcYtzIG!ANaPj0p z+ViD1WZ9b)9Hwu%Xf-7JeVLfcczWo+v)ZI1 zV2b@?vz83XNDNal2E{6U{s0%Okd%9Eliw}v51CeCGjgmR8BdGxN;B*T_#7T?$##8= z?o3E8aNVD!W2umm=z<5|6?-q;*5bSt>|FKSdl$w*CB~`7Vx)V_!dm(Lr-<)iADQn> zp4VoNQ^0G>e~LX{2lJi=r3=qXtuq)?hKd!^481mxa1rB$@3&Jb2xzt>^j&6ePma~j zs#|09Hogb5TvE~(<=Kq`>)3eI2tt=b(N68&)f(wv!o2Nia5&L_&`XUyQlcOrED{zf zWm-g(Su0q^qF{UPIyRAniKmX+^7i>tQ_HInhDYI16;Qu1hBDCk!&z5lK){AJJJ}x! zC^0T`6>L6@VKwt1h=<$-kc}b4=@}Kr13vpW!bNmD-6bO}mD6fbC|~fT#&ohuMshPs zJ(YwX!`BSc+Yo`yyXa1@r@M2Hw&z0f2Ki!_`wJNTC}&N_p!Iww@NqowrSpYing;2g z_kC5vR2jC^U8>qu6@c#GAkSll?o#~9lG9`PCrm6on&`qf*Q8s~F}l0ud+?`3ZW{`| z=DkwUn~oTRT2)3Z+nP@2Zzv)!wOE>HyNlQNNpx%W+`4L{4i6%)`44t>jok*UYf3Dj zE=!!z*)gQ>VknjKz{vBB)$b3K~2aw9QGzSQ^N8+_=o^tTkl&ZW3iF zWg{M$4UPi~*+t8u21R^f#K9mHbim_!4}`!2(v%CuBjEXHyis>_ zfV?xXRg)~>NElf2HQU}vTa%rFnWXf_0~ap((I^vX&&MovNk)iV#SmpBTP)SJwYw}u zRHO$;&wG~G|LVmHCs5uV_)m3KBhsj75B+@KkkJwzl}uo2eCWi{gAhNm%Ab*wgP(6V zm*yt!B#yL$3^UL3(Hwkt>?#Um0tGqLwEyozgQ7yD42l;s;$JbZ@2==?2=_@8Ka^08 z5I#yZ3A{0L9|vhY_AAxqkcp@8I=X7vVH&Q^uSiN7^WKr<(mfh#$YgI*u9(r2GhpJb zoo@zdAmExq8Z2wxX<7|2_PV!O`w8I85$g<^R%j|Gg$W!T&1s4MHmI44axN$I6z0w@ zlVIWeU{FWh{2d7@Ay z9mRN|sTxj=v5m-bH4S`!6cbIu7e^fY{1=guH6De=9L^F^+|9H;>LdO>r=G^;WjrskWUV%wusnUf8Pj( z52D5@(Cs+C5UgqE(JDWce#bwDu--2Ery~w2c?vNsVwT@zQl9^2p({5UPZxq-p+0FK zSe&qz3MWxLj2t49Bg%Gw+m8o?&}sDJMp$L>BSvtLs(6h{?oKghREZ>IIJb_DW~(&v*S$g_4s|@F55wK@(m6^4Z@KzMfHD^NDz*o z-LUpH1o$1ApoZ-wmKWXphL^;o6cxTIB3xJx?~RKr`9u_+Hd;&Kdw3>Lh!9Qs6O}Aqm(?4mM)mvqH?q&5+t4(ZFqlP%AD+8Jm{>``g-%VNTcupmM-%kQt$9b;)Bw{Ai@PGdKVmBRh&51q){e`D2LyCap ztHHo{NlnTmv-12{F#^aQvgHyCcNcSpT8JiiQS{VYZljO}qSjG<5c0n2>0gxF5N+Y1 z)P)|g99qh*5TSh4_XX>eoy1}9GM-9enl23P4N=XO?0g-X6qk-fUlvW>gxGV(tiB&t znMq=xhu1}syj^XwTEmSO-rzZw^rk^C%W#{DTYkI3Z8XSr%Z0KP!&^IuQMR>kDM-YUH{tmElK8MAbd?P21PA?k1M^-3cBPd8-V9!3K%ml^$2?YcuznkSZ2uAj~NX;?n{gX9?C) zfNIn`+0^T*l#MVh{{ftZDb@3p*$NM@;5G7R@0pfMl;HipqCPbFIT_k9jJ@IJm zsO8ZmQ;;_w&WfwteOf#8FFLoFD5u|YSS?ED*4k*i>tUYBC~ zvbeJ zh&nEtqd>rZ)`u-I?7Yt&l6B0}l4^LqSxDkumk;4P;daxXaYY}&qP~a!%DI)Gr~WbN zpK&Aim9d1ebqoyyUH3(`^n4!(kEgw0~o_ zgu7IUYX~Kq!+!mh?Ct?kAyfiN4ZU^OsoX)(m8Fez4bYTIdGj*y-R za%cFu$?{VRV>J{|#p{=2)_&&P z6KL-Y^EwyyZ@R^>O^a0x^{NJTy!OU2?nzE&ZPUsGdA>-G=vGyO`ocjp#uxhwf@@$R z!~&EIY%z``^`UE@Vw}-qm_&J$qIS9-JUKYWxHmp4dI1#tB>UXAr)(H-*IRAy8BEFU z>3{u4_zTd?-zns2cD~zybfnvPw-66qw?wJ!u$1Pp4ks8%<`PfDsBzi{@8ep-X;2dqN@@+R|v;DI2!Cui= zvfyt3YNYgkhdDorN~3MCF6_GZ1)UCl}txT1iq{d%h6zc zF?X6?$SAi2bMW(AMCZM9-KylMs4mKq4bSLwbe@yMi#+VZmOJr&0+EtxSLmH*=rD-G%jkddEwH&S@9Bx4Bl*UU11*t^dWW43 zcaDoL;&!L%$V*VP)V}3BjsC zg9@UHjd=)?{9_^3qzQDiaH{+R$^a5x2u@vyQV@#V`#tac7P0UIA@%-MbUo~WRns*} zB%(^=?)~sj=Ox;VPXB6BhMPU-PLI;|baSK$iJ;HnmjvDPib=^A0@wg*pS;Lb=St;9 zqlh$*QWsQt@+j&3V`5XX%EiX)p_|xM*iw3wf2EDK)Ua6fpBCJ%-LJVW6~bm!xQSK$ zs69m`ezV40StY2{*TKEPHI$fPI&}<0#N;2EOK}kj8gi30QY znepz;#gS${|5Is)?&?BSI^2c?@|Ur7<#O?nBLH1O$U6jfO(r2X)A7k?vup0hBsQa= zO2VaO4x81-eP^u0aL?+zmilCdfovh4YJwterB9$LHNuFurl^Un z9w+M?;`#a&;Y!-F+c@Z)TRHRb#+K+iqt? z>Cg7Yh&eTcas(WXY`mM}f6dY##2NFi-mgSONo5iA` z=r{E5W;3Irs>TY(!VKpq^2Iib)=uZ>SGq*U&Ybjs=^`Qb^Wwsh9%_k znw#_b;2LNwt2NwrA6{%o$MS_59*6CdhrDR3L0E!zFC2&(dy%=JoQ$G;c1Y@Nf)~lZ zU)PpXO1{S#Ag!a=24&y1e^(=MgG_TZf>{h?jD2y!`Q3WvXP%g|+o>aQOPx|^+`;fS zIX4d*q0=hlYq!Nvh eXMZA!0DlH+J)hfsofB%~;&jJ!`~Zbe>xqiq!{PrWl`pWAh0rCAx)sut?- z6a^}4D&f0eud6>6HF)I1p~rA|nyQZYt#<_vPy{{=8@*ig`)m!53pF*a zMs?$uVTxW|`ngDpzV$85?x6Bq2+z=A$jn$VYr7_KC3tCCd)QjM>)qIjce1biNbD{y zIi-^-#U5k|g8OY)qoS<*ayb6lz1@o!{d-U7_S1YKHOvEtEIx5s|GGl)e7@w=X;7{K zYQ*D3k=?IU`ms$X@`9@(d|xyx;La28OH6KK5{b?MWD9v;Fd046xuzx)%ilv>`xa## z>|Jcm`=!ltKvo{7RK;loj#uxazeWDd_LT8v1YwtVxHm0R+lf(YEP6wAVmO@jQDWeO zMg;6mCT=&!3N>ryJWrSJGsgXPVZq*Ukw^Pl?e;1=YHB+N@*}(iPPCM7%YO*Pg6U|A zBOhkHrs%4#KR!goux-U?eEko6K=iYd@S2+l_07HmHy(+Ngf z)r2vbXLBNP&u|}*@ijjds*s_ePgQMc|8hCVwG@ z7{*XAKA@BjKLN3Hs?Fd^FM!b+aVX^1Q?$Bz+O1ubPt=WL2m{u)Q!0%zf;WXDX8%4=Xf`^d^? zkPLzl;#rCJ&J?9v+a{+t?rTDQw5MsX3xU1#wjn#NqeIP`0WVpzVVG*Dar((Dqul9Y zP=3w9u0Z4tY4f24<^iQ$ufE+DFAlm5(?otB4ma?rG2t!LzWE|MX{kQ&|0YD167F=Y zy{0p9K3~x!8{ngwaxa}ZXdJ%mOD4bALW{5G?CQRw8(9!w&X5ph)AXepKZO;(%LkIC zOY!XuP-0n<_q0BpM$ELQMX={M@=lT6`ARZOm9akwcgtU$f1d z?ag1VaDvISUJe&YedU9TS6=1%3`_s$-?{r92=1i~AvJ(Gv1OcFG9hjkPg6s`BD=3s z+>hq>DdS8NF*v@izL$0UDjC#K)7GGwz%bYD0;HnR=Nvk@Cwd-a+XXvq|2h6W9`*Oji-;sUWwma#esi;z ze}E3^xZ*g^QT3iaAvOw=L%W5U#J3pAX0Mka0^PUjt(p}$R5mGdvLirl9~Yc$@w_YH zn;gr_)eu-I# zA=`khBU$sO!pSlXMi@NEZESRWoHuJ!x5`5=BRA`8*N8c7n3(FsV_pH2N=TF}{_mOQ zQy8-`AxbbZEp^ck|=YfS0tqhhD4bl-k(q zceq6AXj175{CkjiYKy9>8Cw|fN(n~=aw2vpd4Q?hW9Fpse9QoL1;5zsww61lW*Te(5N7~mI#n5DTMP&^$4-kj7t zo0$2hM8t_)t*ZFnbPC;^J;Ls~?Ji6V?&Xn?FnJr8m#mxoqFDu}MBF9mDoI~b{}iok z6s!Hvbv#Ih!k^n}=h?Ux7Zry-JU1h}fx7CCML9S>DPSAbW*P9-?!dJGb`3ytVfo=1H=k94kb2hR*nNou1bqYxR(f=X&67oyYTb(sQwlC%@Pq6`uoxW#+9mmhmr3AWAClMtA`iQU!6_f z&j0|_+ghbu*X_85ty*X2OAyc%dE%kBk}CY$^Y(O*4Cu=BwK44mu=P{Dl^Me?w2QS> z^rsZi!#8*N%;;YS+c0mO%gsYT58Q80vv}BXO_iTlj-wmblX@85+)VbEFggcHQ)O2i zy|F|Mjq_iZK)L^f?yDYrn~Q`x`Ka$*-*A0kwS&W0m3)cc>pdaASn$Ki#djCxz1@EWAV2~J$;mapaLnh6kOWxF0R1$+_>ox*IFaLp# z9Id@sjCVEqEiPVjr<9!WB5qHhu7=|K`Xz|V)=-ZxmQ-K2R|^g!!n|mXnd;j8>h0IP z049@6UBoh^KTXwDoz5N|1!zY{qveCT_WvXsnhoc2s=3runbS~KmaO(BD$a*w1(iA; zFG$|M6XxN6*{SL5GR!{ff6%43bTiLhSQ=SmR+x4(cT0vLSSx-f@!=ClXXdcY$Xl^O zAiir?+p<-O4m25ut+D5cgOX31qes40x7R|iW0!2X{Qj;UE>5l6^~n`{D+DDj1WvD8A&Kc-ACrTuaY%yJyr<^ONVdg7D3-__jKyQ2Q_|2l8*rJmrf*=t zf)D#HDm{y!F-(+zY%SS%;8-NW^2M^LWxD_uoG;hFB-b+r>n(vL%(|G6L*ljCWiXbu9dunWsTZIH>g{7*oK6C;FSW3~$ zlKOlVmtoHy6qX|Y4o*^AZHx$kTAi^J1wJ}KeT?7kWQR#>ch@OQZC@B20kA8CY>ikV z-$0=x`wSm)(=);cXg!PME}_q{on9wX85>-I*Wp%1{u>LOcUrHH<4^ZSEdlq-zom!F znBV;>TH+8FlNUFt#!7f(zO_qif2GAUP8|_=5hul`*45R0fH5QtS<}YzL`4Mz9vu1m zf`Ez9AM~|yo7#kZNjKNC>NRt$?@HYDZMd_>9wqEA>R=OuVHCUp^A+N|S_NE(zqKEz ztLi1BaBMkjN|7g+@J+429(GmQ7(Ef$(?c3Wb;}toE2ki}rmV#gY_x;04`x<6Wg0Pt z{@0rs(`+g;wj@V6*FHHDX+s-n9p@jzo9kLz8`ZHwLash@Lfa3nKki=?j@MYA(Cw)G zj&hM46Ev#U+~S+ApR*W7g)`L0$Ls|?0NEo7%DkS+|4&^3co2A%9)0VlFze>;$Rd1V;gG`R;BwVid(=&?h-Zv~f$ z<=vNTmMM@a<<@LIpQZ9~4V4z4*)Wm%q+yy*#CfwhlLi@sXRz5!^5HH_h+bqP;_a#4 z?`a4ARE6b+g4N`aycAAZ92s>!@GdR?SYW~sb*Y^DZ!RPr4D$L49aE_65D3=Dg^sk$Us>j*H2o#`JcX4)Gw%GMKh zTMI6)9kMo#QyC@C&3uM91>7E|kbE9E732gnfsP~eb@W#C$g(E>NNV_P^d(gbwR#R9 z0$1tL&JCJbj&pMmO#p=ZC3^D06u(U3wEvJWISQ^ImPf#8sPH|-U02V5rKjlS%A87v zi_d`KP9$BNR>6fG-CBB^GXjXh`)(sLGV*h&a>G&;jS{Rn(sym+@jo=En(CTNc9g9{ z>C$^>$HTI0ZPBld)xz&8Igp!m|GrOKzcfh)Zwnx}TRA$_HBANch|)Ss)6ytXy_7o! zpAFeLt`6QVuL0k<#9E>$g7R@OKzL%Z8fPMQ_S^&nhZN5fJ-1Mz=Pjq4HCcho7zGD^ z_XbjuPrH8;-%|u`zI-NN?L2>Aki@eT8zfm1a!#5A^I@?n)TvWF%@2sq92aXX9<(&= z&B4%ArVYCJV-GIAeVL68mdl49c3hN_Ogi?IQ!I3Ts=_KH>0qJI&bvM~#^V1hE(3PC z^6p{O92TfB_KMk$SmFk8a~w)+JX)9^r840t3QPe{(6TA@W> zqIX26Aiy4r5>rC=YdRkTfQVR>Gtp+S9I@i)>Ed!RUu$M`*G+J9ypW_&6(DwPR=*FT z=ZPS$tJGTK)m!K^8{Y|EEgt5LBU|F)*A(`*WF7TEzEM_+??op$iJq&!oA2$=PI!3u z0bl%%{t8V8k32|rduF%+gD80Q*3y8!pE7G$~e;wMZQ5X{oV) zdo#~#ivJ_qxjXxGR5^-c#Z{Py!ZagatFeDY>WRDb8B5q}6M;hD=Aq>%yjxl@vTj7+ zz}rSpdQ74hZvq#@S#5tMJ#;>rqFm~`JJkRd2IdT|bzH9dB_J8uBL0)XRtxKf9;f!t zpDBEW*bf)d49jL1FBH+-Y+hRNKMHR zM8!fr-$vF}YM1m8eT9w!q5{{qo(z`T)Tn}&=k9m zFshXVy3}@A7%z+$_5dSNvl?U|2Z2B!NBe)B-Y>C6epjI%3PqngZZCcBu+~H%YDVMO zQI<{M`pGIy#)d&P;A@A0kq*3`nz*$;El{Q^UQ|Y#-v;ril0~-kq=LzK6r!%Xi_fq| zE&kS{#o{axGb!^br6h9?%bQVNWtbR1AGb(iH~Mfm5@*AdY<^rB)nkiC;2T#IePYgy zaa+Y~zOvxK5~pt$ijpf(SE^p_cbx(5HcUVwoYI!m{KQF}PmpMKIT*SWpLP5wE@`t< z_flhq{RYvcNElt$DP_{`C>EWdM&$m5PCtoF-*)Dx>HrXZ`e#O(pwNa}ylA`2%Enex zHFMqli|1Am7qcfJ<8>YDg;Ea3OtEm%>!3Id#uQRfR8*|2sgY50o6F;*Et|1jnSH|r zMqB7LB#=U`UW)5k=gJFkaWB4w4GyfSLp;~izw|j{{!q5cCi9r+KMhel?Lu;AT#a5J zDla2!X~VcH<{6RUk0D-!UTfY^E;|{S{(icC*n3nk9xa?-ZrX0HdS|&&L}gdgnB`W4 z?y$`eA!ycT{B)(w1A6*FSW2=e;j5#gV^Ap^gXx-;bga@yz~cg2j?cB=tw)Q>SgkMq6Ao+?{IJ!7$|@Ehc*AXF#i%0WMvs`D z&Uh_wg8S$JC-&xSH0ioxu5E|9r3h>WwXV(gXOk0LF|=bzFO&OOZ)NG>U*&!nd)eSp ztif1QSy}g1(vB%rH3p^}@`S*L4ZX)1_|~@}0217`bUpA_Iewk@B?p2Kd_@s~z>5?o zTZFu)c^N#h!{a^C*W&y89n*3l8uB$xfa2;8eFO?@w;9jODTB9B#r=;Gqlf)!%p01b z-ZFt2E+v_W8j?S!Aj?2)@2R`EA9?O9_B%r1;YaED@U3Lh)BpL60N8(x4- zk6mM5LPy7lTiE9@<#*H|o{fLb+o?nzSyhT{w&&7H3)yuySqH@z|h1pw%yf$-*{stC$8|EupZCEs=3vozy4Z7@I(er7I`18XmwVp&CG{UVfpdDIurrHr~ zPsK?|2_P`-%NW98rDH~T=bxm?t>ni?7Z`8s;<)0!36AixH11uFl(~>(?ktW-Xq&-n zV#A+ZtgNo$&04fBj0k(iQY*5*Rrvq=oj1{NHVF>v9H4(Rsq^wix!H967!bF|sBP5@ z?jt;TD)i2mXI{~l-oy-c`QGo=?96!4lz?y$ia!IU{Gvu|%iIsA#5WL4!@P4D3eX;;-aE#{4)jWYarS@JhWbN=8w+s|x}JzxXCORi zDbq9<#H4(CLQP8A%qhRB#`?L|MEy6r{_w7~BnbHK9L|I{3{MRPwPPykGsnfnqRoGY zb&fx-Ikk*by zQr{``O_!Y;}^M%hse3;ugsbF^hxP z?WLy^)Oi$~^o0X!2AX&TZ^xJ@UV7OY(^46<*xqC1IdpOYXU7@*o&YkFyqfZMTg5M# zM*H(x5s+YxYCyX5wyG5ATK8_e9>+lO5D4@^o^w=<19hvKRT@HNSTTK#l zw}0M|M1;@v2Jb=#UNJ&}uXoHT>a&)BDzhe3Aj^@P7)$7^YkPYe`f>{0RdPB_r=ym1 zYq~*w?6JYdr(31=#5`F*01TQmt5Z~B$cKdJMz*#-igLL46npyzY;0kXi3HG`W#1d7 zb@y9|TpIhreVb< z`@yQ32`~5#A2Dk78g`PlzPi~bBOQ)f;^800HmY0RR%iHmz(RhvhV$rLKhM7oLt>Bz zrP=XtS1s~sM89q)&ovzDFubLduW)HWq@U5XfEcQP@ltT$#7r%zr*yTLU{AN{1CO>G zM^Kpez?FtZ(Od9b z%gx?BzQr-)bq&SW%6M@dK9(H|x-yIm#VI_&s9|dK#x&!rh~hu>6@P@)vU9XBZG~+4 z=!;z(Ph^-5`qa`8^K){4{LS zoKGksgEdvWEY)-}POL)qRBK2mv43f_e*py%*j1Ibmg4=ch*S+JPKgb?ZZkqqvw>`1 zC)-6UMAx)~8#{8juWbE=2L-~dI@`QnE?S18wGL0@TLiLnUJk)d8vj!2Num$D^Z34n z_Z^uvAdufj8+kWsptWl$XRaIAk&!(nE|#JEZmR#7ToGtUl+S&wtR|PG4QCxC)lTVR zR5*ws5rIep-{M#X1*X5}p`bc>Hj(hrZ4=X-A`Ek~f0+-_O@s&F;@8E5P=e{P5l!2l zB?(Pyx7%ej!lGm?GCoZ8PzL`gGdVlh?L_c8VVzT6MSRc%Bf*&E8ADEi#4 z3aspJ0Tr<0C2?bc_&EkV1^c6I_*B%o*_{0SlLO=ji`>tv0f-TR@y|4o+e4pUrR+cv zxs`GfHfn?b72WnTezD@jI$lRB;lsJOcFNTC4Phk}CVN*! z>ah(Phbw~xRo?hsNh zj~E_lSALqP{YA>}7X}^+F26&F7fv*KT=R<@gN(x7JDYc*TYIbqK9{4@V>(bs!SW_4 zwzgc5VJ*OjCr*8LRT{9ly|lNhnYF2YXq@oJw1SIMm?+hJH2D)Zcr3pi(y;$XX)5`s@ZSY|`E?99i;0rtz-EVPd8KA{A1z5I$ z75q>`8fwJfJg&2_{LK0S)nV{ks^=h*YW0OK0;X}u=*RiL{u)s(h28pd?L@wrJ=mu! zta4cfBCoTrCL}#8>*7!d2P}h^*Wq=(xw&atr8#Wm?g;jn`;;2ri~t9Z?-r!VK*I*8 z0y|+q(yH} zK9{cgwP~tfUMko{j}8tFEgnt{vq8iVt2A+?-YFpM`Vsy?&`RwXekujt zrLpl|Q$zZjSN^QPsCuCi7?NlaIT?)VmT3_tDGQG#S6qI*nlil8-~YxJvydI_Q*knf zcF#|ACMtAbDzDhYppLTTJ;Ooz5r~*AtS2c2K)1T+f=(67TP^+M{n;JVoNKop2=JZ?KX|seUBA=mI`e~T%_@mho<`GY&{YncoDM#_D`Do$R5W%~ z6)AjrD_n@%IrLj#+QX)mULB2K6r|_Gd2I6105K9z+2fshCx^mW)nhXtu89sxsI0ye~%u%xmNd z>d)c=XR7XW-X?t@9cQ+4tZqT;Cbs)!!7on`4RKnEwLWB+Y+mwx;n4cro2}_=PtuDw z!f5>-4%HA}6>*Wk>bS-+nE=p{%`>V~h_uv1WGN$$SSZ|Di8s;7*tgo3fs*R^F!L*r zPf$gQR(r(E(tI(-7(|9Ir7I)z7Y;8BLl`gv&-@pKJZ3rI@{XZ}R>=-~4^aiV@8uO! z9$=VqKFfPE!$GcI=_WoSRh&}1D)03vkbF_ql|lxCPpub@`dhcGd)pe<|44MhrYPKP zhw!(uhFTY=jh;6NGytGO{OE#+{L{%FQPqD9sV&hu=j@f*Fjvy{Zjy4* zjhWx6NoQr|{K<_%YZ(njiJ9H++Bt_?Gf_U!l>)DX1j|X|fRn3@&^SDU5Iw)z7LtKqXtnESSdEIRz=(6hAZ{1tC7x4Y4k<)1T_MECixh-BaK zx#{44or-r9ZEpjCf?~%&f8D&+{Y>NIHLQYc4{mV-_nF7M_mjEecdd$uCr!7+^l|-72X1lB8$F`%zbI{NOIy2Ouy4q;XO$<-zLYd3$T-Rl5$5&f_F4Qe$PechA|-RpUrdwdjO!9^1z zO%6}tL7Zo-o=o78T0AYMdW)^>K<&AJ3Zhx!v~ekF0f);kC0|5)T7s?~p&^4OXR=Ur zCah|tpDR*iQWlnWH1dGYk%%@0lN7z@E;-m*G}3R!F)@9?R+KDJ{Q>-yp*(@-OIMq? zWXoo;cXcAXubk%->#~Y(%WtQG^9F>E`6A(>HL9R4#QX`;HIE!-{<>!#^7?SVW!7cLnRO1Q!Une|?_BOv z*6#^!m~pIQ=)7By`uR8+WyX{z-h2R?Ux_=-M%6UeYg>km3)bVa&fF(ecUj5k0f-T* z008E;hK^r<+m1#IJ9j$30!==3YB7a+CM-(10;1J|Bw1({ivd|vFLX@i{<$qYJ=Jqsw= zfZEB*!Hq=YuRp0*=Gn&bOo>*Q1kG!-OHa?bv@D;xwf>IX7DD;lril{qZRNOS<{epj6*mLOD;oNe_R9>7UsXwOZRc3YSTc-LjGfssR62jVx$tKT zC*$C?F)-X9%i1Au=6DH6_Q#jco|y*vo~?(zZr|jgJG1{>yq~%YzJMNZZHR?7xV4w) z5+jb;DQAArGI0y)MTyyNoLG?yVzv53nEkP4eKxM7DrSC#KO7|?_9s%YHrTf^qj|f^ zf#dL7ML+kr_OT$oyoVV4uSpl4Uf#RDKO|r@e15Pi9b$n#TMSK->NnZTT~_mKkV>>sXVAmxVfOiPu00P+;>TUD!yW|v_+UzZ{cV6wbePS) zzP{&TJ1^(3eF6zZCHgbT_d>Lv0hkG>YBR#gVeRNN`?*40sw_pJ1@Fw7Uly$Za9q6+ zffAe42I7_PvF>cn#Si`kD82Bz5i{_n<0sVZQJiBA&bmnH6t-8hOX zH|SQs)2Lp>OpggK7baM5^6C40G;^j)BKBw1<;oLCQ9+en{Y>_@YI=e5O#14ZUAG7ZB+d63}Ds3y!{v zS~M}tlk|Q=fR;IRU2Tg%qw0fp$QJ6RCGRHP5qdiI^js+ShO*gU8Vqu2PvdtR&~$Z6UKF$$d1QQ?X&%q|%-b z*w-Avu~AnDdtI$lv49exb3kwQYho6DIG|WY25v#JYIa&zTSa&U%MEC58U&LWKW?AD z_84TjuixZ^UjLR1J=wbBm@+>tVlW0)yfOUmoX$Cx$0e~`qJzAiZLF|DtMnf|CIk|)oD&XS3UGROCpGo_Zgg(x3G#)Lju zz}jR#d#kWUE-HX>XKvO44mom$hAgM~S0{^2KTe5$`YW?1D24MBs1F+%FcW6O{1x=d z0c&pRmo{AJf<)O%K=epU>{>9Gjuu|{ppku?N|R*|>fXBYz%J{khEm|hr1slnnD@RM zbT7VYTmkt&ua`>O=T>hVvm^QBC20&!KnTNB(F(eP;gOqZ0bz%+vKl=^-S^Llss;wU zmdD0Gk|JhZKz5#RfP3J(f>;W^8xhkLy>f19L=Q)N1rHM^5Lhiu1|KAnsG@aV2xj@i z$jl>OGp?Pa1}@<{KdOOFfNoESCughLw|qb1%M#R=8_f>>%GNg!i}%7XQxb#2BgM8M zL|lb& zij{@$2RO)SlL9mkVKYye3?MAZ9#|BZawjf}>@h%{);UJA+b|fJy)jMwW?mX{Xw2{KY!>lQj$G*$$*r&U5syy7oV*1npO=bjX3KQV1ACpgw{rPN?Qx!j9jkBJ~i6TKt~D&8!aoVtjy=J=FaM{Lw`AL z8(O>p_Fjp@C0M~wML4TcjT**jx*iTmuPm*bTNzHK2zVU$DL7>=5`60^2({tJ7@LD_ z;hp2rqlDyU66fA=UQHg`L0gAvlwkHI;q})v>l?lZkovxFcu92w$$o<6O_hUT4;Z!iEmh z!Gk#11)-m+fkE3dPoOFNjsNbK?nOva<+?=@Nhunf;!mDDn2GHTyvbiew(*LTILte= zLT^@YWNLEg*{DJ<8<6j)9$U?g8(cXR=cKY$>U{3I`&9)G&LHFaj;gS1V$zOhdw#{C z*R(ijdmt9(l6*OsF6uG;Bxz`rSyx{VURY4WK5EOiQ_clq0r9ZIwR7=-?)9ea0cR5o zQfU23;5}~E0n8&f}c-pL3dHc4!@vQhZ{dOGcaj6dAW3gaQhqLgvh3Y5Nn-t-tdRC`ZRHLHx zJ&40C@!^)psIjW)aOD?yZVv`lrjPZ9K2f2(rRbbJyWCAV!#FNnvskuY^Tnf1NWu~X zsME@g&YBeEEQ!;!X`s+`Dtys5v?Z~OjaVz;jY>h14i z3z<0+5Nln(8|cTP%3u3oS{^}s<5ka25Wn@5L>YQ~1aTMhx*5fy3_LGx;DX-o55zo< zVu`^67IF{yHahZsO&bi4?4X6?F(2S*%Oh2Yp`Zy~KE5TFYCd~Tp{&fBIUy7PApgG5 zxs-3nBKM}Fg*-g&@yu1=aPGU)be#%Z@fu5+y8y*qW0`QLHLMx0u<5!S-!HS_gZZR% z+nK}=eZrqA0d@sQ-1X$z`@+%exzYB~szj1VDedJb88>_N??)mZ#-=ba-T0^}rB`CY zhiyz~jK%#SWZV*5)7e! zyV9ioq)xg%nUItK_~f`h=1~8&%-Yt+;Y|WycynB7mUqXjglRkxjHh5jMU*TCIiY=!rA5{b@MT2>U(DaRVe_ zx6jXF%|d+~QFW`M`#&Wfw{W!Jet+<%Q?0iZ(jPA?J^71J95}6t;s=Vr#6MG3E<7$(h4&*bQlCzrtJUqPr zM)zdyT|9z<(J`f^r9;_vO%HCU+%cBKgsSD9?ZDb3*Xt9{(o+&m$7u;wJUh%jY*=7F zh8bIqeoIZZl3m%w1vWFgUg^1+e!V5D531?zKmkN)+rDifhaMWd&QC$33HLeK!1CYf zS!GV%gkoX_Jmi$v*lLXIc7$%%54u?FPsfgq>ef1O!eeIH8MKG8#cq6lG~7AochQWx zv~)DP@r_5s38PH(^;xxRPcYC6`;)23vc6^=W>$EY|kqye%R%F zo}1eigeh?Jyr>g+cV6hXH|GT_Xyp`AjxYNCMU#@YUOx3U2HyoeS2uX>?FpK12zS~a z{7E7*yFY{VPCu$mvDZ&fiHkr24~PMOElTIi>e9!dNj3QB?ma#?b6IA2BwV%Nd9wFp zh$b;N&y&s*hp^l2;$JxjwBn?gUMyBM%lZthxWy--Byg*8F6p1XZbNoLv_W>YPH3Th zeKX-o{fF}^WcS!ulKriH-&6KkEO^!hOHlk5(gHko{b{&!{UY_xdxx7QUUcY+KqpPJwpBcXc>V_{b z46rTu4J0WoxXGJ3@{30r`|;(BXb3Sl!Vw3Kg;2G?_m3k;;%$%%A8q<9duiqH(N6Tq z{Poay$GZG3c=J%l-Ys7O%HMSL1C?z%aztoDXW5aU!pN?9)@B=JM{_uwl#L)l6Z+uv z*&@c~?yRA~^Kg0lp)G|%*z;o7IV)XdVIlJ+e01pX{kZq1;h#%J5U&a}z^UEU=FikS zN>YR{rw`fR^~A3Os@HU?KdKGbPc@_4x_<8Eqt25Ksge2B*UQe;~X<2wCzuY{=A=}DuWcw9`CCz%5eyvS1P*FCP!2^W(tOphe#}lW_ zVr&m?iyyj1;FxiO$hXrG*=1tN_#5}&E`Mua$xiY9B!Ago{gsLw$i0q6fGWBk7q4PY zIS?kL6f+_$FJzC3CgQBsFhAsfVB|@<3FbL&l}h&0Fgpm_WLoU0r~UQ|3qJQuBzm%8 zV-*7)3H5T*-eM$CO8#C^pmFv8mlx86uI-`k(HcWi$k&|+-t`eHz{$==m;p4+k4@Se zW<8+8lp;XwndriRqh%l#T-d+e?d3Z+PQnNrpc$Cq6xOG;)_mVlkTgj=bKi{q3!XVz zCETzKC6c8_a6d%yrOkud5>TIh$=)LQ4}yXbbCQn=U#^!~0S{0z6N{Zi!@omE_-ED3 zLb%$JgIv;hcigjA}_}5wk zCPh8H@qOz3iGcQvsT*0BHcHAzX{xY?km&p0XT}CGgG4N&W#-mysIjTeoJI0Q7&``a zu%nvPZct`GO@z|1REk^}_>{pBUs3UH5+DU11}HFld|YCSN^5Ty0iBNRQQ&=L1z9xv-uM#An3lI>Rp(7@UihwcA&2sZS`atzcSd> z8#h`yGd3wsX-u@z`$wR!9n|dHJ0Om~1b3a(Y)&I}qpCi$hA#A>=OOyIMv$u@^moN* zp)A(NsT2JebT0#4$$zEpmxWXW=i*@lTIm%nb3iHzG8iNUPI!Sfkm}3U^`Gm>hXBrs(}jY=i6W6m^^v zrtNQw)^B&A*Y$Pg;yvD10oA%|(6`r_hEe^dbw|OB$F1{&R;PLo)utpwz>tIWMCb7s z469pH-_1%3N~9#jDNol&1ElvguY6M8**vRWsOur&C#uN)!zmLi+tVKVe; zO$KJl<0*Jp2X*@gd;2!?X6B2uH@bWJI(hDoL0Y1RwzR~xDc@t@2m{PxWbGDQ?=Y!W zK^w0W2h*mx1%o#?1hk#b3m?l(49(4Zd{4jlIF@TqiLRNT(E?GGGHutN)&hNP=Q-+Y z%ak>6U~3Qd@mdfa-5e~*(>=I~MdLA6GcJZ>KhAF|7D!*K&&r;FSZ;-rI<}{Mrm>SF zO|AA@hNe!JRSp*UhMLs<`TpSm3h-Ta#X;?J(0q>&OYDj$m&$4|w*J74(a(Is0F^O8 zDgET{PXb`gP{}Pj;iHZ0%;-0c+cuVzx|nYTx*im0KaRZIov*eJBmV32l&x>6JYQ+D z?s)tw3(Ml37ZyMpyDH|%a;}UlvNb<5V{7KiU=!lzDM$RPT(QaMzcaQ+5|6#mkx2t9&S3hUSn*IxM<4Ov%SD%RT?sGWn~eD5 zVXP#=?NomGb7g-dz)2eash$&E75s&i6#(%U93r(sxVH3e@VgSdZw1-Ryk4FkD<}EZ(+uw33uIF-j))kDsZVr|gWrCn(5pC8z`F7fu45al9=s z!fW)Lif1N5oIGdw1UkvAt>?6>Q*H-DJr2KP{^E7L?$`+0f)qmCVRN05zQl|((F4-h zyyWV;+Z)@_&4CwDg}BFzD}#Nalf}RIZS$Ed)R=iphxWbJQ_~8ok9D-+@YM9u_?rm@ z$YU&NO8!yPf1z}`PSp z;OCfG#41DLC=w)`0pSJZSqv@btTGQ>KbTUY)5sm<1J*GwAgSkI8cGEzkNv@rRdQ`z z8w|aBAi6yz6@IyGpEP})*JFn{#OD8FOp4$9(V=?zs|fdPm`M7hwNJzeupnIgShnfYnW*2jWzmvbpta8fBi~!hOB(V(xBpA; zBmg@meUca801;{LjDXy(XAmGu1MV^fiLKM<5yFPX0?KuR9!DcI)&z4xoddcYJ|7h} zpkjU6eLX6i>AP5hS$wE1+dwvgVaPu^E3C7V;5umeg+)5N6p^G7@fBs7V6TKpj4+y% zohFQiPe3ca=Cv44bgUd1lYBkYr(yl*cAxMd`Q$`AWH(kFJ!;gp1cON#6x4OOekajY zYL`U0j0A8m>Iu179>fZ{s7}EL1i>J<;$F91Ubb-ZN?~DH<@OVgo=e@M*Yym2Epeu-YR$zcFEKHRV4E0wmfn@0 zREu2C8RA>RK+WE0R*$Di90@hUMf`dF$6LIrRg@wnWI$iN5i%8UaCf#8f#%N6;SByt z&q5e)iEZb|$PQ!!d!w=N?Rds9hv=BQ?*Ib;z8tF9k^?wRP1^#3 zA4)ZfMy7KQXT~avB>o|9h^-wNMX)cfwT5cgO)5ZjC@2nFaPbJg)6xAEylgF5Icz3f zi%s>&OPfRlWM&%dACNM#5-8L_W`G>}O*?q7vFn_Zo^WT&e5WV);ZMVoN_CqVERegs z92-#z9RP`vpP7w*ML$o#7lO^6RAM92_p6Rgg0fnJgmp2cXRW*Y9WCt7V`Wzo^SW;QLUx#vZ+|5-u zzG6xrO6100)O`|Jon^Bu>`dQyxgs`7UF{0}y2;p&C@F(4cSw~P6e0JSO68DFjGQoa zL{nfeU-Dx}9WEx4-dhL(;%Y!o2GyCOz;s`?yD(;gQApYTr~ij~DxT!ZA-10@1T&?c zWNHv-W|gNtaDImmBgu&!F1Vb8aq_PVpI{aq8lRuEnvXLPfs#R=++VS$&3({+7=~-H zr3cvl6ykq~02NGk;mbE+B&v9%0BW$MVz=_CV*n!nO8G8(`=!4>Rt|Z*h!xqb#Epwk z;ZwCKd*e|Fnx8eUZ>{6RaI_Ap1P7Ndzy$0>~Iv)=06!jW~ zl$TpaGfD=y&#w@uZ5`(QJ+A2U#2BwP!V9 zUsc9E!Rxcb8DuoFHg`sHKJIt#Xdh9BNux7SwVC?OZF9JWW=ng@>p+PLZS?p($j${QHV5rqUv&>|a!4v*Wm2%(CU>F4f8hBU7&cUS28VNwOql@Gp!cN-}K}SEx z%*;faEZh+?W_WMK!-A|LeBK@CX5%;DkspHZSV~cz0&^~9gFQ_c_8z4tFkF<7*N{QPc`{r z?TH6_cxb5m2JAk`1*WdnhM3)K#r9hlh{2>PUeQ=5;zGix0I>QBN1%@EPiKSNC&ur; z_1e1|+SU)#hbuV%4QH*^(O)7FwOw%f^wSfnSWPzILf`DE+7z+S^1PIGZ7PPVo_Ha6ZaF15c;ZH$I6l6G|2=Jad6GFI<&6u7l+ za(IE2H8U&fjtLnV5J(Dahdr=)PNHE)qQ3;Mh<1=+T+dSHR`%8PXGBy zUDfGPQfgM{m!h~N=usX~&<_m(f_qc%Y?Zap9@ul=IMF2h#3qP3QfA2Pmsu1*lk*~pE5S;RO6m#5FKPJ}<;(Vc zz=B;#;m zJEcxDCE#IT&%1u(WoFdcE?ZngOTj{zVOQPEBA0I*DQM?)#Q5?p-Z?#IcWNAC( z`GvQmNA$AS3iwf4APA5|fqW9eHkxKOJ z!-@Ua=O3B9N8M=|>Gn-fpwZ-c^I61>R_Ubra4Qyw1Q;T{*{gegy_*icVbc&;a3k2W zZZ~<|EK7t4pupQr!Ni@Psig+62>;Fl%XKYBGVMud9kJOFRg$GkK7kqFNDSFfQIW{( z&=IsmjaP54m#3Z)ZL(^LE~&Ii{SJ9{FpTnI(0R z)EGkxoh6}RqD5Qty#i5^JrXOU%z_8bzrc;B_2iIyS!)cHpYx5jxK>TdXhX!)J`e$= zzanvQAC+51Ky0u7`q>aDb(;{puB5R#?io=KqkbH|<6)jdA>LtL4Zawdez}Cfz}^me z-jd(`Np|~RRm=mOUn(W2A}_?wMK>NWafA2jU$d+`lXsWiBYpA_cwDE7Ge(|_jV4Sk z%Dv8);!zG`b1!)N>2x)Nob0r~5pmclb``ht7#=-x zs=newVeOqe^jqh*7QU|t2TRmU4s6ek0nZitH8Jsq_8X%*p5%pm8gUItu`bJ?(d?OT zB(7{??oLcb#$a(V&9u%7rEv`;DJn%~tnYin2r^khr%TId*pf>jBqDemAT`g8Do>BN zb!LAzY;-fH zTXen(whpe`ZG8gMxK}l0PIFE^Fc4sb3pC8QvP_(q)XWt}&3`%$kSr!EaAQ-?dc8<& zeG<2fY?|%5KOs9@E@`RY6Ogb2OFT_Y-Ud)225$_~$2TFe&1t!sex29kP32g3riYuD znE%9>?&`K%?kVMiCObSdYqK>ZGY(n}eiHMX^>wJLFZ0l@`EHq2I#Vq6ab8x5Vp#8s zZaFPR#3Ta_0H_X`>#h0#XT`$o*dMipy`%r60T2yiX{0ySrbSw6!Dhw?TZxLN;6tU! z@v8BP|M(dN(XgeRb851$!Lk?F2%%TTHgrDO@7|G?G2y|H%M;j9aDHcJ@k97CPM@V} zn7Uo#D)7)RX9j~AiO0PoZk=EVKy}onBroR1BO^>~yS%EJx7M%LGphC=zx8QA^b+@- zB?KwduGKa+&kIiekEk&texwaZed|T>bHLp~@Q8Qlnz`gm3B@GMPZBA&USxd4hv>ya z!*~H~J(5y*U$_!qayRer9UZDrDQWC|_8|BzmCFsIj+?&t^TQC!8GqT}1IwaV*_D zQ2NjN9WIKz*km;WK&EStgdJ7DEu06;YSqyGvt;)S9@C+mFCi%TCXo zA)o=Me}D_AmZX+CuM|HDiUTj2hOxq)7?}?F?sW@Y8oVi|Ne-OM2<{v%^t*3Brj(!& zFM_k8dyLIobMUkhQ|mLUN637FhLoFlMB4kj%hq#}u<^BotYJNUEu~A(GilP7vF)HA zVT=GtAQ+k%kEnG7-tAf^OTq#E+c*1P?b9WT%{oC|1ziNnbs@lD*wX{tw_W7IW8Mhq zZ(!Vc-fskL{!M!n;R$yqCa-0)A)FKcIQVD`oyDio`Mo*d}K8g<&~-lzLtI*DE--c0 zvB;=4a!dQLx-i;UzCn*cNO1LBlfhd(_o9*^XM*l#AqODX_bUQcTthW9^k4l;EA*yL zhX&w);U9=4h)G#ZF0nu8TYR>F%?jnN)t%Iky!Zv=Qcl20St&!u1_bDIR_vJ7TGN@r zVJI)t)tfx;h5V`cGdA+tH>p>z+w|RYe|B4C(VdfHfI=e3Vi`bh?6z| z=d-lbs6_X#^t2(4ns3|@QW^DZAHV<}IOdW%m+5kUV-EirR5f!C^)m5G6z?eUKOAim_iHholB0mDVC@OdT$V@3ozd!74ZiF7|;k$UzXo}!Aj|Lk!xq2tL zY2wMmicPzQzID$w$Ud0|KLG1pN&E4jKjuy?U~K=9T-KSJ<74+beYSEOLE?7b51#3s zdw+9U>-AIvhZSyH`}RQV-FjD8`z?2{bP*GM-p6z{+u_NpEe^#jrbi`Ew$)G0W)?gJaU;Q10CIT%1a9LR;RNda?kdE7^Y4Mg& z(8C;vEA(v=6rA1-DSF)9=^S?Mc|+b14>{>=knQx8*#q!33zRHOb@jBg?00nYNNIDs z%=Ux<;HeR$EEt#>QxO0S18`sE!j$ADY{I~EO3i!t;hF;PVNxmwM7KVFry0QSf{XhUUP|b1eY6+J#MCqJbOznAiKfkdl=aA=O zychz2VK?+!=jk{8v0Bh=(>o?cx<)CsFw|dw#=VqLIg!NhU~8c2@mad3IFV8$w5oHN za@o9w8ZJz3%cadflepJ+A@W3f?5Hri{vOIg0X{OllrhbzMuIPWP5 z;nlIQuYe79)pdhG-d(uVQ7)vjg~1@qtG}C(k0S9*?w;=`T@K+f#t#dv(ut!;7YGzZR zfFCYS+wdOG2Nax~v>rTs;?k=ouk(Na*nm9njc!ySSvtVUUi^_E8S*CFZ=v7%0&x_|q-%o_dH%MC33LSW`=Q-GD zD=-Mnc_#p^N^F=vN6gOKCUm#Cgw zXI1o8ySzyRYgyzFe=dL=Hm)%uDgZ`}le`%CN7s+H;eQHF7WZ+2^|tpfpYL}O0nJb1 z8>on=QWl7G%6{q|)mmPsn-jZ*Yk{Fx^Q}0)VcqJhXQ-;6!Wa9o{vT}(0oLUWU4AtS zabi&xz^9?;g@uLO$pJ%cm0YpszASYrilTRbFcyH{ao?nG;OTEFNe%$umV<*sP%ziU zwOA90UMgK?cNy4%QUxi@%X4mB?xrepB>kcV0mgqdbe5%0FXM2#(gr!>E!Zc-&P zh26LJRET}Q(%*Fbxe}wzfki153)B@^2oPhH@Sg&aAIOZUV;L=W|XzEH*t0$Z{K8{)Kt;EbdvgU0#^j*q>6)yS#KT;I+XY zqOJ<3ek)S?muXSF0}zIr10N=aVTETB03h3ybEB}+X=RW4Q=$)hlda5n-2rHMO$amf z{qn@ksu&vNR6OWece1{0O$f`@#swmzK$2_AkzqK~-HXwB-h6k?lL&k23i1 z;?lb*xUmiTbhIUqe0R2+GZp7`N?N2o4iR$Lo4lzVG?65&^@U>j6;5f{cYp0#)~Y+g z{!w|lGW`=AL(Ch48xv>W2A{O8~ zua&OgXv6l0k1iqCrSXA1-cAEQxg^ZK3~K<|06*MLo+oQdhO(~$=RyZ{@!*s!#tD_e z_a;86I4lC$2CiSB7%fFnGOSu2w+6R{VTDQ4`B0fg+z)fJV+!8f5z3OP;$M;JgSZ6| z!3be3B5}Fa))4w}#UawvGq6cKYa_5r+0bXs;@YVuRhGeQNmr3aa7gTTBgR+qP3f!KR#2r%uqEdCw2>V+YU06;UIE-aGev z!CWfw>)x~PLOxcP$vvRSYCl2~0Cmhe5DlXBdu|~Wqc8gk$Ek#{J^k*4sU3J?KSIRaN!v(fsZBt#|S^ zRwL+0rzqfYVo6YmwSuDuCm!HC4zkIUe9)X0U-$GKl5_-F&(Kn&$k4(%1-;uBW zEq3rGEIb(*6qCSWY-;_2`YxrKTP|ys)ER7Rt;}K}Fa&7gA${kCl5+3`oUt9cK)3Ai z+01??uje&+mi~Fp{AI4^3G{Y$d3pKPVpQ>;pHxRj=k0>(wI4RWk__0dd_&XTQIs@G zpc+^B^1S{92Jz4P-MNN`{O@u5=%EH`ZFTgrls6u~|63y|NskQn={{_y>N)CIKiXE= zM9iT6Wt6#(ipys3UhgygNu@T$`UhJd5k9@QiAoJU1Rqh7mn~JIhY&V+7YGwA)} zR&cpuGNpr0w%nmLmFUBIqVY!X*~d){Y9zEH!Mf%=bZFTE2^FOi)8WyP$jWnH`FLHL zp!+nqwl+Nimm3yv$7Vpmoo}2Ox)Zl>5y;C?2SXxZ*qxfWxSd7PnnY%*z`<>Mm%21>hUP>mbQvFi%mO&%^5uSdp`ZfV?E6ZeSU^5k*uqZkjK77GD&)T zYM#GePu}0pH0XGFsFVoVyh<)a1iZh1{f{8PoA9+AVU1AZo|VJh(5)P%mGVoJ)iYl^?oYaoe!uGR!^=?_eAn&OVV=daXS6mGM>&r#H% z?k#_qSG70S=-<5$kCyzmTiDBGIe2a>h6n%@i3Z#3?I-fjF$$*AmHm-hhJXG^B^Kx$ z671)90s{;OSl#`FQE~nTPWQMaQvA)tIp=R?XEc!h_D$Ls85fn59_+gQ8T=uy`Ep*^ zzM18VH5|4P2IDVwI5?~m@;LKWi`v1?9EY;cCaonXxHPb!Qy92hdQ~1XL9DH+fKIs? z?>Dh?E5l4LAm9qVwXC2^-k-qG{mRI2RbpCFDBd;{S56l&{`BMUf2SZ_$|!GBFGpb^ zD4~?jov7%q{vTlT>k!0wXO6X_?lQbswjD;1JiX)ON|;Vq%{3kb?#-_{r8DM7Y|DvU zj@`6x1b=wz8!d%2O2=5K*0?@A8>^BP8?a=syR3HZ@H5B63lwvwl#X!N)pnrn~X8wDLf@Y$D zw;!z?%9b3B`{6kZzw~h=??GvC9tsnKR=bgZQ=kfqa_jLR_w^2u+NEeym+&x7Hw!4J z*f#S3=}K%7dLst_@-&A5bvq)CX}o+ms5}=K+(UkaQm`iOS9%|EWK^HbOZ{h5TH|<` zNUh!Wx-fV6?qe!9YAW9tzQv>il*3Z*61cgH?;NT@Qi?SwM8 z+W3Q!gVb25)TP4Hytwk+Y$(!0!2WkQ;0A4GS5NQ<8rD7hsmM$YzRslh$oXY%4Dsnn z2+Zk4AZqxF@?U7DbCO5#Zj4j3Lm<^uyAXlwU$DjAi9MZL* zvI8eV1~Khv=;27Yhj@S3{vgdpp;vajuJ~9(P4G_bbrPpS?UV?XM`MZmS+7dO)eYJT zg7TcT&AHjYN?%7?FFNMW)%7`q70|A2bsJud-E30YH4K%%9mVf^ixsid?Ep<) z=uAnH>`NNUwZ(fKC0cpSV-nS&x=1>IXQnE!)|~9*PDfL z=gpVR#eZ`&il|w}apwiuy#RZcs;1aZ@HNWo*FZFTavo{f^d_ z%JxQ@zRfKzIB?6S^d}{A1w^6uv)!;dY48&ahXmvJh)OQ{{(d(P&rO38UJ=GN7-kgi zAeAyF9|s5c-J(JfFUDkLRRNw+bDkhHY9fgn_#xkZb$f?{g95gsL4+q6kD*4zaV@Nr z!^Fl=ujDw6Dv8u0=3As-o zsv&RBphxc9iwCO0Y4H;@O0w@s?RslRQT~BJB_1!CFW|g${Tbqjoa>H0HFkp`?_BoS zRhCA%^NO5rZG;Mpgnf&V!t&Bz3Py-qZl5+nPd8H|n35?mcK9#Eku5B(OE=;}kA7>w z^|o#V`M5Rsc+tF=zpZi1=dSVsGE@}nCb#!iHs;HCckJ|2N+A0p-+%pM6~`ls70x@l z$F6qq@bI^Bz$dOyAnh-Yih_8TX=%)7>Jt%BiTT`b(LHgR3KeN2f^Sb&PZK@cC&-;k zgQuY$*8Ybhb*7~R)CSD$me-=^lLsvdeaG@!vjGR2g*+7gSC-SZPp>9k9>u4b3JD(~ z-;G*Wx;FdM(MehJ10D-!WAFbN6G<_rC8cyVrQIR z9^_J#yLe2op849?WsXi5s^Ny-_OOircN34kusZIravH5v{lcIX=4WYP)`eVb9L-}x zmFg%tDTcj$!`Z3IciO_ti17PHZV9j0!(HIpqi7r_P@+qdt*i_{3Zl)5JrnK!kHorp ze$FW)=PP~t_#y&MyhVvqc~@6QcwtuQtkM|8hmY+%Zr^myjx}}jo!mMIra7Jj)PTKG zn^=jW06InjMas3%L3fLNrVdzs-=_@Yw!Goe3;Li_qgtHDSxS)GlGv# zbAH0jwUkX+gkt-|E&N-f?c>sw6IYqI$=9 z$<19&m0#F-yGOoH_&3yvj4W|Hld;(XN&5D_{kyfI z8L>4%Np{@AfDqh9zpFV8{QO-1%buVg8lULDw4IsSYaG+-eg5!H)<3bz&T#>`nCp$V zV>}&wqE)vjVBHL67vLn-!PH!Zl#uwNQuauwOCi$4r?`}RVdnGOh8X4x> zH!|iYp9KOW7|9lL_|Nk?ZXIs-o>acqjSf`>Hwe@=up_8v{pR9IP zOIQL$wP}_j%%Yr^9GuG_QVp)t98iAwKS!Q%aRswq351O<{nP;f0QYd*b zl`>f{j{;akMzl2Td`iMYv|(jrWaJe|ir%kRtmHm!C79i@NEOI319g7SL7+^N)?w-x z_|hJJEhfm=25Iy*-_r(f_Z@#OAsvjMJPrVrQ5Fszjylxz#HbCa3sk_?Hjba_bBL#F zc^B;vPzG;=)Gv>xR6{Ho47%7-K1D~~<~l(YfKe(5237s<&9g;8pM%X~#kP)sNg7T6 zQO`Kl3>7*a+Z>xloJG0@YqqjI4uWq`tEyN_c68jH&F8o**L;cdX>4ggC}QZe{qJH6 zk;%N$eY%j9Zz>L58aDXih)*Tr_Hcb*TNKo^bY!U0d(i`w!BXa%TIcHg6Xdj~+p;t| zY`|DXkvCrkIq(s~fqAc_)E(?TSP=Wf_Sp>1k@$Mcc~OjPa{K97GU_p`ZIx|MoyL=rES0P`P1@_(9T! zw_68|aCC>y@NtBrrVzB1z!k8!iCuD~U1k8rzj-(jiN*NE%lB2;ar7!8_WX7IGqDV) z`bvU@!SZxtPfnq-va$%V8$|239##|bd;}_NtA6YWN!tTS@tk@5sm;sDV4*1>qbz9g zfk+C6?3`a*hSkM3uR2AUH~LNv#g=3w=sMfLb>5iIUBmX?{wRpOZ~#YMybN4yMXOnB z4kcp@_|n=OI9>A%rlC8o3U}QP>K7t~e%x_M&pbj?4!@CMp_2s6C2i_Xs{k;5t2C0J znz4;H>=w%JNVX{=ty^kJ007OTd3rTQc?*Ik7$PkpuEfl)9rwCr+7Z+sktiR#07ZKM z@n3^2<dFY@PK!kwrMRH!f-5InvK zP{~COr$aKd35mcJ^<(m}IOJ5rMnQt#72O}(MqvQV0-ZRAj}cQ;r-=9fj(sM5x zY~&mTYRKTOsS-2kzwl)-@WK`82F;>uphHwsYwn75hyUiwFVvvckLl)l{UH<^gG?>h z&7lCkQhlKum;1-)orR1cs_BFlie|lLgY7OrrK9NBspsu!Nz6XtWY;h}Y;H;zn%GbZ zPxv`7c--Q7y8I!fR#{l*lz!HCF5DQOI`F)&P?&pJD!{$)KRS$A3Vp|+oF0QXv#`JQ zYz(f1vb7cw1L5XsBdw7_a3)g$;l&k`hSl?^ty@o2wuw$ivC&KN3!?=lQRZCbp zo*kNVEN2OCKGL=v0q+6l`=}9w$^7JoUlWtAQsMj$t+C$$x-C+-d%j2__G6^D%Nb0S zO>&aM%Q5e>o=?_DT+FlpmxC|b@pXwhW%@T+y43eb?N@~zGq4SsgUhi2{P2PEzJ;v9 z$JORwN9zkAp2xD0^3H!(MK70=ZPjoiQ$p=aI<|VZGKAqN)0&a7r09OkR)U*VFFe5I z%7oi$_Z|uz&En>O%QDz7%Z6JM9;X2@8G?^1%4veO2`$wtr~=Omizq1v>yAKY?N?0` zm*D5BD<=;NvB~MR=jPX|1-+uAVkzW_V@ZU|?Qth9DKO9*G_fDZADk||UKaOS7w8>} zsH;6$?RX4Br)lvzm|k2oDEa$u-_-qsJu6Q%ty`f8Pd*YARYl)$?U|p{-~Bz5DSmHK zB(ruSi%<<0y}ak)y2V=BQn8@zjUWL3nB?wt54sNuoeV$*M3Qpk!v$Ov3k$Jy*%_}? zQ)%NjcEx0HgoBNRkHsbypR_l1&taSXg`=saA3nLEXhN;oo@qNDOqf{HHh!%c=eO?64VN(;kXioh&YLy$w*vZf?Gr~fyJ%+9x9y)wv z7o?Ckm$tH*yn+aU3e|R$e=HU>!R}|TbqG)k_nLFTx|O05633679>gd$U@$cC2vl9C zySMnI#Jlk4u$!gG_=#}~Ve88i-W%L=_^jvnA^i(S?1LIdWwQ&{*k%tXJ)S*lxk~%qJ8_fe>>8Y@J@~nVLz097Lt>{7D)T= z_`W{Jg*;~kAO7n>)aL($R;CjX0a69x8+0djH~yegBEyN&fGXzHf5a#1!UUO}(S}@* z@kif_+eRyTyp85L6Ci*#1oe##wH%mB`{82`FDfYqy+I;0M3aHLYpGW94_-ic%_>^< zHaq^{9Y@PBE3`4z+~esBP^OW#7_0Q)n4Ns*=n>bNfw?+890&ay{u+VV{`l+vPB2lh z&;Is9%YSDRMvk|E8U;Xh{~BmRzW@7sXA)u=WGQu6O-U3>@ZA`>>=BgOZ+-BExIz$t z2uNS8Z-Dt~-#kUoj^8dp2?7@A)+y*#a!dx7J}@`Rt^^kAQtqi!sqh99U);R=aI(v;B%DI+qs(;YQ3TRv$V`2HK)@k8UZKML% zeOwI1$4bp6_IY2g_52(>#NUcvK2;^ zA|`Ajp%`92{B5H`71W8)6;UIch^MZ&_u=eYO$qv)TiNRb*T9msvyfy64@v@6spxmN|JaE5;7)Pf`CM9q zlFj>xk}5zY7N6Gv=~vp9_2!qXg4g5Sxx3Zi=liZ#>6gmyMjtlq#I=dgwc0_2j$oCT zxw(vmgh#*p#49%o_`-w^mw6jN<)|x=bNU>694dK6fXu^*TZ{C{j&{ z8dkSxz@bbslA}@Xxxe1yP-f8>_F)Oov`khQU$Woz+VwnV*pSuqF2MQ%wxHK}H~KpF z`fU3$jR;!Z$)}L{c#w#He#tYw3}ZP9L8V}y^t2o!Mfej$61yH(&hT(?gNU1PZ~!XY z)T`1jvtfiNNA@*3H-T%|02zL_%+Mdr)tqBoRT}CEX^~W5uU`T}6UlEC2L_Not>?#N z%iAPmY9+QXo0=L0-%O9YU`YFK-8u+6G0}%Mz()VL=*hWSu__mrF0>0t)Q^@t-IJOy zR;#U9mp-^j9`?+|!S^z9Db~C#Z|h-|8TH(6Ue^0}6iZrL>yblm9KaZiG%a>px>+G< zR*MTsO2i5(xyo!A>C-9Z#J5|?DJsc2e3SgE$0__v0tpEdV2C@hzGM8~GVSkFpWkIY zJ_i?C!wgD3lrjP`wP8oN4BxhXtsDUDj}cUsm>db_&`F;+-{k>#5w*48nCcy(CG$F8SE%1X+dGg<>9yJwhi zh}*-zm!P&=iM#3kdqa-$MC#RsHuoKvxNC=amffBBq-55C_K2L;?<;|3Ad+_>o3@$$ zcQeNsPFdMS2h)3)1=UWXo*a_6lwhw7nu-X6G9<^;U^6;;RQ9}V@{ELga@E8sYec>n z1^^tznovCe!jcf1Pqz;}zS7UzCCH&*m=Kd_?c!NqyY1bWt<(!DAivAaIw%ONOS>3Z z;&Zjk-bnxeSP9|t#FUXFVe_f(!@Lr0#i_IH3BlpSY)DQxd`s;#b#NKQtJ*r@Mt42K$+A$f>hf}94 zT4A7mzj?E)>^9c&s8S7-NweGlH@D!>ew%7nk|9U1)Jv6wrd1k63m^!?x8i({0m!ki zQTZx4QpI3nlYktjNC_ZAmX(W$(JpvPEzRTa<)EDR1&elInC3T0^eqifH5X|?O~1?a z!$YwmH`6VfJaz2%;{1o3xz)TYj=`TwK+$ksbjpk%xXOp0@^4(ZS09e6Qv^o&3-l^8 zepOahiP;wy(D@Ru85-&byEZ)y{UB%JV;sdkS)Gd-8%oihJAf3EuI3pV<`}oH^e;o% zI&j#l#lHgi3JmlNkrJsD<8Uat5dxS z)G}`7<|Nycf_H4CI@AC7cHTtrObpr$c&Y34&(%5P_O0A#e9wQF`Y5fkptWc~fB2oT zfI_dHgDZ6Wa@nDY^;l{3R~15}ZLE6Vi_OhihQ&8XR+9cOHLj@bFZi8UW$rM+q}j2m zNtaTQt(oPk@L+aaY~+a{Gr#&c)xQgbr~F;JPSurd@RRGS^y|vnL)VLDbG0c09kMKr zS%NgHeVRL8Q#^cNR$E|?`%Dg%a45v3Ap+XnZFT$ovu~|*9 zu6xs$www;7M9F{K0}mg7xW|TGNL+PW2m)KVfk+=xt$!tTICrufv~ zmbsX9#Q3E+0gBGH07KqQis%Le791P?1>mKfI3#sNNlqFwuU zkzPh~Cyi0*3I2j`m6|mlV{`S?(mEkF00$Gb64E^D={3#ocR|CMNsEu?3qqZ1Tmw>+v-IN|ojZH&nPdH6G->xqaSQ#MG7l@d z?3%&JA<#YQvb5ZrWCt&qHikWepVYg}(WMBQ@oBgc_XhznE_bzY(0#{_FL#Va)lBKd z@)3O@)MU5X?)Xa56T!dfaN7oxr!}7Lal|@?Ne)`a0rDNUTKNbx$b1GAzdC2?>q1$r z(NrALDaevhcs?tYBbVIN>6|EELy-_|F2Bvf0TMhm+;Pq8Gy{Q zl||$21LdC5z@X;w(&w9J4Js}$SVO?!wSQ8gBur8U(9*sLx`8ws!9Q!0SrPzxkm(wb zRRIL*06S&oNIV9Bg9QiH87|`56X%PJorK=Fgm#X??_tB9EJa7ULOGwWNAWabc8wv- z#=dG%L(GP1QJo?u1USyzdUgLpD!Bx7 z^H5rr(hJ0&9ULP-DY#gj}bo0X>ydUR% zwfz02>|OlC(ux4ns6Zd>@7CB$}wb)2hwj z_O1+cKPNn5ZR_t)yq19Iy1s$b1U|tW_Qa+AD?7wYD-%vuIDVFgLllhjOsmlR4wc6p z4D=0c$~YkAdjH0Kq~kplr*Rg)b5OsZO%TVZO_OR>l1yMNJHC75?s}@<0~PK;s|~li zgR{BwJ@Wk#Z@YM*F_~&pfry20I^rb~5G`^}q?d%W->vWKPPFlK+}gb_!gg-Tt#{}? zzXB~G)M9%dsglaNGwT3B_`p=liW8a?-_rAwmBg6^06E*S&}o26S*S6Qc@l33KWKh9 zS#4lRv;ZO=pWbEZ`kDXXt41!)7xUEMe(1b7^j(v@+Ke{7_kBGVmcB2m*=ZUb8^epR zvO?vUpZ@M3tJtF)+6T0!?8aIjUY==$v`(MR&-?Kp(`5;WYah0njbO_%ldatE8g;BV;{wN zs;qJ$0g5q0712ALvM(Qhyjr*%|$*#VJ2PrMVt`=bKho;nr42TV0y5{Hn`B# zuzHo9XMDOv*jX3jw<2=}2U%DXX(YXuGcW*%LQ4SPQex?RnGqcy(7oLknach@{p6jW zP9kaTdPq$%WT7yyPhN}zgrZ@*zDkGfzLPtnN{2&>_^bK8$i%;SKce@~wWjQdW(uCf zG>OTeH5PgUux_>UFgIf{MCik($vdxV!ITmz)UkRaq|DI_5fwyRlES2C9A4KRNF`O7 zErJfwe34Wf857=}rOIGI#4@5KOR!B|W>16~My!3*i`k7ZuIg6q_`49GM!gBOo~RE* z3`*jEKMy7G+kvT-%6KWd|3u?Mt5E=QzYM^^hqLAY;00L`s?%#xG__N2*bu2(GI%D7Ot92 zf=(`NrM$iBwL(sBps<0%Ea^K1({xk+$Hlk!CqdWy)s>FV@YS3nZ+Vq{cHtf1WI}3c z4`{#VEuvZNh!T|9){)>dd<95ZAYRR31v3?7&mwfZMUu_gWqwcVRwcVc0NK`hnEwUD zcb61qODdG@UQ$!A_-lAe&m`DzFBG}0;Avc#TXcl`Gdn0#(cCWpwDmj+H{KD^NZwN< z{^Jpvr?@3PT+|jr_tZU3f{h$IMB=q>Lc|`@Gj+0}(18A*f0v`zDhl%Kkzb|OPiCmeoH_;0K=kh!&y0$n3S|rdQ zWk9X#nF(Ou@F+av7rL{aGRa;|YtK-f@!NWX$BQGqfDQ?Cg^h5*(gw+rjNCK#+#kvM znFV>Ke%_)fE?h#+gT9p`b@{k(N4ox-jN3f5XNay|tI1E%)94aL#=#^iFRK6U9t&w@ z3Qg^9U27DkBSaDuDd$SsekxUFY<}aOob0-X3HEruW%)|Z%3v>uOvn4(nkbw=OBv~_ zcQq~s-=aAgZjzWk$(e4L*xCDhyBYyw25Y+G4y=5 z903+>{%Ve3=jzjsDCma~(GyWvdRG&Yqub%eajZ>O)KZU)y5c!6wPBt0_AS>l{Tv68 z%N5Js)ILXdb9_d4SnddGwhe27UiE@+=^Z_Q?8qGGE4K4&sXgOp+^16ulrNGB409~$)qNPY_t?&bGg{6`~%kp-Uii3 z(l|y6UD)|tasF>?|00J1MF1~BV8rd@`3T$dYG%gLiS2*|rfBAAnXT|MGX2K<&*A0G z0`c{aw*fPhlt(9Xbr%oig<@WhK2s)+r+MTfGeETwRgLnB>e;Wlc^v{7pJqygGhL)# zJF<>4`1<}n%~|VR?r+Dq<>v|8!1eTeKYVC+zja1!YwF|`7w4e%J^$)5oOSM!+lYbl zc{=vbe0>2~5o?y(=;$bnjLizH>q)I=yJ(lkY~Qh3J5W_!tyX^T64NN5qHo?!Z=qVsyb*8h89G!6)KX#ZXm9k<% zgdhe`-AZh>O;!>)y72UAEsflt$^v{6y<_9MiimHUQ1#Z>*chEf4O~y#z5u(J_1m}2 zT#S%jEdodKSR*)Y)DZvGQrGhm#NXNGEUbSAa=!yv(z7Waa4`9!Zxrh~c`pkx@;9L{ z90g8crSLKG0aXk*^j@Y-{Tyx&dn={I_(l2u<5)P1D%^`MDAn#ve{#muJrT=Mw ztBx>CcK-j|WT zJ2kZ#>1xQH&W%mmq1uT@tuO;KjyDcXV?(t$#5XLYXyTpS1-+uVsE!m!cmPh9XSBn3rT z6(Y>^_f(>Qx8~+)$*QMG4{oBgC#T1?rqP}5b*RI_(6)>Vf-O{v&$A=Q>B{0tD% z1a#MvOzZ0(FPnTRUuKCY+`_5=bTg#MP?nEYR*qIaOTa^?-K~gNj%&5?0M`$aT}Cc< zy?dtqETyS?xCcD;0_^fT+C(=2S^{ExO`WZaXTBMG41{EeCTuTKfROI!ztIw=_y!=l5J#%{OmGc(T-x!tzk!>+LW>@ugR~(}y;0b{tSzTcLzo<+Hz`qb5ZbX@mAt!& zl|~SEh^jtE$n~`MWb|a<2w^f;brb$^!G)TX|M@Ng?r3!bFRcyDB+{Kg*;TaZHbh;3 z)q{$q9j84JgT80dUN1h;#QgGY1ucD=QthsdQ~)OxcOanszm6`Fx)Nle>Z8omFYx?n zu%Ylz--HMEz|&)LSd$hTxVoB)dqRyqo>!ZF@(K)T+~K$i`|b3xmN1n7Mn)8_{O;X5 z$P%?8tkN+-5d|rWBuhEQ16tqSqT_W+=$YC^21rocJl6E5h3oqh^Qw` z-xQGdipc^01?gN|8qY|j&5Rf9jtqSKFG(FA?AtI`SPfV6wylzokZP{&Y^>Kt$mlue z?0YlQYCqMWC8CV!7cME5xMZizA|}O-X>aw3G(1aAKw;YKqn96k^|i<&AsMN2IZrG2 z%A_Eba!;Z1JM*V9P~#3Uyd#gqpke;qs2u1Nn0sv(83Gcj2G!J)%4>u`3pjJvOT z{0#r!Ngy7HE6rFfxt+X@`u3HL>Z*@?9!4y|Ul_af`{cbGfC^CpEHd5-GJ&K|Kw$~M z(8AEp1f#lTe1ni^XL4jLkD)}2%jdmSR-iLe0KU`avip?w#KcO_sGo&zJYM)m&O`1mGTlIE2=CXMgx-B zWC2@kN?lC>*AK1t6WG{D6}7ZCq117iQ<%%;8r1JjVuP=r?tRJ)+2Lws-G?SMGf|2> zLcExOw>Kl)FHxFZ=M&({2JnW5b+Ah?$lJ-kxTo3`AoVhY&?s-G*sf(8L#H@1IAjJE z^W(jYqQho@!=B*SQa8*TWfcEKD^Tv6!cRVuaJ5!#M0Ft%A!4lS2HYr2M=PUmu^78qK7=cv5a7?yN(KNMcsWR+?APk1FnA1?<9khQZEu`^Ef?Mt^X_ zSAuVSG>%*=Cony_5F5uZB<1C}%r}l)j&v4|QGzJ_qlvpxZ!sOOR3W#7+`D~aVIeo; zw$y$6HERONSjXe)iP3D$Vs%L7VHod!oJPw|xzmsRp>9wo)1erLl)VnV9?U$uTt(og zSTF4Fvoe?<-l5tRIJmgSq0UMg3E-Z z@hiBg+B_GcsMcZ?WZM%x7x8uwsfjKPjR#Ooya`Lt-$+Qn8@Ef=RRY6KlxQSxcP6F& zLz1}ZL$P;Q3)+(`V4@8<^y<()^!jra{2G>~X2Yv81?BK~?!l|6GNm96 zK)(=?2a_(;Pp6DE%{kRskE##hB=52jLaQCQ)ab74-H<@MgwD62rdC6OK5!e-i1x8=HqZ@|V=mhp^#TbAaE|cA=6+uW*s!7Xw$Mo3N=g+}b%HUc#`dEO^e~^(N%5s(hMT;+YH} zv+UuVU2ZS)NeUUDj+Y_gd?-RyD7Ji1{rY$&@p?a5DAnlNjMUNrlL&EvO6f21Yh#z} zFW8z=z2vY^$|q#(w(dwARK4-`ojm1dbm-N1RV#XyE2r+f+KsCF z>(I7v`fs>j%@z9~F#+Aa*z@gKZXxLDx?(Tne6iP}!RWV*{;9}2!-;w-0F=)8n_dwo zfX-Es-@I-nUT~nEooNGQ(G%ZJcc{a2|R(6S0**gGNqn6a9Q)nDmx5j`CAKuP72MaIJ$=qACW2>{Xa~V|xtuHoXVha}-5cpX1|Gzt* zqhs=>WXov%imD>(?&rT0^r0AUka)wag>;ouk*loD6s`2XNElivHYqYBn}=<)Dv6pP zo|>vQDu=%T&JMUpsxnj}*tj@}$zR( zQXcT~Y>6-7zL`=^;yUhfs~;yYO3lPb`ox67gQQ9nwcd~5Aq=l(-WOIt_GNoUmxPy<@p3@*1I{_y5dtFazror28 zXl^GSO{+PN%lw*>K5qDcz{eX(gbeqc9%VR?FBu|Zhe{M9eoPw*s57D_mk0}}3skM} zX~P8s+@1bU@dfqZ!Hqv+*AOsubaN`&Y=eW+J^ZI9#ZtC`v^@k0Ke_oD4f411JGBuK z%AgYESv<8m>uTl^GN87f4X}S*Jozl_Hf5l&0e9?PTr@)B=oJ|C*8-MF3rW~}Egs%N z(p?~lze=ZscqvzOyJ&UQ=61@KGQLg5#~@5*kOU3OmZnv80jwHr74Uy@rwii7UhUDU zWt@PD{BB>$IX=LwqqWV)5zKHRPeL;{-(&7x8}kvnA7o}M^dFPW);Hg9nsu-)Dl2QV zDohR3LlU+k1IaYWK0|LwQN+YCURU1`6yHr-ou0j-2%W z?K;IM>-430AXO_9U36EL%+JbU(D%VUs{R0XMw6YPX#DbJD<+%wHU>k4nut;P+ibr{ zZ;IeCBqre9JyM>unBGv1D}2>*inkHc{#eaLNyJXKVL-V9$}jJjjse;uecxo)U>vKT zwjpIYXhis^7AnrXj}nIK%tu#7J)4&j{zkmr(hNG@Ruy)<4G2(lQ0_%WQjuX5K8$p+ z?Vz0f3`K?+fFT}648wMu4BySnc`EKcC0e=MxtGy&_~brJjqd4^Ydh8<1mr*-lyHOuYy$Fw1? z{tvQ_S0=uH+^5Js{o}!*LeMv(HUTNC@iVOq;keX{NpvyLHJtV2Mxvg98JTOLFUVlu! z!e4J*-vX+~xwu!`MeEwUJkE43N`8DxW6_EXPma<5@+Z8JxDVwKee{h911f6wFL>vm zV+&?Z?)YvFzpX}*)H8H4$i?0Lq6eK;0(7~JSRHI#IhC}{saHqoCYAR5y&(+v{@X2> z_o3G|Q4wlg_;|^Zgsto^6K$)=%%UiHz`MS%aOlbXG8Lu$KEpGuMO7qm>FjPxf=f^J z)1ML?Yhr6hnTKON3Q=|&IQ!*%E)3G6FG7o0j zzuXZeo_h~-`P_=pPTeh9n|khQ?=& zj~mjDw#`2WzEY<3D3b2&shZdm|FTe_^RLsuZ61+*uZ)>v$wuaq{`+$+Z2YQm;n1Gs z>zqHwp?6!NhaOBEr)=3EujbU@IOOoJW|!y2vg`AyXULIWu=}E~D$}%?Q?!0MTlM9p1;930R9 z?@TnRS6d)FS=5DWnu3Rb(4o(Qjk5=>-=wa#8C~0hUN#@Gl;7n zIX*+S9}-2b9*#)T1qVDMjQAtW-%Uq+BZVVV)M6Nk(9@ydOXcL{iYi!jZz_%=s_HP& zn-s%=E^X_}NGb6U5>A&jx-&odX5TduvNmg!!Yk0nU76eQ(v#SzG&X8>OZ-&vj1(h$IH$U^e;_ z+&hEcQ~9m_2{K>(-`8?=43qeND<2Yl?HeI8^u)53&qH!Qicq?H(Wz2$xJn4*SSQ~y z(Z(Y&Y>2!o=E-6DBR1Oog~aE7f?=e4oZd|hk}H>TjeHpWcX7nw3Ng?QKFA7|MQTLqya4O{aZ%E$Dn;hr z|5+zz)FQ>5L?a~vJzC#>2e6}_CC!B|Q75BiWi3|zIU2OFNgN*|PU_UUkeIU4rl(3T zUQ67~f)%~={b{>P2&l&b92JblX^nE=GKtk|%(=#&R8$7F*#gWe;2mx+t!@+;sF`F7 z7`Q^rjr&8vRB|3WT-=zcun*W}f)eFt;*TQ~rd922j9pPmR zTJ+Jr?)T>CapQmfS>+>5{A70`G9iyI+1Sz|A}nkhpHo=qV|;hgy!L{Tb|Jm-{Di4X ze0wOr8uB<9d}jLG_0rR3YvKgvMv)+Eu29;(b48L;9f(MU>o-#&qPG>nx9YB?XsX`kGPkIDZi zjj8i`c9=*9+&X1i<4ZrC|B#P1f(Jd{z}Nhbzy>F)#XZG=NkU?EGkFwC9bFq^I7u1G zjSVK2`DscDG~!-Xdbo7Qz@tLpK)18L%i*-PXiB?d1ZB{;Xhn`M%$_8D>o#`m z&mj0`E#!sTT)t-0-u#p0&_2(hPUY&Pgy#b~chLd{{AF|Uc~-ddWial_QTh(MDCm5C z?DcUi*w(A~`l{&l-+1E7N=V2AAy$>K@q*v_hUMJD>{G8qLmi+1;e0>%R~UgI_f2A^ zdQkhDgm512KQM`e>$5FVa40%5Pys;Kgw~Brw3>r0M1ZrU0q1_|7O#8$b_M|EFo4nh7Juo zuo)rdRH08(5KpbzVEv}hX34b&wZR_Lq>&2tcs-e0O=^IeWK*g~DSNwo+ySO3Q$}?% zQpf&hm4P^LiN0@O7=`DmoKrGcgEx zjy@C906p8JzHcC1g)W}@U8$P|%rGo%jlDM>z07m(M==&0C)WZZ$N{3`~zE z5T8;h19Ecvsw$&|;zU8aO=19yL=T8j>CAS1mA>^QA`V8v=HUOR188`w)7=d#z)t+y z^vm1EtiHbuTUh~x*4+}@n|7@u%O{?6YbAI&udQ)j&F2v|AtOgI6u0GQ*^$#1TS8nv z!EY(M0c8-0tp7^-Wxp)SBq_a5+brA-z5CxO@)#+XmRF{W#4-Ut%3> zyaza`H67G2RKCjLFt!o-gM(@5KCdIobiKVblpJTW95qkXU*XQGR4H0|jx=jK?FzhE zkvI@IY@1PEX$f|mRDSNRc9%l}@LCD$?)GHugTxxJhP7V6HxSlIyci3`MudO^#7wI}_0kC+npt_30Xz(TeMCZuvIrmxIzIq+Cwg$ z9Y&N7h9PIP;2Q2p6Up)hzqKEqwr1LpKrgqC%2rNt$Xb91)O15OMulu^{qhy?+!4kv zM7%=f%n4v;LM)6SGz!ctEL4MY25*c1Qq*OKl8*_bd}p|AgrBgu^GOM1I*zN$VE`<1 z923wCSM4C*Y8fj}Y*MyVCQXm3X++%im8661JD|6N(t$xBmz~$k$`F*WmlM~boa}1; z?wvScCB^&hLVuf$e)t;bybc}jG*^^|Kk#Ccuhuncm=#slYzIaFphKCrc&A3t7LIVj zR-`}BttctXIov$mU+LAD+yqG@c%E+v#S6M;PPRS`AKWSA%z5E)32nxK=sGC(Z?OimFfTMdMQ~N*~&Vs_mIL3NG0rTE?b2O9EK( zRJ=T2MmDwiXX@M*^q9u@^{yesHX&PTnvwuO7ThT8(KOgO7%J|weSIA9^JlRk$#D+1OfuL?z$i?jSlg3 z28>&hn_{3!W=q3!^%hHX7v`_48!JOjJua}eyaeA)&lhL4Z&C3;wEg(71>zD_r zd%OSD)&Wb2kqHw7yJeJN22EoCRaK&1JCrXmfP^>93ME`AsPlyj9L%Oow$grvH;pG@ zS~|N`zEf&T@0gUQ-KkHPfGS<*{kC{C2>G7vDzvt7@!@i>PzQ*#-tA?1#w`?lh5ruH z`aN)sj7u5t2JJ6J0oiT(%&7CScfE+M*$%qgJzgq_{#v$(+$drrJIv8z3QO0Ol74i; zZs*}-OvbQPqtgit9>H=vT>hdO^ZUQgCdd6pS(%<3M?0+q*?Z)v1giF*Sm{!_k;C5u z2!@7!2`Z3)aVX$>8-G#G`8AK<%wq!(D|&wo^fB$}@WE1Iym$bT>x6p_HMI};I?GNF zS8m1Uj837iRIPRX8?=8TDnZ#_CWbSXLTo%Z}00jM|sSq5xn8-I% zXk3qCcxsZ@bcrCv3&jLKIu0CCBj<3(iXB#e^`C?W<^ugL8hZjm~ZC>U+ z!KW3U@c$+F3(o;URr^3BUod506h8n}bD(dcqSNnv1nR6AIRS>+awQNMtRodb2!OBY3yDrhnp65e7IE$AN5Z5B&6 z$}iVwmSE17?)t?st-dxqwU#5bkilsXpyA!9!v!j-q`cs8?O4IcUyh+{ZW9_uY;5bG z&8T^CoJB<{CY__2ZXanMzM7_!{w!H7`)iaXcBSJ@*KGEyq>4R6yN*HEff2)1PoH(l4HBceb@e&+a=Yt(H+Z(KB)Rz3sDJ*J=54u=AQN7Oz&!h>sY&`qBz`sYinhY6pN*4X_mI-wv(A)Apr<^wE z_&jXW+r_sq6d&{6or>jKu`9wJ{XYwP2q@3q@#N|0>1tB?Ok_f7n{VZ)fRkC&Zz=x* zFKu;v;APi{9~rBnIG8(u5spps*q0Sm`U`&O18?9&Hh=yea~WTzgF_@k?5Wbf9!xb@ zct%@PsH;sB27`S;0VHZG^9VO5?=5PLX#FPBS^vPeB1=7fEP@BHF*sXlcJ*`Wqri?? zB$JHWCqR!!*2SReb_H9wwc{8<2E=@EmC%d1QYT>NE zNiIh-Gq(dLD2NmsJMSk`wNhqRU{7ao>V`3ihsON?5J;>wAi#G}Qm6Jdzc*kpJ4&?{ zA0!VbfBSz&H|M$8^1lDC-ykUNXUp6OLxo1$Z!}P-x2vzOQ<7uQ%3}@&9jN1c2C+dz zdy;0f5VJw|SK9kDFw1&XSP9zX1Z&m*uhvIH`rx1-hwDVIc4g@7Gp6y-3|Z0*ZOUcd zlXdgoFSG`m1Xjm-LT+^;7@nV&)V4aR@)uZYE50Yc=24PJWYkusf~2$fm>c5Mn~t|d zMH_j)tFUXHaP`mF`<+azkfll5pR#`)KK8{aHtQqh$JW7Pb_rJh^T{Y97N_Um^S2i3 z=Q=NF$MmT;RGYy~xNojQ(tG6wB~nX&j)dfH`ny!p+ZB7L#f&FV2!6~;VJ65CRA+`r zuzFCFSZF%aswbeOjjLtD5h^TEpn@0p+*QHjx%%%Yw>Y&-y!xwuL3!Oiyc4U9vH200 zqFz#-lP{sS&+aDNP@J^zP~&5kp5lSjAZMJ!B8o`L3I`AJfB7DN^j__~U5vxd>A+65 zwiX~S{te_1VEYA$MfaQU1Tub-*DQZVdfsFZNs5Xs`v2?66y_aECP>aBy`>&U%;cBo zaZ6HSqHj>+&ziG>zaC)8Tc@+oWLVi?6GURM#QSo-?`B|#SVedGX!V^86T=uZGMEJL zOzM9ff>u8N&|;A(m30Gz)RQxmn5HQ=rqYTZ+8=8D0>kh>RK1g}UpEjD81DCZqs~>i7OLPNsOgak@JGk8q!DwdO++dXCeQynRkia{!%Vd zL9@t1zU^8(i#$UlTYRcN&eqhDQ-hEcuy!7EFlyRB{)^7+-*SfA-+7V_fLv+paB*ZP zrcfs#tFT_IMa%!=O?+d`O)%Xiw5DTgB^tkAgPs0h`HmdGd!L$fpN;J(X>F|j&8-+TVvin4|n@EX}g{z zzs6ApN&hDQ)|fWEhJjsycXUQ*#%$Ku1hVwmT;AocQF`Ok;P8Od3lYYC{DwR>NwWtrzt%pZ%E#Q&pGEB$Cp*q`vP z7^A^()@^J=m_yzgvq|v3N*kk(OtzEI?49L9%jAaMQ^_%R|50!xt1JVbm(6)0Yuj5g zJi*P@ofd(&wQ>X?_s-z0?m7%2?c@UEmf+KazS`iehT*IJdoLGen;Iqxt3(-0!ulzb z9oN_aYRe!;L-u1!V{M*cco|cbwi2OL+0;H4k5m7dN6A6fN1sI^^_tn_Kqm^c?)$y7 znmSzg^KR_LX5qwRy-HHW@#e0FLubVAVWh>FkNMd75pJCnKaIV*UMh;pUcP+;(wyg0 zSeM`T!1s@tp9x$Cu3y-fKIyJwnLI}Nh5tgIW2(Rm%hdDC=i=g`6Z5W^TU1FG#8C^# z!VOpXv=czw*WTIG)X||K2eQ$fb!%6AgAuVI0^Ik-0uL%mrbeiR&BvHaCB`yK>RB?? z0t;hRgx!~?0(j!Q*W9rUc1-v(OWPY;&c;@9%ppAY_l8nfAmiNUM6)zuA%BO?KX`eO zg9xxM3_H&}pLc^Uodf*2j7;3xYvk6pUh{5-VC;m+zL%Bz&#l>zzR;N<6%g=AJ>8!J z(uK#7va(l?lc|Qx>i|*Vf4>3HyZiUcdTfUN{Nwvy zH-yk>a*#Gx+N{!d`T>`Jhu4bJgn4+9^v#Em+qY-^XGUFWTVB&>dof5iVRq~i_fAir ztDybCUH>Xzjsi8-ngBRP-OakPddF{-1XK~nhM9T_ACezFl7OZE#)Y?A^%h7|Ma3Q7 z-z>e8H+@Q6sFjF7xF%04xjoIjgOeu+fy46}yx&{Nms$HqPp8z>6HYM?olTc3 zup_YuHJX$FRL`?^S99a6$&lE)l>Qh~G+jd5PKYuf!OIWy+VF_dF8v6&LbDO>MbtoX}r?2rIU^^+ygo-J;~pl$j;8bc5|U zQ<$e9;Lf?y%-jq@jGuCO{BYzqRH&y^0qXCM$s4hE)h#A6_!clr>?mS;IIm%xA>=H( zva8{uzaH}Y@c&Al78DgWbK;$mS;Z>C4ntUNOjb=v0dDGBR>x`9uVNDAn-FxqZJY%b z`kS<9ptQjama%hZ_}<;}{CxUOCe6UxnLpbfKpwKq)*`9 zS4d9Dt~YKBy0Tbsel@k~I$g21;Upg|UpaNN-?iplzIT=XC*GZ3R>`8c8MVaM+^c0< z=`t7iyULij)PPf8P6Tc+@T)+ttX#sbS};epUM9?ySda-;3e9jTh{Qtk@OnD>RZInH z(fXCf1M+|MewU)Z|{U)56T9dqUa7G9(x8Gjnk$0D4l7W1aH9_6|{eeG(NJG{Y{Pay` z=F#m*^_5!9lzNHQU%9~b{?q3`lgWCG`fs&)O8XwoF*?h0@;^5LJzq|9GA{RMMJ5Kh zR=vVJ0P4Y=xw!6^;KG&-+=^B5rE>~`c7)dC%DrG{aQcK*CT*G1Ed_f^=Q z;(5+AR2N06VOSYk-{?Xvcpnj6PrOgRR-Ra&Hj5TpJei01;g>~MIAFQ!&L<$j$+XTY zjhb$vZEbBjxswiIC1|axGTcsY&1{Z3U2a=^EAxunp_Z3M;=8t<@Amy2{*ZMgN6pgw zpQTRyEwyRQhQ>+Nr`=Y1#oQgTjJomu-ojhG!YkOkulP@&ms9G82;k^=x|Sg!^+uPT z1J(XZUAp61J@oJ$CtNUv(>*`OvL$fKMfyoYAZ3RfRyH95x@`I{8HVqD0(!VyVR?k&s(y=zxLH*rmWOexq%J zWCNi#ZDe}j40J4n`T?NX$~j(~TTZ5)HN|#-0Zk)%)G;=~BpKYmmH1VMnpAU$KPYr6iFZWmVuXW5SZ-$Xx)+$>6H*|MXr= zlx9kTo@o+q;USK+_b0Qi_|>|_Pl5T2+e5$QsuBI5fy89DsaRDJ6(&{w;>Dgl$UAve z0*uF(l%@K>)Xj^Zmw`2ms+!H2t&ccj9CjrACL-^dDn4m214qN1Kj3Q7Nnv|;MbWr5 zN{vZ_+RU7j?jN?s6z)&oQG?0@cV~{q zCn$7#Q*^7qJHGNbGxVxCy(~xJ^+b(rb;5vBzYn@{BBP@A4#>*(PM;A-j zEz=Pd|tI_qYT+;V-^m1N(T;Ap5VuOSAVQ@XG=jyutP5YyV%re_u|& z-l_f=rstcV0EBIMG*T;S9~XCEy0_~@V;AD)j1nazv(^lh^}H!m9p*p+~xAT$RS*x7uxZ(#UMf5K7Rxo+v>H zgr%YCptt#WD)Qq*;hgwu#pQr9(ieEB;7`U9sE4jwj+D#G*NL;aCn;bPT=bFQD$c6q zm&-{Hb2+3jZCDhx<0i4X`U$rC`6!KGjIfYb#c>MzTHlZegrZ8tVB$)Rga==`sqc_1 zMV7jqZBm&3u>$x_+y)y6Q*cJLaBBf}-ASSx$i>@n@%tTpU_I5d{gJEV(0}6b_<_HY zQK74A!P*_i;quJx2QGkQ!lcF^g3VhCr}>q2BraTrCYx+~Tk4|H@_IWvCKUxnq(GG`vVj}Z&d)MRY@ytKUQ zX^17t>SrYcb&o{%xd(3;7)f0WLj{By*8T+N+A*6y+zNk#ea!xi?PaJHSfETVeRZ*+ z3|cKI@;_#Me^LN-_Z8364n2{4WeZv*>by#(o^0mKRI}8LahG-oZ6}^w>dN%%oLxG> ztvjJ220OrA#iL&U(}h~?Wr)JR0)=*S!v_Qc%DObly2RBIV*C@%Z)azK0I?ng=5jm3 zA4DL0(g{O`B4%1GAi&Nk7y@9qMF=9$KCg_@EEKRe*_!h7gjJ~ELS;KS%+Bs+Ui$@| zD=0+p?atNkJzb|hUDw%u1Fi0j%;9IdKMuY-W*_-4BPaJkIj&@G$miz-0{U zYks*pqa$1ELaw`)i>}9G$;q=~|D&QT3Uz9lb#m^@xa%LymvW?R7V|pYJDb04!z1a|Ip3&{m#9ny0G;L2UfXM>jqgn z4n9P9sdCzR{E6IeAI7Pn0CI(-MK?g@hx>Li0DPH$G_20y6J;f5&QTn*ZmFX zJSkNvk|5mw9x3Wiftg+JY3%FyuDFU2Lq7S11Lbd>1l z_I}-VLFXv02n97KZv&7~3)uLV>BRaSspZ@Q2U=7e{irEHd4fk=Pm-C2BLh#d|Be|r zx8Ddc`wz1_gCyB#a7z!*9eark2QbLH8*q;;7GqW&K&r3qTWvSVNq@n#2Tou}O)MRh|9uI-0b==F^|| zo2RCK{8RGoPPyx;e=E&|PtNmlB=n4Ik^3!hD zBVbWjxz7XYP^`kj!cU}JwO*|qjg1$F?xF2^@TXnvhn2R1t0l7G2a5dg0exbB=@v&$ z%!%Cbof&7v(y%!!*^hSdacoQ9JmPE_6-YIsDn80|D9Ric#5tBJD7cuz-y`raOXJvH z5@Q~@XvZa_AkOK&*RIaL*SXrqhx;Hcc;)C+FC~)`30VJTYM9`lh;?rR>>RllbB)=t zPH#;FQZ~pf1K)-njK4!c5U`Cq6gL+%S)d2d>Kyabkzv#>Q1E0=HJ(i161!{S=eGa$#Not|07{X$CcO(mL8dG3y7 zTh_c5#NEkxj1Lray!c90D2~J>!Wr>K)J$#SA~`1$JM|E-PglPY^<{bn@?e*^-yIA; z#RHk;EC;T&nx;y&@#0+`^r+>|_3Qk6UFk4W@eG22w$^i@{aNyEaa$G)lF5Co67L`T zg&5DG6}8OoZwG<%6Rtw@EZe*@3PP{buwS#rNIk^g)&@Q9@0%X77D=3^p%!aFAFDTx z{$6id-VeuuR>jAp$p;Xu^b!k)688s078`Qp`m2ALYy)9jy?XxruI|lDOGar-a%=gt zcQ#Wk_z@dC3H(etX=vEu1v%^b83DWp%{y`88QPejx|AHsmjPF@G?|EMVOUbaU1Vv& zHwxFt$G6#buw4CaFWv)6Nkv7( zaS*73bS8CQ5!N?q8l4$iz;jvYL?wmDG?KDFJ%ZXw&dgk3rHj8gcjA;5t=@SuxZ{$6 z%m26F63)u}5L`tbGpV}|*d}1ir2T6Ymb2~g8VRtjvk>{G!uw4|98<~jwV>J!Q=cmP z2K3-EP*y*1_3_;X3}xObRImdO#sx4g%m;ORyFlUM=c>zlzCOyGzgI6^AfG?+&2k|A z?L#foW-22~fEMkaaN`B%krB62r=}?DLQlwg~s*KvoXrFq-apIugZDP#XV$i4}LmFPF1FC%awebEz+{LaD2kOz|agr#1`I))*z5I|BArH(8}F6mVA2+6wmZ z&owa}Agn1Igg7GaR$nD7WUX|F-knj8DT?9v8!?zznDmo9v%gL*(;O5+y1(B$)Vo>L zL8k4fW?cat-|+9`x>2NZ0f>Tb@OF5}`QC`Utxq2O(-4ILYhslxRKU-mEAY_<%8CDV z?S(>ZhbJ_+A^2fNuXahw|HJ7&?U1XkJNKv4_4Re>r=6$!6^~dX>ZkPCW9t2`3$LWR z#{EDG_==Kgd7cS_Lx(g*tXWjtC76(nO4Z#@jo4Vibs#q3g0i#}&*YO{LXK=$rMswR z9G9MdHZCzgc_gdC$tcN07^A=+ulVmMmCX)iD@Dw9ul_$~=;r7S0}J<@VqfTj>wfl zwT{sOrh?PE;gMpBGVy_8R1R*Hn$R1TJP(lwovr1jNI`e{mAWWHMl@g`1 z`FEcgZD`?iwBWZnm=m9QdDWL~sk(4elA0fUu_pht@pPMS>m5@f4&oT z<2L`?`M#>cS@iF`(Z42BXoG+fmbJe97*ptCbLug_T41wz;DDAvDWKpk)0=B>;2Js0 za+zBUJO=U=zqkH=wz775L-_Ob6nEdu?HnjGSIrJ({?2pn)GAdk6G_>CZ<7%lyEHcm z;fJOGdFZif9E3q+46*wpXypuvR;ChmzPJ_O2De)d*XZl&r+_yy%{y%}Go+)U7wNlB zN8CXnC2u4OO)tJa-t=+q{#zX{F^%Wq@>c3EXBa?Wy?3AbSRk|v%iL))`A=B5eJA94 zzPM7!*HI5U9F;RNFXeP)Qq^}}&BN_GpF_Bj;v*Dmk=2_f-n(wFSS?7cELHUwy(_C@iXg7ank zh0gTh#FmKQ*Z=K4yg~bZmhSLOW(@Ard4iZ#IJh_1sU(sx!(CtVeB0=7KY83*gvNhN zT&AC*v)`9Ib8(+M7WxlGFY$1aK~z}qnZo+&fHDqKCoF*?<1w*Fpvc_ zMS<3_!g_y>ruEC_OT;^X872-su=T1%nIEo*JS~=dyPBoC1L=j~gw?Ke2JiR3E$Q%3 z`_T8JEYV#<>XJ={c`Z3@9t`Su?5AET^|{^rk=Q%~S0Tj;w!ZxTi)hN9^aBbhd~}8t z+^hu4?-Rcrfl_*_h4%a3g#h`TcqS(@#L9XmfXZ zueBZ{Zzt)4x%A(F7CVC0hW`O>oy)}`M;fBc2$k$yZqzL&FS`$y4o1=G3?MA>GN9=5 zyu3>8oBj!uEMn2RBe=M0vTXV$CdIh=P7i>|=isZeSbAwmDIo&Tq;PhPWjisUrZtWD zk@3sGAEga14XHri>syIG($mseGwJ?XoM#=e>niO;;40J6aYuej!KG4G&L_dcilyMWV_OZA^A8XWXAjFsX+QJ zuUsHjpW?nZv^V!&rM%p``$YPlE1jbraz1qxSUE!#5B}4@+bA1GhlbZx58#C%3ewV~ zch%pd^3i3xxkf%CC)B`T6$S9!X(!NLw=MIrO2W}eW2$U?Lu{>05*LreW<(>XCZbu$ z%;{qgw5F-8M##P6Z%dgE+b?Iw3S`q1r`^%`BIzK;zyyJ6NA+N;=y<>W2>KQEqh}E@ zU6vDnT32*iSriCFOB=Xg?Q3}DPJ2jYU^a1i#yZH(ZVQ9{y1Tb9tt|60IXNd@KDM+9 ziTxt`l`-5IWaY!%dSws$fcct^vc}5Ex?y%fEmrMk`scpZEsG{98<^u1f#QVAYx*GX zCzJ*9-=x8ZD|Am=wtSD<_2~0hCDdU7g~0)7cW3b3KuihQ&po9V6t70rb@;i6)wPwI zzSBNSmK)vCjbDE1jdUQ=($P=zszkKrvceZ{ex07KDQ(OgQo7KxM}#<16KaVZEF3977EY!tTXAY)QYj>Tx3s+D8fzdNXal?eIpt2rybxlaS$TRuA9B zG7%AxqNkv=v?bgizGBHK518VnUKT%wBSc>Y_#Y(h?)y1Q#>F9oh6G%ee2t)?VXLo( z_^&K_bl4G)&KdG)Qpco#r^ia$ffVMPx#;P#>5=%zyA(PJkJa5DzQTFND&^k*fz8wAvr z)yc_<=qJ}&vfIECgsH>NC$mNzW zPjkb?DYh0qiA-b1{Nv?V=u*`^tMob0YVoAUFhhDxiZAT+C-^J8__ILlgx-r~@dE2h zh1C=~6Zq?3_n~!Ijc*y9x}|$Sc7oH+hgk8)GmnAKPP2bh!M{Wo_Fr4;j~6S+9e1!r zMzzZ?g710;JI57@Xt;5hEN-=SABrch{Qmps&xFV5M517NXfeM>kjZ&?8C{jlBXu%3 z*uQ;tcAEuuCgI{9c60SD`EHZ+&pUlO6A@oG@o!SrD6;i(tGNFp5b6{V?BwS2YQ%hW z2CXaD@d4@Mz7(l}nkm`}!hLq}mDY1-Y3Uuy@3X}+U+uE9K^UF6g#|JICKNaHOg0S- zLnCLiFzV9`6+xo^n%q5jMS3fXgQiPnfncy1UBf`}X$%Jt^xPS^)JgO#p zmhV26!tL@LZtq}cw^rGZiWVkw1d8Ye_a_XQs#mSt9VNyRX$U$`@6XmqpLMc=y6>h` zp1v--G=Jr5^S<;#d__$SH0LfQ)^_URU42)^CjC_9l1FWMyVqRgf1*+*w3ya{%rsC- z%Mcvmm#d!bp9j<}oSedLmNg0pmu4Y09LcYUWfQj%o^KA%|mJLRzdLdfEkFC|5=*kWpSoK)p#5#DV5tIN0UV8D?6~+-nHn zvw;1Y>EABby_CsTFZ&NK0v@etw9Mq@vqjvtE^2q&vbf6f}Q3CPx*{wXXlDMt_c8D4MjP_t&E`E?cjatk*i- z{&iA3I$43Ka@4_F8>0k77$Tp82|QX)s&jeHbywiT(W%^H!Hxw&IM2b{;YfTI(np#R zd#LOGUe%NThDSzT($TfY>PBZ`G0pt9RhH?KvWJV=n$UrWiI~_eBwsP(I<8}VT}~Ks z{~4Phi&H?L!1r!9d^}#YZ&6F~?fS%zJ0f9pZXWYa$-7kylzIDGX=GbWKiV}=ayv$s zz&@YO9fdBqz8cCqY#1PX(Y>GT?jtnW#xtiOD~hL>GmK`~r+^vmmnAVLA?X=(fA9kr z7#2SWj~%1C-X`L8^wO5Tu`0f~UeE6G+TSLKBQ-yPOEuZYinye z+|lx#BI6^qax*a6xTN)Tx500fWbbst-o{mMx)VFveC+j>(nRN=c8B9uu zi!6|V85rl=m&Q11x}?=j6Y7RFSJm|tdunq`F|VzT5qR^)kIBbf7p*|qcuqcpHKjR? zAdG0^Z*!jxF2i5PG3mh7kJz7!JU#VM%h>ZCX=PH^RBQ^??&jP1h6(5;#D4o^BmaHyC;ATnLNoW3pg$f$YJJhYb=ye;BAjtFnP9x$t!cCnr@f>Qg@AH z^3R_op&c~BQYG(=zy|3@+b4foc!hDqe5L)&MGh+?L+@IV#zICQjbvUmEm{Vs8uR4j=?4rP6hJxoj5& zJywOD+NLJIrDFV(2Mj-#laDw~&3~NZ=6yLZGGj(pUTb+X{EkG@L%PGu^SC!$CUZHz z@RF2=8+$CsPb_SK+e5NC8T&bR%e?8=%Jg}G#_#nv*u2#hLB)DlV})O;A#>o2xxI3i zL&4=;{aX0oVGg;=r89Usd5p7d>UaI3Jp@w2HLhOtB+ILr-w^hRYiaa+II3+^@f(DD zE9#Q~E$yX7g}4-YSYt!e-d8w_z`Fd()E@!jH2wVjhmSZb~ftsEZ zqRpujH^4q>zwDzirgO7<4b%D~vqQSUy8O3&&$0fIt&|r@=xDR&NiV6!s)?#HpbabN zVaoIY7j*-4u&qo(-`SNug{_*u^dP?e#mu4JVZiwse1AI7b(UG{3W2;beiqe3he?bh zLlXBf-MnwljsHDQI;7HK>KL39)ac$;N7$?NDNa>cl%WG$wou zaVso_WthTfeYIZYedU4YrITp8fh%F+azgm~QT1N$-H`b+1Wi1y1f<2@_UeMtTKXXYn<<4`g z;*>w%rez%R&L+x|`3~MPA?s&Mk&^8W9Twh!SpYC_sR?C3oQ|FqPGb8emwi|oCA2Cdg#x<&GxTdN{Xiq0M#+uzZjx@y#x#rO9uCvz| zni@ycJlT^S1D%OC_xOhDi9;hUoXBHK)8wygB&~AzPwF=l z)`A>!X-^~(c1&E~(PGb~&D>GV_0^yVXg=L!{syEf zm93xa1{^m=sJ#VQeKaux)BwsvDS)X19n`skgyi#(z*YpTUW!-}^nzt^qHvDtE|1`_ zkN=i$r9BSYM!hWY8N<3G{ws2sJ(l<-Gl|zD#g~i32ED(?{z$AZo4#QG_l<`q8V}nf z6Pt`0F_TG{UfI`|cB(zgpZToZ>v&nYEuJOf;Grh%pZPSdxcE&Rk9fl z0ueET(1UPnw?(tUR^O3nm(0`17|oeI8Zkbv-OjbvvQ7i`M?W_I z{VPzv1_9Gl+`FC{KP~nTk<2@)7RpmsiWTD$U*Ke@9OEJ`)=Q{nQ{%^#co7X?>#m)-*$K^6ohefIwTkiUD{db%I$JjH&xow?*3c60nNmijm~7Q9PRg!{FnwY9vF zl9XC5PLWesa}r?BhF(_^4R`{OM+UjNp#&FC>!MEJ8TxrLGm-~nwA5@J2SwEt&=tNY zfY9JR%XdV`q@UjCT>{w6BTdbf&IrV=|w&0tsY)k*O=tyj$klV?kt7Yl)VS!|&4cf7n zNxcfIq=920KDLKzBrxgI<;qI~sI`xxs)h})qUpfVRsq0Tq&x_`g+}?q8}fJ{utG^E zrt)VHCb?#@-m!TDR2ue$$>{*!vFBB4q1u#b2nl%5&Vf zWsE*vl}oYhjrlCsox@cw7ZkacZ`P@;;fnmD+e;~!vJ_E2{+xXG3vq$Iqc(S+Qe;tm z;b2}hT{G?MluNAJyx=pFOpy9owRX;VO9;4Dxcf|^U>$cp2fH`e)_#6l1@RyNZ&!-Y znw%1^&Ez>!RS3v(nPlA#e%N2(+s>)0dsr$|Cg{Araf0*^g>L@K6TsiGG%XbK$R~I` zM}?)8$98NC|3k3>f3L<_f)E0;H5wP1mT7`Nb^LWka)3tQgr<7AkV35Iz(1ULXdxyh z<$H9mk>2Y3w$qmxhRn#c;pqF(+$lVAfJ!<50=zGYD+Ob!p#;%}`1O2@&hTDfCBoS; z$ryiTbjIa3LkTZo;L2A2ib>j7XNPp?*Q23Q9G(f(?;{4;AHgB6QXV!J*L4q|?@e`b zRN-$@m_grD8YC+9_oYuOzmXe|h2EVP9s9ORpPi;Y?_0a>9UNJJ{!G@1N>tkXf;8NX zNpQT5SRRe!EV#7==RZ}{Rjm5T4UASy!r*T~{>Pd8%c2lcVs<#C4h8;XuI$g~l_O(p z{Rc=fTLmOj;OLK-LRcnK3A?<7sj*4>^k}^xL8QUAw-WrVb*-#jq8 zPKL591A-)+r-gbejW@xSXK)^!K|xO>m(xC~I=cfk)k#!9WmmW4{WH9XDy6pBr(N8# ze>E+3tWZ?q{*at!xcmliZ+)>rPd(ZFgu1!o7C3 z>IZg_NVpX8=Jx%Pr}g_gy=0$Nk(a4Xdq>j2yBBGa1m+7)sdS$`eq>2?9+#ksFvF(P zUTI9-9T$PZ)M5YSaTe%RZgyZrF|eU|TmF~fd=Lzrd`t7>gEz$3s#UMwB+B@zmPdY9v`KT-CP zXMmGgxQ1o&UD%9giV5`{>)o7iQGa}bse#h;he^mDH*85#V+ zAK2L9;$#-wtl3yL`6n^_mH&L&xd9NR^;~yX&0UgGQjdF8wS}V4?asTSyHUSR=3}zR z9(1~o5|KVBgP6syK~w$@x5?wT*Wno0h5$&&qTS%Xt0H4}LlZm@(>ntkYU&|W0nN?g zfVQi!%8Rg-#~xJevYGp<^u&50-psdwT9d9VR6HZ2E*DQf#-y-T#ak6|4cc$AyROu> zld*`0r5;X|kMn2(pejleC|)qlJ>*yA{DJB(W>`qn4pi zp_&{%D*J&KadI3TK@u=oOYZmlMaAX)fBqUY%&F^*y-=#dDbLSzLDbc?6=`xzuT8nt zfpD?#N=zy=|Loi88tHvFqxo+u3|xQ(x0TbQ2psOv4$~-7Qi|(dHg$Q2&Inv@np^z| zf2u@@BQ3Ia2+g*=(3vKbT;Pb8mPvk%N+34F9{QVWjDvG*Z7s^41@**ii*}u4XP%*g zeQo#rOg%F(zHy2o$6?-(F90%FX~|^1#rM*;%#6J=o&dxo_@$);be{5n@vCV%t>fHD z$G2rWjKPmHu<0j()oKrGd(2Z`{E$iBf&_mVA5Oxu&iDn_Zshe$O?M48IVN`J$|0ug z$wL7*6$mG2(bXNrPIO(HLX`*hm&Tj+kq^ME$HZ|tMoZreN5JFZ+pTqeBcNa z_ZUr73nG(!I(Z}J3l38x*gxzGsUoKk3S7tV-LWG!_x}!;P(HgoKP|=E;JwGVE}XRu z*)Ba9&|LOL_ZMfO=FW$L<3#NezHW0gnE$M*(JczYI-22N4uCk`*?FV(*L1yzW28E#lVyZwzn zhV*VHjd{DUwCCNx*_@S~Egb8NI2{MH(4$K8T<(HhkCEqa_@yu}_E zgX%~zr1P7&`1%4-K8LQGvL$~f7;rs>bv+IN{cIHdLw193t=m{?LOvN2M~u1J_~77R zEBP3fEX7M)T{eZ5_?C`F@7s$UHaw^c;?1ZDS9LpX8r}l8k^)@6(5_66Wf+)iauuX1 zESTvxp;jITyP5nCSYT1f7>{&p!P4f+>4Jhyda)U`$}LO3Gukd%Q%s z)&eOeCe{}E^q7;A0!leU+BlCfM%1H3MI0R*L<( zp-fcfw8xFf$cXo4oYXjLt1P)26J11*6x2eU4a6jxeK$J=_TgOrd~3~GgCBd~Y&<1| z4U3fNAes*a9nGh<^r2td5p`{1!eabp)XSE5C4r*5o7TdrM6^Ks!3z^KS_rUKSn@+R z44Rv7ez4L%3!5C!e%xPqdf+synRS*|tL*9bXogC51drfhe0Wd6xO`(6Dfa$oQ*8MS z_p4#AA{Hk&-4ykuK@|egn%S3gZsBq^T4qdzxi)xmGBaaPyYSXTc+cnf;p_}3UTZcC zn=JhE-b0vBMCaw1j<&irn^qbCk)_=7LZk!F;-6)Xq?G_u^ks4(@yHV%N}U`X321Kl zXaM-lc1rDkxDgUVW=D{t<-0-@P?Nz0jm9!fr-mM&fiOKto?|?RKK38(eI$&ac>k(l zB={~i!>z5Y(FCqvJp%XX$IMiE_3xtI7yZMh2|RFA$BtL)jI&Q5GUa1y0MvkzB<2<7 ztAnU<)6_6o3Q9u0y|^4M21JxE0Sx`u?hgE6yo8Pb8{U*Lb zHznNNnjYuegAR9|4z+`>NVGA!&kXKdRvv$B+e1Hmm}-NJl^*J}bYegMEd-kTvC*?& zo4=&uG%#MA>W{VZg3&-Q3QxR!UFabZfs!JKut=ZUzg$aOD~o;!K*V-B5HmW&F(ARg zQrO+x%kQlHA!Hh_iAj~fESf10 zcDxuWc}ggp1~xEap#Cq;*yAG`9s?J_dKgX=7)feiyIcRtA<3Q@l|CJd5^WzQUB1vts58u0lh} zN^lDc3v-M$FXsP}^=HN52*h^pHRh(6z*^2b<#}q_=I>L^kmt{nw|-x_I-^CLdB8im z^0qF$%;Y>~7*D2t$b+oHiYlt*}{;s$MrUFNTdJsl+~3n3MBx@^_P{=%L?* zH~6@~;)eX`vi51i@=i^#D}5*4KqXRFg2%*IYxALPp%Ifm{A`pzqD@cU+3)9uzUs50lctTfZ}b0st=;SI|FoODf=N8saSQ{)s1LD6izkvJbR( z3%H!u@u`?@%5%xf^>Fg(li%jAVw;LFrje`GuUvr2UlzY(jTGj!aCCzQ-?&)1e43gH zk4)1QQvdWfkS00Cj<;}}g~sK#nrwFrV-~frJ@_iR%^MnM-2q{zTi58o=wVR*H?-nk zMkk~kOFdF!VqzkM#^qkUz}4<^cLl6#6bfxPQohmc6vy?t%d&BPHms+^AQg1Kg&_i( zwv_}{Y}SsisTfYV6t+ElNj+@M0a*?Ydz3#MOXAW6N8ongvZ=p?l8K3lhraFlJeg`` z7R`D8Q}bLZAx{R*N6S>HVysCOTMdH3VE_>7&fJkVGIwxnsXqc6O&l{3x(cZ%`4qS(?3fn-Pa?@a)%4KkGLi$ z(d}N%C$FuExQP_OPD}Zkv*z$+8m0ve98}#%YBehw*|{EnB;xR^f6IvYg}_yHI>suP zoyYm&rxAzlbi^NS7S>^e#>$1!yNl41Q_BOzLkh*SIWbF~`>*EXXG^f?;k`@;e_?=; zZHw>_r#raANqFOo5=uCQ$(|=ncy24Y7?~Ki1Z@R|O*@P9_jn%68q9QF!0CldX%+Fp zw|BNfQR*ua5^r0l_slzjer`7bM&g0!jmU1HzOO~7wuL8>J8^rMV~4G3gi0i%V-ak8 zpgoRhA$$69m<024Ujw*!r+@{b_{r2IDJM7gziDUF{r|_)Sw}VfzHxu_2&GFvQb`Fx zx?7Nx94#f%9iv;MyE}ye0;3s7cXx~i>F#>=JfBKlgRLFjmW?*E`yvAxBCw_5U&DdvZO&n69>|E1XP0y#EKRk;`3`w zWZ30@!}WT2S?qwFRI%;Q_=<20Op8p75VRAR7sFJfF5Nl3d;VE0x%l=^&gU`JTv|zS zyn3mt-xK%CcYOc(poJUY4@|S8%|gl{GD`Gc`srZuFA1=K3i(xMc>t3VVS#_5VvC-M zykY7%{~Y!v3+uiv#unDncVZ1d?wb&?^V3a^PwP!r88ia%jPZt(M{ZAALKG27v|{|c zpO6-p(~aahqpn;JYPuf!@_Xg6O~2+rp>wH!HLQoGoGsr9du^4%#%+5|*VPF(YYupx zP95k`8*Ba~HIO2^y${90EW5Cwq0`lVxtv?@potM0-ute2+7QY}B}bMx`e ztgQI{^x0QE3f8X=idX9Y0Ln^7*2Uz&8B^!&&YmmimAZcC(FDq6AHF{zn8|n{A=aN@ zV8*Q=zDDsOM@^FqPwxY_RBe&Y>*}K)l=94sT6w=bUlAXaZQ+h|CD^S zNbl;@MA&DZdQ&?m$8j%lPa@OqUq06^n>W66^v8%^0R%W`%pv4!8LM|D$qly0U7V9d zA9t{lJm>?5PvJMh)itv)m%EM0M;yufewD|0_#YpD|9gF1keoD9iX}5bnRpjVNz>IY zfM89_DpQG}11>C%dy6OtidM9$cD?aZl6SNdjEA%*=Zt)u&8Lo5gfe&A6jKa`YPxss zwzi&s6vb}~s5_((lfU*J+N!Kwp-D_N?>jUB_q%EDcUES8o`XfYW(>oGe(PNSzB* z>kwZY&eZ8HM2AdglD+-#{>Bbn5BuT*Dw{=SmSTp61R3c>7=z@Q4Z2g10N4fom@e@S zc<2c4tk2!!9aF_D1vs$!@}d1^rEH+@^{DY%d~`@YP~`Z zlDFdc^F=V+e?eeulpI1F=ss#mhm(G}CM9(pBB_nqiis><5k~Mge`n)KmkzAuOMnEd`<8qmZ0w&EKft z#Wn0*tt)fd1f=9lxirFvMzm)*c6nSTdnWQod^!Ccy zId@WleJr%34ZA%NJxqpfm>~h-PS(VMlFEWvKSjC->EC_*&B118#{Mb@%N`tu4G4Ts za0aAflSic)9t9z`heSz}^Pbj0G&q5cGy9ib5Pp`TGPz|2;vZCII&$ruu$+waB@6_yIpNeb_3)3idYb8L2#U#IKKWD1Z}E8kNVZd}Dx(-Yw5T-Lu8 z7V_O+lb<`YCM^!ib-J8~9bAmeaa3-#x_N?*m~*~>f4YiRXl3a7K97VLw&-%fw?DV+ zCcVzOF?#B~31bmFsF-;J&5Ikj(t;~~mC$7F(WA(~%@UTDib^p|Gq;i}CYhBh-qnh1 zlp>63ON+WrR**0V3@Y2cqCeh%HgUA`yWel+r4h2ED)pu{5L%X=;wMS`eXB2wA9w*~Cl;qwPqqnQFIfU34@5ESH-LcuY* z^4PZenDgwuGUk~aH~CSXf<73TwxdHCN2a3IDjiMYUz>Ki&b*ggcp(qKV;3+X)HjTV zvv|xDODE3M=mt_d_Rijg3rVXhY^M>gbK;Z&!^~ z>~W~6qDdDQ5S1Twb8RBreye9~rFFm>KrTK$4YD}lKZ+@A_yeeEa(R*RO_{VK^~6lM z<0mbjAk=NV^YKg7pRw+u2e*iT$oey@Dobg!Ze*K#5zTe|?$q&DYVcuq-XBCW_TBkq zX86eYwP}o)puYX^@F-8i;))xVyc$ufCf$qOLz?PeJv)w*YczkDR6{J?t8@`;`Q)Nu zLuPdaE87a^*&PKTx=DqF_8e|Aj4;wLc^-QB7UWW5eTGnm+B`lZh;hE zPmQQ~+Es{3Ts30rh%@tMl+1apBVc=bo9A;N4V!|s1U`wl7AU9#?(+Z|vEcYjbQSi) zky6NBV!lZjXJm@{?(FH|wPBmvLlj@h*mC=B7d3y|$=Y<#K)@3A5vd_;!Nz?k=_B6t z)M`!TBeG&J?&IO{>}l2S}Wtss3?*szW4o8T_Bg%{e=%zZAL4P)Ow26S7@`Yo6YQ zU@#!AOni8UrytB6l-P_<*EV$U?mdhrXprT7noL*uIIyJf)3UONO$zAMe^A6<+NK#( zgtm^98AylocuAZEs9TO^d%bKjnie3fEpJn-dhN8Pz*O!#B#X$Bi6y?Oa>^yD!}W-e zdY5;kqT*&|uADhq$M)H57;hOFkPml%NsWJwx1O_;&R38~#TIH} zL=3IR62;(yF-qp6O-fKb@+>|ebBUN^Vtdqcj(s)+|l%{n@~lY6{5z{3^YS0ok93 zY~Kx3qC$OpYWfw)ADN6L#F2FGqD1t}m}uz6NciRe!MUODJHC+IZNEDF7cg*> zDWYVzF_=Wrmh5{zfk85Rk#;weF~`oq{th>L@g)vHXRwAiyY4`LG?~eS1)!hQG6=Bj z(HA%zAfg+6qk_b3si?oR5gFC&WxrPS-zffQmf|P&9m}1)kINY+;D6md2TRYv+7yTl1X zNaZ4A1g=%sy%q7j*%t=HmWevER`o`V;Ptgiyjx$9P`sDiXj z8J{Aqr>WW5FxP|G>FH^N0~FEa{vWdzq37YOZWGhhrmF6~C1M#m1sgp*(=#%%8@10Y zJII#tGl=*2w0sfg)HjPHV0ve7=;7mIz5+60e}%T;-GG@S2$1gOPx+*G#QFMf?pF3! zisZ=f8@O}2W?MlSAM)}3zosc z@C0}*`N}q_r)6ZUw)?%DZT6Me!`b>vp9V0lQqj4D`@0MZ!o!~)GZXsF6dlgYHI^O1t;v!Cujjahj z4*d)RWeVt^A&%1LX2 z*f|RGHSph<<~-sA7H>G6sBiBf5Tp4*DTZD?i`FoV)c?sG7oj7``FiqI~KMjZkK=kd0ZSF|6i0Q1PV^(GNJ35y`%wRH9 zU^pIXPaqi*vI9^@U&#Gc0hxp@(vW8pWj8?2GoE43%m)Z4)}GbN(AxwLX)V!*^*Y#Z zZmpXh=^M1R>6RZ-LA2y6L^#Qro9&%=!e;OIDwC~UFW<4Y&e~E7R=a=u*8i|aYqQr=%)(#Xb2DGlOJoSLS%D>$G>e_2-VLQrQS$!XfM` zMn+f8;#a4fAhqQ6dtfCE;*X>Nr_3Iyw@~$I8cplSOGoH-gFEPE`Y<+ziW(1`!}NbA zBBBuOH%}?iky`sRe==&P=1T1Q{*b@@-RBr7Eu&<|wAPA&aN3|6%OfMB%WZhiUX;e+ z5SZy63y0+~r?A+!`mXO>jwo{vOdS^O8buG0E;Sh}1um0ICxNlqcMH=Pv&5XF7k)sq zz4n;_2;>OHxFu^;d_nt?8J@?lHEpvDeE&^|T554ce=+<8>%$cn&XdC@F?M&j;-f z<@OJ^318*jdT;Dq%@j#E$xnoq?Phxv<$&;x+1dL(u{*-{2aXrV?05DLgMNEr zcQMb1$_~=@Q|QIR&5`H%;d29gjY6!^>HcuS=c;Aw4qQB^lKCC>5=Za8F@H(*CqNz# zl`j52wD``E?dqx%qnWtzdm_~}n^_@#O$sWCzZ63%B0lJ?!Z*v#@}RwO0hMrg9Ds!Y z62)n6S7EMO+;5f!`CjF1D9nQY8u!yIAD?1zyjMAwM()o#@k-Po+R)$n)6NPZzbVaE zKEw&D%39;?iM&i&cS*e;x-it{VCR^nIQ!w8khz@R($?AvDw{2+<{>+NQk#Ce0Cx!n z(p`?~w`T3d1IF3k_11r2AowZ14#EUxH;t1L^N2`X!%>`#5%)TqY}WmZR8pL}PV;W2 zqt-Cr%VhpO1-1BMU~b*@XdIn)3la$Fkd%}(EKDvmEL8Al^f)3l9Pvfu+CMOMy2Vt< zj4;4#Gbcj7vQFTGdzhMeczS!UB5<^ey_0v&Q?h?$Tjmt-JL|`?up0we zcF`1m17M_Dl2YZJwc7diTY?5yibj;54+L;+0etK!j*9o{ownBp?as^g5rMM^bi8COiaB)k>pSJ6eIb z7Q6**VPKv&88cwB7_@}YoNcDv2n_xiewdt0Hfz8ekBzahN@vO}>~uh_}^IRDCf zqtK3adRYclJgTsRG#yWphU4FtrsdN1^Nl;fw?h1)-ab3CovQRP8=12^E2Szl?79(gRBxIImK^W*V$R`mLk^lkbYg&@La5VQi6O=&H{&>Mb8pu z09Ln!ZQ@n|HNpT9=akJj^Rta~wUrEQeR03}_L~QC+DJ{SZCykl5Scz4{?Ic+Y^y;g z``J$Moms!_KBY5R2x@nS?oRsAj6&ITsphee3$Nqeuhsj2SF&8u@06Gtih$0?J2F-e zqeCFQG7W^QApik)ghHVr;OkZ)GlhiX&ch?E~AxTHK)#@cHpM8$U zBx>)AUn_1GkeC+iq09Ax>7(;Io+BjemV_S7f?D;J$r$yppFDjDO@_FUN2R~!MyfnOUm-)uIh0R zF_@0EUYX|z`|Pj2l)tzG8c)Q1tWC*{UtF9IZrXiV?f(8%^S=C9_UF&KNP@TZ^9Jl5 zB}I!Q+tb%^UPJa%J@|b82znz1BL$EUyg*57lK3i7#F@P)Xr!e_n=W?8#GY?AsUO!c zN=iyp5Imm%TyJA5D=SymD+8xAVCGldXlVkgliJzC0hDzWzr#Ow7PEVwTbAHL0)6+oWB8G(NXiWIV0&hh05 z50(e3@sx&mt7SnDCy*$ge<&MDR1Xh|7rd%zqL{AO|rvo8lX$Gt0 zTEOvwTO3M%w6lmT0`xc{Nl6BIv=9Eo;%D;Vi>i3Cqf0!F!Kgk#3!S7@KsrKWMBhJh z;zw|Q(wLMY+ax{%J8x?I^8ymST0p67B)d<+I8{H~lIYMzY(dNG+9w_ztbz~lccqgI z&yGbR0)MkmC(#@@hDGgF{TRjBE0O@c8-2adYShTG2~3@(p; zx=#N>BuZ?Go&Z`TJU6(W2^RPyu+j-*bG9dlr_M)7F!= z-7uK@TSVrfHW$maEiy)UUU!ZpY5KJO@iXHP$H;ZE_Vji2<$as}!!4o8??fN$xC%Eh zP3p^Yu;ZeprkW^piZ3kXOgwG@b=0>s`*Vf^g?9CgW=7aQPxes@JAHd8MdNf7)}j{m z*$_3k8%$}RU0$w%$InVhNkL`XmX2K(vuJ?QfVekOuS^3G%?t`nAB8_A?uxLRlq3)d zKO6Ebh(S+a57CTm*A60rE*ngMOhM(N9~Dmu;&dt$ zwGO%F8RL;lZqZd}lXcVA`logf{ZR(2ol`bBWY&7x1&NzCf%nT8sr_!d2Nz|FKEmpn z7j0}a1wAjfer`6{kF-8+u(FS((yOa_q&?w>%tbGTN_-be*@NhLarXV|V)CTm`P69s zm!<*-wvw`&Qs(aa-G{#p{|rM&$b66MYvUz+nwmX76+3 z^z9uEU8sMsW2_Gr0Cba6PK~r4fc`7wR|5h-67t?5gAu*u)iP7=N_W^wlLH!8>py>@ zxW67@jt9n^K?sL09$J=NpCG9WK7M%h2vzMtOzXXtOpl6TcaVn+P+o|vDD@Tb5v4SG z^{B2gTCggvOZyT@c3B^`-MuNyuAQUA>~@LvH8>MrO|jh zjw7Y+q}sk~*z->J(KdvM_h!HLeJ;o)yTe`OS(Bc%0YKpb`X`Zp8`;eeHF0Y2>qxOIIbC5axR5VpR%bbT(OAUsfbd zS8Ql*t5BzY&&`H9YrA;;1MDgtorI@l1FIKs|0=i=+)(#>wimb6&H4<=I`Z`OL1E?k z95kuKH*c}{Zku!1)-LN45{`VRk6;im=z@lQ+KYxsJ0P@YJBs4hfgGb;h5N4qimM-O zL?OLQlZzH<$dMc0%{;pGR;?P4{1>>v5NvH8TAAKdhB&QSTjv;YX_lcH#vE_67g(D! zc5U1BH?C)-@Mvl=evt;va-1e*J&jM5ILuxRLrKo;5&4Ku*3A4E86JK_99l0*$?9I_ zCKfK=UO1k#+r7>nagD%dEi{Nw%LBFNi5v^G_wneUWyR@ad4m8|LPF}+UT#L!>Ph{Jk+gxf$qPw*9Dvmg>JN|0~Ij7cb%v% z-wiuC{DL~WeYmpwys=>z{^jFQWkm3pMG{$)axlQmy< zzXUjjZ`j^=#iqT*BxrVkl{Z5l9NJsMoPGXAT zK(&+Wp=!92va(yt!sNLN<;)}-M1!?A@#8_STv(r6m{Ai?Ta&Ke2|X@S$9URT?Alsw zb^}PV6uLpCIQ;ed0ljCP>1S_7(Pl#Is~0=>(|;{j`4Q>u_8&yTAK_G^O-IS^*?NM_ zIMJlUlUMX-BtGd=zE^K4u(><7>PRqykeDx8U3XoDCeSSb>%cHCs~?hzpTyc)mO` z;kGXx&$K11Jz)yirDu`ch>d^r(YhJ?{7@_G)g$fCd&6QUzGmO0>j3m^ZVHwt07hT0 z@7e0p(1wKYA!Nqyc-Oa+X4%l{dA(t5*NTq@3Ex80@#tRA}M-bKFss!J~RbXp=fP=$kjFOyFqA~q1X@_h&q8>C^{6Qq?GP& zUvdAsd|HB2IKtDj+%nmY4}xV~^m~lc+BI0j>L`;B1q<^!%nRobDYLqER5DLQjziS7 z$zb*H%W7k5E6-2`UMZ36$jApe+ej{uz3nHy`t*8Lex|HqX8%hsOaN-Y9wIkI@qxr% z!(Zk=V;=SXdU#pY^t-30qmQe_3H&K(-w@E~=Gv>fR~PS33|9E4*J#x~HCSVVs4w{( z@4P!IqsH(er%S;MnbRhT#8ei!%??|A;G!Fzteer-OK;H}PC53X?P)RIq2bg`FV^+C z9$rw_AIyUC^2uUK{f*WK>9cK#zy;9rI&)JVB1NT*uK^v|4fUYS%}oSUS~pwhS|(0j zA;hGyu`#t!7caOswQlYpOo?SOw`RHb&#cKU^&{914bg_SeE)Z7NN;3h^z(FSmyv8;y?aIWnjHQ_O~h5!^Na2j-(CFjYk$wHM>%@oGH5JT}h0LU62q6Mo04hVQ>4stH6tBekby1|9t~%}S!Ub$eg^bS!1zH*v9?w+0vVgar}wXBNV{sa(QV{= ze@*4j-zZ*`u%apsduCoWtlbQxH*Xr>ALd^NR0IFkM{Za4&5Jzku`alMxkAq;TL{r1 zVxlucKgL}^#nK#G*>*9J60BAr1QfY_K{}1j3qE|KL^D~*q>2xlYKVT&i3TY7ueeP$ z2}dZ1c^}{XYy%^_Yc-9nH8rz$_uPJDs0P!fY%>|PBNiD@=It~9Jwed_z457g1Rk{RKul9QARqClogq&LXm*A zq$lKnMD+l!9?c#m^EO6n#JKjSf$fVl{Kkq@x_{Dr#YLGYk9M=iW)r+;lv5hx6p(8# zpg!E3s%ow~yXVqGR13g|xk}z9Q_CEG(UUEtYQ1y=A0It_E{yH}vnxC{$@YqgY1*;( zyY#z9Wd+*0PRZ#XpAvYY3Ya3?BTe3<1J*jWRGSL5gpO#iFeJV*3?I2YAo65{2@fSz ztEn)qmex9Tc5PGU9?IJ%JLnHs`;oMGxjiK2z4y@cN-=3d zc<6ZM$N#1|-OYE)*~D9Lp-CilF?W^NWA+?$(oYt&?^@ni^|N_eI3FxvTD{r;8!R2{ zz<*ly_4j^15hgFFKyiS~6V0~`|Jsq~LhH9(yb*qn#|E`}i<~*OiM_(1@ z%lhEj#mQt=E*~r}(?^Z3L}~W@Qe~^nkf_%tUpd|@B9RxEJ3v729io(Ik@nh!DA>kXIbpaZSc+CUTXlHiEz;<(mHG0#c_AZ3O`!7hZdFs# z-brRW|Jz9eua=pQXNo1UEuYS>KLp2%1JbDsdyBojh^!M-eZ??E{wENO*g)rN{pJ$R zEYxk8;qArCH9sc3k@=dVG4Wz#q{jzR`J(IsHW;f&x`q=IGVSUY7|P{GMO`7U=%$b! zYok?-{ABq2ajq7uIA}2w9@R!T*~lqb*OCKKjj4&&uie_%zI?0Ni`Aj8r?(y@oHK1n%nU z0{X0xe!qPC8|qaA!{C%whnpWxk&dqP8yw4r_FW71TIPV0I^35=+8#=X_%#-TH6cMX zoIc&b?pq(OKIDZ6Wg(j7B@_PwrFDYCLqkJ1m|)hm$Ik(!sR>-Qcxrya>Z%bZsgM2a z=msyDi>tHpK!$3i>4YPX|_+{rvZhy$1ow0p!A1;^$DeBP`=M0$0 z`=fiDsSRRPr$KCqH<{Rq2Um71vKd3%1A%%ck-TbTLL3bF-KwByQ*9hD; zr7CZ@1rxp|qn{LWM>Mse4?4Hd8yv9q!6Vu`evYZhULQAcinLYp2!SZ}q0I$Rd4>&1 zi-o+PhKe0+!K3W*M1-ZWmJvWlbOt)`c_^`ix7IgS$0G;}a&NH8y-mpLi0U;#g`bLl zg3o$L{VoZ?_?Qe|S%jT;h7VFKr&_Md*dJ3j@wO14@-!L~&>%%{VvDae4i-|1myn*} z34VtB0?fAwk1Hl`pxi(|UoECFayx-GqKmTpN;(OXJU7nUWgs06&N?J13 zeuh5%`dFa)5|FMWszM*3gDGQeCn={u8~RTGN44r!nM@T7$&vZyVjJrcePznsIJkqz-iX z!eePo9?%nYKhpOGfVHfyZ=E}|BdDzr;Q;E4ZTQDGi@@`WrZ z|GS&7=uoYb{$OpQyeCN?tkhL+WVH9b_j&Sm6Ib~|RlSA%sHn&F_(QfgDnM&4IsJ&& ze}B8KdU^q*$3xqC^LL86>7{$)XjZlag_9&Xkh6FMvXnRW1Hl@ILz6tv+2@~Cte}*kZKDUMk!f6C&ix^b-jc+UUB<-9E|{`pBYOqmsW5t=&?U*$b)Zq?eQ)%_>>)H(vU<75YjnVvazY^Ph;)k@U-ycpqqLv1fCkg5uOd=i6`$JU z46z6wMC|$2I_Co2chy&~potB)e_&U9?oI=_v4h9YE5{FcHYykBF!)nfKb?Fb5t6v6 z&B?^qn9Jr2&)K1iVNcn~(w~XQu~8O6qZK2yBam6u8R09KsUYX95ajaSYj^T0Z3GH& zf)*q7+`E+v@TfEQ742y4WZ8de`HI09y8jMRFcUS}x%>@JTEo8!reIz2?q~R@K#>cS zAZerX)I>Zx!FGBcBT3p{gUks~>oFE?uQs)=tU-x`YKrELj}2p7@7OA=4yBR)_iN!^ zi?9)l(W=Ck#1tObZ5NtUBPAp#y2$T!>E3?3jp$umeYvIIRw!H8XJa#RnN9ju6N}C5 z-xr8ksR;#Q0@~up{p_iI-I8+opyR=ne)a;P-;t7{S_QDd<>|oCN178 z&4MHI2jGR_IU4?ZPO%}Kxr}oxlR2r@u(_%Aqy9>c*}%r&BO&gp-~A;LU>-2wUF_14 zAA4WF!TbHkA^SV%5*|YGKztNihLCV`yek1L9lSc>JkF*(Dc+Kp8hOF*=g6mhoDv zQyuw~qy6z-mV+toG4Sng@OSnlIddG3TWaR1V`^ob$j+-lKtnC!?v-dVk?DU`gGZtc8ojADq zzoFA-q6ZxOo0C<)hwGznF?%$@4Z*gD)AqN07+?Gz(=dO@Q>J*e!81tVbxlJxY|)Bv zFunw`%(OivO`t=OI%a`RFp6!k=USr*olWEfXl!^C-s;M&$UUuKebiR+*T3BOAeN4f zMTQIiCno_NbBdxsG*a%=p6{lf82__#P8rkvFKVIM36W3g?~&&RCrOQ9-k1IO0O0Q; zhf<=ZwItFiY7t>*iB{XmZLlP=KMLVjzb6i5+x8aHk~tDPHh}m~#Tk#fUHhlu)ms%K zzdP$8=PADf`zMHBmlPk5;GOn!!b{nd6*Gh)>d)!}f;m<7y!*n@Z?{AInDDayf@Rs| z1bv=+UNm}{PQbtfr6p$jXn z3+568XG^Ji=x;+qSfKJhk1qIrtw~Zke2_`)`4w&{`*uF^8sdO`-AXj^`6-m>c;B;a zlUYlZNNsWtJ#^v@SM2IJVKX5^SJd@(P33u>@r?-(@X%|rzZN(4^0)SYLWvH^0huHY zKm>-*FAIZro=2kW(sz1M129GOm~5Wh$SX)KHBI0@_z-SlOw)Y2TO@hgqAcYG(w=wf z5dwaELYMz&#Jx`4sYC=D-I8-~eT`j5eBQkQN zs{MYELMfPwaRv2N3cY6M0Ux{H1^GjQiXRQ4=f0X#WX{S~fgeXAbJyQEit~?-HJX}E zASY3nE(h9Kcd|6yS2wn-LhZ7o=oNRPvbj(G7a6p;}o=yfL!X&l1309a>)IRxBcqqEz~sSgM5V5KgU*%26@B)T0f!^nEGO&hW6`6rU1NPIv^OJGHUeu}crPooy6 zfkM}G74&zp15P^5{mX%}Pnto~@sPMI|Mj}9(PwEBURmoR+w349H!E@AU~$#zVPTo2 zU3~(F@3!6zH5r`m(XZhlTi*@tLlH!%m&co-tGpP$o!#0B zC5i6u(!$6(Cqew9Uy<>s)bVqYJj6tNe9EDY8^b6CYIkyQcP~?)y`3bzn3b0fgc+I) zU{hITUkRZU@V;aeyXOQvuHWR?Ur**dc7GMSzckgOIQXS_kmO_q2BKFWp-IpNUXWLN zgaK4_a#+=+zjXWLIHQx`bBwmoefF*9!v@GS9&yL`%P4+{_4)hOoI|G4HRtqazdPq; z@H2nT-fR3fNY2`>pjHGUdJBP-nAJL%^E)ug5p{0H4|OhAT)o@B$-cjxdSL7e-M_lK z+jbfNdWfI&Acp~}Tk8^w+D4+H>`Hbi!5krXgB^7B{b$=SYf;JM7L>1HcxtVr>cGaD z`Xn&$OKL53p(~vSz6xJDsJz( zxQN3VUf{n7abevvZ_^@5;n0V<9Q8)spE9dkLDUTFMxh+~xo+~^S zQ>8EK8#OuZU8D5fkOv_w4pvrXG@Sg16cWJIDma;{5%He^JG1_%T|pu?g# zLB8S`zybggN_odH2m2mpquWusmiJ>nQUT(`YUOWnIM|n_zmLPsW|1)mR0G-(B|01B z9PO9O&i^&>IKPCp9G4+z5MTY)N!iWb2m%zTy9K-cyyM2#NX;)t2zEn>=e_ zw^FHXkNc^YFWnkq$PFB%Cj^&Rl2$Ee4!BsCYRR0QIry0PJn_LESEiA_d0X#!u2E{1 zB7GaCnk;5Ay`6(ScD)K$oRNYj`yEj~WnItS?;_w2?N1Fa*E#8_2;YJ4U5ejb&hwPt zJ;%$$%f%mD-{vJ{zg_JY3c&49*xITHP8r6HUy@)i&G$e*4{}&DYWtPm%YMhYsbDyV zL951EQQtGf&+GEZucz4d>=+)h`9k!oZbD-FisPju1$Kt(_cHf#Mo9fUpl&`bXeJBj z=@3^CXC`FIwg&DS%E5)8mRs{MdPVM|8__F&4mx8*=praS zO%DWq8l15gyRaojWmKm0`!^0d6X~kuR#a^s0>lC!{vPF7&_HI%8NH)!@F`OW{EM`F zgl?P%3C73oZ(d0{JCcSY=N|nNWC%h2=2?PCyTnEN#HB?WA{p!3g5=&9>F!$Wv6jRN z$W_JHB}EuEKq%hN)?>p}cHZaxv`Dw>cZzfNY&pKwO@2-lD+&@gm>&U{^w>yl`w>$dCTtApqW4QoUan4+u!au28;A zUMZpo2d1%>9rZr|%!^!Lap#2z>S=Sbwa-1xmwY;LD zCTNn3?5d(I^C&Tc#=p3@9?RhGK(s(%C~y``k)nW`$qxX~z|?R%`H3(_>^@>jF}|h( z0(DZmIHd@&eeVx{ru?q8H*7SFpukdKWB4K-lEM6a5^IwUdofJ|g|==pv^Pwy+~QwG z)vAIzG&_ZNR-Cy+sz`&`bknsb@qK-~G+Lexz`x^r0dYaK+)5M>`>5(ZU)tVAvzZ*!$RDKbhDK z8e!Qs1|6y`4yhV=m;j-ZkK&*4op&SU+b9#FHSwqhG1zLmDe>daS_JUGLqbibD*6#Mg6In%Ov0aR%-w&hIN zpN6S5sBWSiALYlXLWN~dZmT;RS)W9*`7mHj#0&BXd7F180&B$`k);zrY;XxkEM72@2Vi2=VD8S7K}=r0IDjP_`#hV`CE-v>l~V zWQ9iUA@1SNFNaU=sb<=)?2%Q_YtgcAyF4oiwFs6n6CIu;Fn}Qz$;gx)G43 z@=sLM(b&APX(JiuJo*YGwYR8W z*DF5n?I9z!ktoKb^Zs$z;IK{(O2cJW+#8<57k4KTl7E+LQBMwY{D%uq-l91t`^R@1 zV$UdmOB>ITee6|1p+*B6WU;KA_sC?*Ns6aEb`9Q-d;tK3tj7pN>R8c`(>`}UWv|&^ zA}czy$RUh4L?BW2YWK;uUol9*psx4H#3sDsb<)e*(<$#!1<$hBMW)N_?^Y*Y6S_Ti zhL*#9PR}?-zC;cyk6pgD0q$C=b9EDOF${NnLGJwLfDCx$q~u#`Y5r|P+~)^!^J0sT zmZhF7?EL7&%^e{p%@7;%X4rm+RvCMl;yWRHtp{2fTdCiU49ITkrxX(0VT#I4E+qn1 zlW1aaVhNglm5Cq*NE+uR%I8b}qA98s2?Veirzf`bIT*>dH>b*upzi;|tm)=^&eC+VZ`(Xuu$c7O^N8#f&$XRa!Xa12>SH`xIz zU&cT%fL;6>3vb#7CCc)|IyVqP!Z$omhzn`RO?GbF)(QPGbY_GHLJFYCCwMQ-P@-R1 zVc6!hJqV|ldrfBT)Fk{(6*5O8K+Y!~$Dlw%rE1Rmp0?-<&f?kbE-OOvJJ>t1wq||q z9I|_x#k_jc2DWy@%pJ>f;VVj7$@*l9R@Tto*78;?gOY^$L+xy(x$^E^$p2yKs-v0= z+x|ljkd%^;luqeJq`SMjyBh@Q(I71?0;9W;lm_Vr=@>}&xA%Kr&KYNa-P_L2?sHwg zT>9?mzJ6}7EAK6mrk&QqVM>}dP_-1&H|%O4p(Y+?hSNa)m;SA;cJZg*lvRk>(afLC zs*Zhk*~5RB9&rOX4C9=Z9?JGa@(8$x!^#<@0(}aV!nux<1dqS475JPL_;_E0@To?w zPV=!G&3&SFhEes}1QI5c=+gA`OW*!X3JWtnu<%ecaPPDnyXPPq}(nTWiB$=0U!!McODaDwC?BR9a^@zc4&cZ_i0Z#a(w6xx?t%a)r`4 znvWs^ukp}zP7jCR&4-@#jo=$|iM!yJNoXf5p&FLZIlmliIFKR3pjoc5ewlZBvQ+;F z>rsFqB9r7`Ofp?Y`T$Zm?rd3Rx+v`KgKu>dOliM)^pdPtcp}Vf$p}MGlVob6)X;GD z_xHa=RDGC{vn9x$fvKsZIm$s2wJIKl(hO<+IGoft<)vfDlrb_z)3AJd2^tC+MI>t+ z*%Blc_vHRi@&>$E@H}J(RBs7qp-9owBOa3ol8}imy6StxF`=MPL+p8z`m>cXYF~wM zaGQ@&lSE7bX_P1tdq5j8ys11E(=gYu3z`rg&$9i2DyEP=hHAl6cv7BIi%O0yd17LM z54^v(=hm8|h6cdWKAfGMwXOYayS9-t@0VNwn@b9Us378wa0-HOIDCrd3=@AG@nLK| z0Qha;9I(cn!kGfLhND>Nl3I+4hYMbIMJr*KYtfL`b5q*uT6np{$Uf``eIMUbV^Cm#_97BS}HSJB(l#Kl%5MnSMH@4OD-yU5Cm7nA0@bg6Z2?`9aek1PQ$P+=@^g0hE)2PY?KlTR#wTSIz>5d=d=gn0OcQ^ zq`G5C=>#&q*RjToeDA$`5^WUS;2?`qkq&4~1+SCd5*^_BQBo=H02MnVMV#N1QY~+P z!7lBv{Lp|0%VdezL^TGnMRv@bXC6L82eU3qg*0S%{^zCnnlMv!r|IcBwYsR!c7)x4 zRe*L6tF_r^?VHT>oo+azumP}0SZyU@>ij(IwG@l-@Z^}%dtsixk4mr~^~1Fv{aDX7 zA0~qt@QG4wUc3lL5Rx%sQ5lVtd=sY(@n6~{%xiS=MEp1WR#{m|;Y0Lw;C_5{Cm1;E zcnvl5FRRZag_Bebr&+$3;UPlT$>v*ffd8nOP-?y-+n*CYScu|FMS-hAP+neUr@kR1 zO`7o595GEzBBsG?FOlD@%@ren>l0>yh zKQU~pShQ!z-#t%W*lB)IvuwbSaSUK^^ zU=}(n-|HZW^J=@db23etCP~5$A9svn+lM@j0qgZT%TYz%wFc|Syy=3^t_I4IO@9%~ zbP;v4KIKbv_N0sskFhu!E(JhTvr)v@hQHBdW|Eq3ArZ9)D?ak>*@WCdW`B<0_F#=GpxqNtVhR`RqI92?GLOm<@=h!4m zB7VYtAVZwO^-NZbUHK|2c1Z{VstTo=-M_T9@KVhqKBYo>HMtV(j3}5aaQ>m~7y^SU z6NLW3>~0LH*MYAO$JNlYGvtudmFEB0JqFA;klIx723%qI-TbvM5cTd20_EZdy`X=m3 zNo8Y*{u|C{I5!o&Q5GaW)r9#i6r=#U{5(}h48RVFLHHhMg8nK$9uM2Gy+4`*j7;3UGw-f-Tv-k~{!uXECtP=|{g)X%>S=oacJ29Ze+*`@ zpU4^X%?p&x>1CfBGx)f7n!8ii3Mc8J^*|e99C#XG$kfgmy&JuBfbNYIblE$76PAsx zk#k-f{V*81n*VzAZoz0Vt!w+{xum=Ma&h)?udWLcv3G|`r?`4Oe6}R|yxk@E@?_a6 zCP<8xFh?p0JI>YYnB#TX`0N{fL`2->?3sat168doS}Z|8CAgZsPGW>ieX^v#zY&|y zS+~OBJwUrZL?YYBy^(c+04K985$c9FKDp5BC`dgZ|N3t;1*YV7fZFI!p*mw~6q0JU zy~T;Z9&$aZxGrkD`nRKCrTl1>Jt?rhX3vvis_K%H8J)?HRz}G`KDAZ&9*GlH8Hj9T zB@&mvTo3KIwy$qBusLWb*dhEIhYGXv@sZuJ^p6pJp`e}(^DzQ|Vu`Te`E$-sUmn`HU^u(6f(dZWEhkY&vJ7eG2KP#4^T7Aqz7Kwwj?AaMT9jkW z542k-7}m%S-l8a1Lc}v&P}S;p6aNuK8u2%T>$j(uuqgdQCILh%l_uiNS6LfHvJqFq z3>JpSH#Dvmp}42)-|LF`JxMh57$nE8{c=g2kC%=G(Amj8kmVr&gv*+1rvsrqEE&WV z3)Kf&Am9si$-RpRiO1N4;;nb1f%lSr+p->v=~B#1NlB@A&;p%rC7DJHa0hmRj;1u8 zGxx1ghU5pMc5sl*x{pv=~^kx8ew(4qvgHk>Pc8g zNFWZF`4Vk%-D~$KG|iFa-mfz!o#6NyS^ne^lhPi;G<7VaqWq&zfeI{pv@9l$sRWjO zi6?1jXb9d>P*7lZuhkJ6Axw*6Op{w~cQMpn1az=P;0U?PLNm5YBp*cb5HqlC2YQyx zBaQEFw7Z}CAas%z13hgShJnvb=&ZT9c@BRnU~aP>10PVI_07X7BDF_vaLeLeT3 z4mFE6u{F#(^Nb}w8?)4oIAQh5k67FKAL{|EcBy_ZH=k;26(3F!uP zj`_f{aqNPSw?n85%eCf1L$7=0@lw>Dqm+{KgN_4UqS=Alz5aPYS6w3)Nfg7d{=W}| zhOUMiJ#9(7zx0j=Jm@s5HPG-GN3|F*#KsuHsMPc*a*sZb!k1@bQ+3M(saDLjwY5Py zZpAUq$YT!zRzq_$8-FBz;wESQ{W-e#TJyzn?0olwwf;gS4-U{XW$sPa_^(a76BU2#eL%1C;jouyf7;-4 z@W0Xc%&*yoKGG>Q|LS4|CR=Rw2}KHoqDT@?9fbE!yBqe8VJYJkFXx7jUC#-P<@~3g z9dVa=t_*Jkp-%eU@33jTp+5iK2CI>!892}0ogl^~eVbYB;NYnoV!MV%_XnqMJjLKw zw|&1vzkTC)Fd5V1@IiNu%ph3y*1F4|EAG_Nx`~{uA{bgOJE<8F*R}UFv0%7-844pb zBm9vUZY=s1yUu3bV|P48j~GbdY`2o=y&OL82j75;f?sx@ncCsCXGbde5v6BCw|;Vb z)Q@)VsMKb7${3;x$N<%!nok@vv94ZgTJ5Jlu@&lkt z(7WM!ENGT08liH_hXsjG(t}4aF8c+(8zpjU9WQl8I4!3I(@h;D@2qgDUWBiv&##2D z{uqA72HX&R%eaIEJAaDS7q;UAQYibs+;T2@-QnPff(f)RUi$5^qkglr%{5pMA^qFrOq@Y#~ z!lAJMkPF^csDXOssgnNX5U`DEl6_6u`StnMdE;WWD-A(-sMHEPo<3o7yxwK*v(Q!a zh^g#(4N>_a@e|iJ`1hT;7<1yxGD0|?l8in44C;OZ7~4t?e+WzH z!oEbMLWSSezJiy>(3VT1wuDmwcZ#AIJ4BJHCq#}r(u`6`;pg;E!wdsi1%s*u{ak{G znyT(uxWsQOJH?VXfM$3Ai@g@%kQ9@wh9ER2-q4W+1&jRcji3NJQ=7jou~}a{6EcAK zeWGx|+v=#|7zOim5>F6Bp-|X#qji%r(z{hz3pu7ltM??ecIsMP*=TniP5*+DkQLtK zwB+IAW98PCN~4oNQ)Z(z+L<84!E1PSbOt6?txCGfs}2eO08XKa!JuSJOJ2(S0H&63 zYe@NqD4}*k%m`rH$L%Af$$5Ht-7KEh){dCllcbGTOwvTe|Jb`*H}L&^>EQ3rF>Fyp zFPkmHV5Fx<{-F@&P&Q!o9_L>0@b-?C=`;$ynwCaEL0MT|zQZ%V*9fP$&gk9IT(_cA zC)hur$mQ#ioXmP#4{x%EUoro54$&8V4SwZ&b9@+&awFvby0+1=Wxamm4!z5@B^i

_$|l3cP4%p&sW1k5 zeQ+Op{y6T|)RfBVT1g{>ml(WL{DoYpl8%-ZCce_w*UQ5DWDkUbE+Y!PuFGEjd6RcR z1!JA}Tg%AXj`fXw7NCJwm6xpKWJ2GJGWD43voALWX{Na36-C)_v46$M6ep@ghX4hI z4I?fcJssGlLq*V%M# zeax3p;UFeJOe0-EdquzN`Oj*>KKau*9qZiyuS3@%OG3a|mwV8K_d>@uhJUxO|5TSn zZLP^M?BI3nd}6YIzp`|ENQg>(J(kt{uL~~+Bi+vu-zg!V9!nweL6_8Q0gq6)&E$=z z;o8WvZ-UF=3i}~*LeSzU-zt5*RQz)@E0Se$ z7#AeJU+$H1NFc2UxTVf8^wL^kA@`~4+!P>wTz+2X>DExx@n<+O&W7@ zsRr*nzSpm3R0dV}eZ1e~I<3oqXWPP^rIWyxs2e11f(>9=cwxL9dvW)zzhl<(!lWOi z2c>T3^uJ8_HHaz+0Alvc+GRY>LObKUhKj(i%ek(umwf+G0sD)4Lh!`+czai~i6w|$ zQQH&{la!_AiM}@J)kMukH*6Cx$vyw`gQ8K?D2LyGt4Q%QnGjoB&Of!}i$iRBe^l(C zG&<&1$l-8dqn6+@ZJDhNKltrXbmP1yXD3s8X&Ifoy6l%@`w4??H^*0nl9|FeUG2_F z4t?P|Z$_6dp2yl+N41So-)19ZZBafA)FO#B%`g5vPojpod3WA^HpOF_)c(=Oj#pdE zu2guoes)~l?Iam&Y*AG-Tmpz0(79h82kp(blfTRUxre^gPZR-vr;drsI^@RuxpepL zHet^K6&)Aej5ftn6WPwjp%Cx-$^)ikFh{{8rH;iZmk5PgJCfqZI0_pYUQ1P}v1r@j z4{5qzayAD)Vt$&^vzPYol0Oi+T}6kz$MFV#h;hZl5QqJ)g}bgKxTtQ|ZtkM0s2qpK zEamRgpew?{b$oQFfnwWx?qvZtYv)73^F9fE%I2+A#m1H#CgkjS3{oOe-=`7kIrive z`bJiXnqiLOw+}$LO<`23_$Eyyij!224Mt~G6fw;YAd1wI4p90E6o%6+z(G=~~v!&bH9DL+jw{#Vv&I6ltySIO1}m@ia;wGvjtz1-*p`E#MbicWAWGP)F)m(&V0> z5g_|I_V`JSwBw>#t;1n-)m{yj*`wA)^vj+8-Z1ACuH=k^RJmQrw%^%<=P@jlFLBCq zjnAQ29huCqGyi4EA2#fB?OD-^{zK`$AuPm-Z79Ztr6NDm=O?{JX_`;%S` zi)8kLE)Nm}j|z@$>QyNwmCI)%@b+}M@z}H4f30v3fz#W=LqSndvtkYm1{<(8!zeBH z34ZIfHcuGoZGe_ZupAA!+)kAB-?XS>TfJFI=)PaT>&X^5k7au;nhd_x4$6-AeR2+Z zM29|FEc8)tJhg62cvju1Y}f&OE-M?zEK^x1)l&~oyrR!Z`Y+mS0D!mB>l`8ddQ@Qw zg0401WKKR!-@Y8JkV(Q&j3AhB!AK{Il`Jq64}fRbq=6{Lm)easma8h6sf|nfMKm1} z=G|Xi^V$RCn+cMv_v79hm+M%K&I02r{C*xe^!xtz8D15N=S;IIjYray~*Q^8C*@;QBY)DyL6J>R=(aFMnClCRizQlDrBK(~h;SeA-&! zAtx?>evtNt9FG#XE3t4HjgO`8=m+!7Y~VNSt@&9 zQNNDb#Panq&i6r{>~RDC5gwS93R&8Uw#>@lg1`WopaWHHF?@KcU;K~8$DW2kN%%Ng zd@K~aLCMUi9sP4$KOq(cwvv)GBxPd-+18eA#D$|iP!aq-xqBNX` z!D=nP^C9`|`-N#t5^Xp!-M^Q{o%O2CtT+HVy_GAMowUEe?97ZFkb0d7toWCbjTDU3H#@=|)BPz9qx&igmbB5IsN;F_sG>+UwYyK870APH}Mnj>2Cx6 z&f`lAcSZh&Ki@_=|LZmF;Q&aheq{Bf5Nu!Y@yHD&B2sR&Yjm}P@kbRZKM0S>L6}V z*sPMU<;Padie?|DCfR7+lXcQEyb>cQ!z}YS+n>V07PU72f!_&1=WA?UTCfHyPHm%0 zzl}*6S+ei^@oRr7-CNSGkP8*-nrgdkEjw%9sVEaZmFm2yVhh}6 zdpXOGOaRA#VkI@ql6qSp$cUqFz9ZsAQN{nrj;26|WBfD_20q@6-9%ctVjj5q zhM_wWe|?So=1S_VSy)&&IXMpzvv&Ak{>(SO^78V?1ihGh7}0^}3U~FQ3gwfV+^GD0 z>&s{R$;B2m)rOlaeXAQ`e0>;8tYvT)quse>0wDxU&I`)C1Mb0&&X4E%T~E_Pq7Pf; zokenA)Ks!boi=Jtmr1Hwt`LARvSoDvo|#(I!jJR|lU~=}jl6WJFXL}=TlC!AS*(p( zzhYb&yWs$DM6SMhxA7$Z`_laUkBt@?Rt-oABxX$DNFn+yKfx}eyV45n)$_V_(U-n; zseWGL>3zG0L4+bQByR={nb+SaZQtgMU$=&xU&h*En;V4d>~D$@+G6IbhXY^odXHJp zx^DU)-H#JVDHO8_80L~VFDFco&ga*puLi=^OP&U7)7Er_$szR;5b&G3 z-qCO?`1Rx~*zBzvR77owS7!w2b);PWw;Xl9*?h36ODPyqp_^SqG) zR&V=W*4O8juagw4wO5j!sX1p$7MrRMpq#k7G55o?(u0u`i+)xUSVSQd$SQyxYiO1% z^HTr3!D+N1?HR**U4J_%aNk@hRqXx#ymdwJqj z`(ImrPMK4)JUiiBurTD-jSs%-0gsH}hLkmuhut~q?p9y}4LC98A?0p|7YNGEP9!$E z^|DM}!jfPmK3ooZ5Hq5ks_ZZ&7tg2f2DDLc$d|oqs_BAXvGPcv?-$+nddz>Z>0nM8 z)s}~e=<`*y8-F8T_x6~qM+rEcT&R#vz^sDW3!1M<0U%0LzlVuaLsXc4E9##i5Q zQ6oTz=W8nMr(dxDVE?$2VQ`;S9^H!lKJ}>#FG(QNdG)FgBo@I>=lw?{16y zb-sE~H7ZI@6_+k59BqeB3eX6bk*o>8VoWLSm^&6>JZ@tw0 z)B5Xn%?k=O*tew2%GdSWJzk#AmBs{mp2>ZVQ-WU)nm2;3nO;stvz=ddKi>x2zKHq_ zo#-@*a5wGYI|qypiR6l$L?^tS9dI`9JsSPKt`P8IUT8nE?s{RT+idp1dReN5cAkcU z$6eHvmEO8&yw;1}iqObD2i}?*H^2Ai(fPRu$;^X<;LCIKcI%f} z%2{!FWYVhN9HMpB!-{tAE=G_EaOdHE)VcjmH>^Pt=N2@)B%QphckNS8;SOP!vkOyq z7`Uy693vzH+QXpHZFNqSMwUnYp{I@8S#+&EzbJYfVOuA$>0F^D)S%(Q8Ch97Dv zc#qN!r!ygt3BEXH=|bITxzbViF^crGMH;So&qg)5N>^F^p8gt&fkCvyV?KIPI?94M z382P$;SwRRQ#dzQ_%!o!a%EoiYPGOA@Nufy>3Oii`1yAz7@YUglyt#Co<<%0FIml# zC&At@gfEZI&>f(qwOVMb;x`{}E67|T7r9HNneQdwdLM#fGP{?oMx175N}wf2sRPJc zkO!Gzg}JVt6qxV$W4=c~c~Wqh%sVY?aQi~mkiXQg3L1u2bvAxArluh*Fs!UOPm@WTGOKpW95T;Xz4N2iR(|78l!B6C zLqnsrTWk3e?%_!~hQ8P74>EqCxpb_C8?ueq6amK1G&00XZ+A4q3=lIF44kH&Y7;9W zdS4`A9AoL!`eps1&C3n-|Ne>-f@74C_6hl zKmWTSSJn){)A~UvaM0s1tYy^Cc5*Uf7>n5!4hu;p-KklzFgUB1ol_sip;2<12`K7C zh#@M-za5YGR~5p+!x7CvJ3s>7$zhM}{C+MkvE6@Nb5*3|?{#F{b#co!7rAL2EL1(F zmrXN5b;_RW#XeX}Z8Q!sX##+a`+vqn>=}+1c%r((y80@=~b#R)q6L@ZT5w&V8f%tc2&a?C$$JV`pK`g?hKY(T`m> zU-g%Hi$=k&MZsXq!+f~ctX zI8rVNid$M6Tu9nlCf&`%+P_db@~1_7!>aYyyUp2m2~Cw_o$MNLApug-sBg`j+q?H| z?t`C3)Xh1!7G4huHP_z3eG2cwe9gv+ds(->tvnlNHT%z5ufv^~l8JD$EMnNemzzJ^3FMAwJEhx-^#z$k%d5rEB(UGznQYV#hPGD8rR+W5xC)m1}I zB_sqliB#ncUW|Ao9$eHk^Kwn%yqvvKp#~~SBDQS5nO$v7Ppdp#x>B9K7>$8WFZQRJ zLoXMzU^MJRmXw+BES-M#6u1@A7&VtwPHiAO3l}FzkrdZ*r=Q5YYR8Inh)$e`$FoOr z46l*tO*k;m_hzhkQ94=T-4{|~om#)sKUWgCbBytJf_^aDSS3$iKvxa)+ zA=v}aptkE-l1{>j+biTqP@vY}#PwdICgSwtdjLeo944jlcr8lUkxkP-9-K&I;6d?G zX|p$D;9kQ=DaPy8JV;*C%|!La%XjEwXJF?nC#Up~T;9gVk9tsB{mfaG6m|7squNE& zNU$or%%SMH+BIkiDYU$By?D|YrlJRW%@;?I?ZgDht(d^NZEa?N6 zVSuCX8++4o(}4@U?Hb(3%VIyc*haTsy@gr=PKy`0?gva-!ZY7)YV;~13Gkv*Mw@7^ zhr{4DR7neGuw%v9hAr&lm(7($S68yScOQ-mf1I^1`Bfpai7Wr!b%PZ(8MV6Y9vi`)I;xc&e9B}NMDz6SuhDZW<7~49yy^?b%I*S;yd7BrQ zsq^5XT(*Gi1go+^n z3r3)4Y@D*TG#QCm$@y1wQ+pKlQ1m`wP(Pd73jfN>CYC7CkgUCY@7&S3!}Go2W3@nV z5!Cvt^`3pBjbgC1bgwWwi6S_`^O0U)V>WGekhCH_EuwtnA&+`4{U)vP_qny`)r6hz zjWin|fj4w9Hm1Ont$3kEI&>c(;_0q;0x2H4Kg9~(SqwF74%$mFeltQZiXeftc0bm{ z_Iyv$b@eBS+~OA_J=+iE_;{rcuJ{0jO=JMM4^kA<`IRG9I@rl~$m>uSX^e+?55OrBbYnx3Da1X>4&owSv|J}elNKAYT_EVeaiejEKIy@&aE3VH6G z%SV0^>9><)7qQUT^KEI!|Bvv%HQUABu{u2BzE?(qMK^7W{D5q*7F@_#+5>-JsH zg+44!yg*DIUvPm{4_#qs2*7x)AmZpu6n{*1esRUf^zc9O zjujS}cc|PK5_YYuHaPfntn}#V9A=mUU*#zE95dmV8Dhn#;AJS%KVhqeV0#>;n!GW; z^y^D8R^wNTWjP zEX*<%gO(_+5%LDUXJ|o3HosA2xpqi4(B{J@S!_7G82l1ac(JOGDnK`0iWvtjO5AGe zPj$8=P`S?!*@vMgG?-;pv}pH2D||cc2-cN1oSAEECr8JJjCe8NoiG*Kw#D>GbRBWU zA4IxvNII4g4-=RUqot|1>7vU3Ho|$iT z8$aq~BX!~eG)pzW^-4LYZXxf#+ee_nvE$THc}@~37aaS=e~l06amA?Fx!jvGY&Fmp zPM53V(f^4Q;UGOPpIppk%o$?;h@4t}LiP?n(E*~8Sw-*C$jXIVl*Wf)Nu@Oi#scft z_VZ1x%x$dvmGr#5W~p+@`(x>5cnfJiYda{6ov$`Fu3l(wYlB73fZq!*!>Vb_K2sQW zB2@c5Pb!1o9d67)7pJn7Y*PEVGQ*Oqc)#yS}|+OgnfX z0Oy;fmBo$bLf1vR@q@*mA9*)p3;B;ndG$`DtF4c9v6IXVJ)>}7LpJ+*5P&75@3$3E z(1njc=wQ!JxVc_7cwB_C;JD_t_0LKaQ-`cy=7-Q?LW7i@?+I9(Z|y@U@_KZ z()oG)o%2H#@5arwa_5s`aV|zR>|P*;lrXnP@87^{I6pkm<-PpLpTwFsdY(?0IN1>K z09G9e9#7lJePAJ6UrbmaQQg9eD%Hhz~Kd+hm{>=Smq*4@5;XboNp9D04i z;Ds8#Mni>eOS-f8h&-Jx+}EB7yDpXY65`wWi2{5w*a@{NuhBONDN9~+Z{ll!B=(lzls3P*&I)D`6h5pmrwe)RWNrb>_O_%-7BB@nt4Gtu>!C zhGKgx;7Q-vh@9>zJAbjUa8^0xx;J_-|K<0*aa+(FjKBT1{FxtZ0qd_f8Efz<3bnKE zK#a2p`@>jha#X;-8|v<$*{s`c&)L_93-rmx;Wy_ z(%r&G?lJw-~^Uv3l=!cnsPJOLGcuN(Y& zJ+&->1B>dh+ZaI#txkD%%c$)~Kxy7s1F9|~YA9-L3OhTxipK+0@akFoI#-u-3+fl-a zw&ft~@&*G;E+BLw(1nlf68&AZTI76_vx?`#vhEZ z6~M}s8#V7$>aW8nNCv;BmPMCZTLcJ64cGWYp?#;cffM@@8}b$Vqpk!}ao^F&UOYl| zr-@`+s04+1W7~dt;SK6{jVhZEu)%wvk1lVLzzxBWtlj%$S0`SXYpM-0c$4!_Pjj)b zboBE2Un=cQ1AIv4Zw--+`=`(%K#Xns11@6d{KLf%dG|4--RSv9`}XQe=x+1NeZY(K zoAJo&<%6lDr4iRq5QVr24wgi$c#lRyNW;!B6$Uk#yAiw>apOZHZ|5_LchHB*1tsAZ zwvNYy2Cu4G8MJ9Ckm^yl<*X{Khb@3jMJ8|G4@`o}Gy^^+GRLXaJyCweRw<-D!URTEhYlAa*QeAA zIUAYRbC2D;sn__-7oI`m18d+yaV?ZQzta!G*;;!d&$&hUEzjp!>kj3_f>}mhRx8e( zwt>>q+*(GyK?@)B^@D2ykJiY8oh-G_izuUN)rJKkDpOvtY4-~&CXa>u4KLiiF@la( zqm4soiYyy`E`RFSNB@&{Ir{UdQ(5`luXM|c@b;CCfmxr;r9(f9M)A?) z_Zv_CeRObm6mV;)FGfVHc2>_}0FS0!&6wE-O&c*v0$P=*{+@C_K_1m1szaVn#gf8m zLbAD-8D2SHXw%^j8gvqBT3N0_HN+?$Qwf^Bfs|h#ux+O^Z#2?DxXd5QSwc!^d#KbT zKm^zqcXF&z#Q+!2u__f6z3pLSIQ~k*szj7cW~d}Xyabwx7_TBUgr&@oR%!sAiWxjp zk_ppnPv_++vACS{ys%fk{dqAmlhkXj$3%&20i=vt8Trm>Mw#%VAzgrF_D znI%gN-j1f((P~c_Il28Lu;_4Tx_i1r$@i%&Zn*91LiqKI8lIg)xc>FPB@tt4aXDZ& zuAYZi1uFUx@t}xSQUEL_s8oXMxK?*lS3gg`aQ&JhI*GyN=D(8QH**BO>ds!CXYIVd zn7&`Sw>38?A;m@tKO7ufj#du~bHh=k*`i@S!iJ-G1J{4qIk!adYz2ez^BjPK34NcF zbCth7tv&9mf8!T&f-QVb^f#V@ICxd6$Ex_u*G4CnaEX@!O%5V{JuWy4>_1(T02KMQ zu5fJvE1LtJzrHQGl3K09TqnN!xwlud%CJ9gZ|{5oyoTZ?3|Klkyep!P+ImE>Z?uom zrk_!jma|u6*4MZ<=*hkymAw4ZQ{&svgy6^g7byA3+Wq2o(j)SG=Y`|zBvOX4sGEl< z0}8eb2vvmC=qZC728wAC9@O^pp#MbA;^{KIc+Z@yyUP$td?Q*kT; z`f}&~`fu^>=UJTA4}9SU_lH6lCva1tACFVQ&hb>h27QeT=ATdZM%OLVP>d74C$p2h z7poN;RF{^r4~+%WBEsOoW%RbMWyH1Iv$&o>SCumAe{SB&catzJuRs85nJ zDW`4sX!08;xZe2(zdn(_^zFga-|mmU%%5|6p|8)Eky1aQZN6*x){R5ysMFIPlPM|r zEvM&V+C6V#=^O~fRE6sjPV;iB`dh{Pl`ikzDL+55jKla)v{W;d@;y=zmD^jTP|Xvu zKHA#l5rEj_*SwxNTw0W5gB<_i4=f5Ib65jhs16{9TS2B6LtqV0_#lKRLxC9e10-8g z@s0s0M9&rn+eA`MwSJ%|3S6O9+?XC=H4Q(>cFKuUz)nsV%}hB%&St}{`WELqC}ai= zU`8*{ior%zVH2Z;OHIW#LkdAcWLHED0g~{d0BjCiAQ>A0hza>{3NI)HU`JrX#7;yX zGdpPaFNWUV8fp_sMM}AylHCUsXjPygFo;vU{UF)`^^fwp9?ZL$Z)|n&HWQz`UZjDf zpmy+V5`Rb5fG_jmVzYMn-wut-&H@n#|7jabjaZDgq}Mq=y?nVx0#PWjQ;V6b_rE=P zC$b%;MI6zpRS~`DN5oOT!-%6_EnUTOnzvt{cx+cC1mQMIp|D+;9V^Bw3lgKZ1{izq|GB~od2@9u>57}iWTvow3yXOdFR7GmIIefe z>Hh)0%lPNpsGsfv8T0tKqEeeoF_)`=a<-ERIyJ3gt+vj2T|vX%S1J&4??(ZuEHSY1 zsd@b*9K0NTi8i;jE1?%76G5~@u~r3+Do=_mlXG|?Kw<_DPXQO^F(*x6f;bN!)kguC z=rAKBRSYXudM-b!mB*>v8j6~liHT(ZIP|U!*oie!*pzjiEoX}WAm$rl!<@m04`*T? zIEmyHYGTpW;Oqt&a5v%$7)#1)yf+hJ*A=e#OqItpiO{2)_OC^@)HrbN154}nU4XBX zjm598*Sj=1S(%~a3~i}?d0j8p)zx!$umUg_YwP`l9(f>f1Tiy?jXB!%)J{x_f+hwR z^J69k$-JWSc&ePGCbh3s?b4t{2azLmRE1){TSRCvPIXMD9Q17`5B3__FzH zLZ$@VPF(DK;d>aw5WWvsNHOgC29+3??zxrBfIcId)B?%H)SmIk%O%4qV z`%7QC?d)IV14mf8xg|@27-Y@Vei~H^E_2NL<)MHa9Q1zk@Z2=iQaE?*DrT-eIIlmH z15G z0py8cG{|%a%c8!%a`^|1T=mkXg3oS1~f zEel8EbZp&X7HGhoJqnzdY6>B@G56fpL+BfW$i897>1eTDF5Q)E^ zpXELC??{|ptIAVrsbjk9Tl>;1Dm1@vhLUF%dXec8z{6#q{Pn~V8R^5vIS9z(IB9d_7AHq)!ZbTuvfJ};c2oZfQtCH{UaApdc z#0lC0wZqj6AX%&YgNB@ih9W&($oDA}GWnA_z_x^_PfBrE(oHu90tw=ozkRBo5O|HC zj-)Fsje=Lx3t5t)T3nj$aytFVoh=~bJvugaH@pZ!*O`w;*2}&~b=C<1MOpCM>VqwZ z8%STHlhO;+`bgb5EAZf?kIh(lxj9;I4-S5Uw>z$ zA>AR}-8ppE&?yblF?6Stbb~ZVN_VG(AR*EXcRu&`UF+VnW}Sav&U(jw_I_)L%oMrYy4J0q0nSgjtto?L{COhd)dapcL;#=M%G3W55Bc zlFBk{#un%qAo%&g>p+u7a1vAzL+@!m>q@f^poSIzYU(<|_@WB%Zy80gO^~(0a0T^b zt@mJELyv&k-KG?4D_4GF`r~^zPLLfo!Ehphf{B!F2lUuk5+Vf@(7tiyKy-IEYl4*! z^$p!y=j&?%Kw2Rw%Nn7S>(U0+8Zv@tvw#kh)eh=Aja0}sf~d9rTEB`=`{Q)54(#IE znVxKB4@v&jw(_HBcw0(xXwHV~-CB!7OyS|C@7aU}M|nTi6Mf`DWMBJiRxlDKz=n$E zn@1`&0|R_Vr5v|`W+f{zT8*GZx|I9M&^Mo;-}iGECwoqs0qo)H`?m7>UqwNv1%!jd zgwgO5WSaJd;trjxsg3BEK0d-yR^X@q+YqW zy0+HQ-ciJdOSt5wWR@^}+gV;-o|(zNb(=bCDkN!w4W|k%GLLM!<2g6FeJ~E#jk5-N ze@M51KkkFUc^hqkXFte${*@kgfVCZsdhAYL)K#p1U8mP>GF|2G{2K8HK2_p1 zURecWa$s6a3)sHN3X>Wvi;N?X7+P&YNNY(_7DJp+WPJXT*|<{0Ze?YKjPr$(vQTQ5 zwz`>}5WuyU>i|SCNs!#m85Bm6CJ8|Wj%KOJ+tT32#UJN^c{ipC4+wvjP|Qy1BiDR%t;vQkv?#0N9{f6_N0+Fd=D+(7MAlSpHnataX;{zchvtql&itIC7Efrd2 zZ!?w#Ce&>Ky8=eakY%uX&96yq0bTYsle}31~*|$RKOyB#yL9rh1+Eys)XV7AVJR zwMJZgrha=wB9#TwaLgjPTKc7j& zNv}wyi^@XQQFo>1H#TUKCYp+WbL39w{I)|r)9|S)Wi34!j!GYHysFG1}sq(V6gh@?|^P!4B zZ|mW^&{;)+dj7|F6UCg_u2^;km(I*o@sC(Bz`Ja+pPD~=0m_&;{5C1&D7^8ql**Zw z&#(}qT1icPsIpM>?Ae%@3+ez|j0qaMUD-R?5<=P<48S)WD}GXO)BP7C%W~6)XJxbk zhjRE3Sh9$hHiHM6wzt$A!b zG8A={%CrvBxYFykAzvaOB69ZF4Kj;?obI61*#zo+OeL#+e0)q@lq^eWfshYVNe+AX zK5EmrJoR;VErO>m6=$)50eA%n<@vOV87Jo_PDA6B#F2>p-BNB7n@pa+n)AL({wSNS z{$5nOUBANJy8Vu^dLE`&JO75;Z@nn62JX}gbumw`f)Ru4noBoYjpU9lQx)0s>!KX= z{>1X2|CUYgIcY$e}6kqLpI6y|67|T=( zS-SsKH_RBx=-5_lLBSb226j1<5>!of63$ye+<9j=DCTS>pdTK1oWXit%;T! zALfw;@UURP0R@eK0!elfYr@4ztfl=MS)p{d*f$+M`eXYX`nx_Kp+!E#KJU2A#*t;w zVs4(;-ukP2&a*dzbG2*s$#ItcH0}OXNG(P?5>D(Z?ZP^ex2Zq8pqh)-SN1Rkwsf*u zN0v-`J4fMIh1B76XZZ9SiD(Q0uG}9);9Er5oV3bWLpj~$AYDYYNO&_z><`?DU^YZ2 z@iJOuJS1^Y(2iX$^|@3l;k$jyD7=ypXZ4DCjvC{v+JN2Tt&p5#))p${pl+HpJ=aXX zqC~;VtIg?mg*%+O4Hf8;&CW2a$tjYylq3r(YVH%#Yo&oty7~XBy?4%MkN177Z0g6% z(j3AdOEM@m?D%N>b24RA&S1C+eIZ4rfJPZM52K@{gA@{chVI%{0};Oh5a{S{%Wffo^NMw~W|`=kQy%o%dxqQ&yz7%$ToS5zVu=v%n=}h6}BH!q$EBy?`0L_#uBXfV}(*t?OQOZUlTnL9<7W6&9tquybw`eHfyKr;0slv)#rgirf z&SRKqT#N9%kU`Ir?;8q#V^8;c6icMOtFq&{!a;w`g-?hBJdRkjdnqw&g)SCUKz&RA zMuw6x$HhMP%I4IKT3)b-;)i+c6T)KwtI_%t-k(3DVNmcd;8l}k0zwV%>V=xHd+1l?eW_BDiHzK ztJ@DMr<7bZjoDqE5lEhRFYK3*iLk{g;{n1CX5;w$lMc9CxCd*R)&XoHT0(C90D>lH zl1TeaJWTc&_{&%$3`-2lNR+VWjvbNpPAtJV1%t^Q@leb-luQHLZjMhn6Zn^M#CS^t zpGRGa>e^lBDj1#aQYkiH%CYC?0WdxhXv+|*npWFG>`}taiILk zOF)BWy>nc5_BUT1VmNkvTQQES8(9p|-jjN)B;9J@SP~LGVaZ>~gxSLPMW`XVW2lAr zLCaQpbt8|4f>~Vm-V+iR;&gG?LWmChJT_eh3S>MWQbro&auP*xs;lIm?P5?$^H>lO zK_Mk66j9dq^MD^1P7WeIYHHz%NKQ^#G$S?RTFANJ%JhGp^lcW6kDt&jbicjvM#he; zC(Igx^&A)k4t@YsKQ5(K9*1K6_5SL2735?_xp4KZu5j8Ene?k`>H!?Rd5P_(bej6Q zNF;ka3wx=hB$KI`3Qr|`C7*zrK_`tpT^tiw)6ZuoGao-QyJCafsl7(p*o4!=LmMG^ zS}S=O>2PutWB1>Sh$d%0XX<~f+$SbYv5?S3CDWtfMfFm}6nwCJ%e2A6RDcu-hdO}7 z-|dS^8G;Ke1vTpam7tMQsFGC+HJP!eCQk&@pW3>^!I@2q%9Fvac>8zo@$eu?Tk~ij z1)kEx1e+2YQS&D%p`f(8A1!m4C|zQ?9bLYz=Bi7o@=jUR@vFw0knrZI zW$|QthDwtsS6zIOK1=K4&;+zdLww}i)qWM~izD(@5b2&ZtB#UAh&Ve4Xl$*4T{o!X z1sj4+2%l_lBI%p;#X(AY)*Rgwy5=|*R8C*RP-BGB}D8*p|TFd9}^U33=s{G!u;KXARk3NuoR+(F0kSd}`T8Ga(rOym>N z0B}KYvcMA_<=+u*XT1ieK>)QNyWH$@2s>*(Jb&OVL#~bnU`N%M5;lp)LPn^<(31~N z&;ekO;()o9rOhXM&g2Eu_Q7w)Fa4|mcY%gH^=FTGkKH|;mQP-oA{uuqTTdxCiWvmR zl<1Vwkw~~aRN1oi9!=^zvK7tD2yHyZ%m!lX;42-2mc@~QctH;uytT0@1D8!H>t?G) zxX~JN6mx~RpAeG6*8SB>u5v>ZECnW-pTwMe^wGU_t@Rqr1K|*>lnMrJE=*i1&~(D+ zfelF06qnQ-P=ml@H0esF%$HLdmdSnsGdDOy$wmTIL6O{;o~BOlL#4BZ;BqlaD=OvY z#jt8q9Mu9eu_{yqeQa&|a{5s2C?s*OMB!}t#%~>^hLQ#VA)B1aM6n3%{#RECz|&6+ z5{5$sC)cP67pb8Rht^6H8U=_`qDCsWo9f%h)P8~Ecc|1uA(2cmA)Hgjlcs!+ioWVD z;Cc@-{y#;boIpT5kBCgb&t1n_-^=W{)IZnYOu0`eU^46&cnLyg9`3Opckp zUJVU2rLfe=vam|ErLapeWy}@H$L>l5EvHa3%7Z(-!U{`Nj+*z*J845rPD(Sk z7vxfTO%)apiu?C==7P!tx?V%lag?fiK9EdQV&F?+y@ZJ0zf2D&671e0nebZ`GRuV) z&$-Nq+tYy8$F0CI=I`UM)>P8bg%yaWnc2>Nn-)&@jrFD=w@^&vs!Lp478yx#N&cRK zt~|t*6;0!H1;SIAVr0Vxk6QeG62gpwlgJ*P;@Z?Qq-#&u(>cf}pCMOnz+%me2f|+Y zu&M>Z1l1hF(ru~&9HEqb0SR(;zh5G}EIGR=ED-m@o`?ISsdrK0g43wE1bw`0BRa&A2g zV+nu1oRKFk(L$6lXD=$X{@DFZ-}S|SfyNb4G&FlIfPq`Pgs6>vpVQXqJ-DyQNtVxk!?xndWzP0?W+w`4J|FTHmu#&=WE(U z!&`zg8Pu!A0&6d~wsZJ)F0D_ zjna752&7KU%5nToHBSlHw|OF4yQ-mf7d6z^n2u=r|RUd82~|&d{vs0La(ve zEE_5C;WRwu>SU^O$_P@}fCiqI@MaY)1p1!)dSLDsrL8h=6e<%JI&)(N4U!0I8JUN= zM;U+4hnVVrANu|bAkr%;Wl6g6a0#)+P!@~EIM`Ld^+6XQN;x#(OIkjTu;>?4m*@~i<;l1tK#hUgS8OSjXi(tjqkPhjV>8J zN2^l@946*Yoo(d55sW*uQAxBK3yrq4%|0mB{1=MenzQctsg3CkhGArwn8)Y(@C~`Z z(fIoe4Jqmrq~|VLG*#I6ciCn@?WCQB0e%}od)(g5URv3aK!a8%QN#JkxRSQLv9WR) zx%-Wl=671>81gS@^%>o;I6n_OuXLTkwFKJl9c>+cdGj{4aYoi;(mclugK)h^ZCODi zbV%3oLWikDIued0YxiECiO*?`kj&Oi_Upma<|8AlpL^LH_R=si4!rq=^?YXR`xqho zm`k}vI9NYxH)3+xgF~C!X`k=MTN>4|D3EJ83*J39-En`k`hhP%g%d2BL=*kfWrGiW z&XtwrE+;5R4il%n2G;8&UUKDT$Eam!yW0?yY{(B$Gj-rfluLcmhRv z8kjPQLnG_BGa-{0xPUsiNGV5DvX>2Y&FrgTZ#^ce_?{UV(yH310wy*#rR{LNboald zv#ONR&8t%0uGi-?tbR7Rq`>R$LJ!*H`>Ssv&m$@U7ngz8&_N~A|FBHI@xO&`94~n~uCDt(dKo)!fRfT9VeQ`$lCq$%MBUwTQFGzs z1-#^8ZCF91Q%2N?DJ!r~Zz{z8rnbG+dhOlW44qd?Gx90x~SF~S$?CT zOOD}i+F@Gxyd`x8?jfrKC1Fw<9n7zDxEeKtF5CRjc`buc?;4_}$Vrt{yX)4ILu`l0 zaJfkONxmIB@m!o9`?y9sZ^ETCg?@~#7q|I z$=UJuslV&?WuI60e$$P`H}$|$k&K!+ad}l1k~8apG|-?VnwY*M57)bWw-@{;gL75T z-}|{z-*BH$&}O7XWlH;2S=qSB1!%^igjN#?66a=U1^=bTk8PIy3CU)5F~vqU*}HO5 zSeKMn`tp^wPZY6^DQR_x9axk4nX*H#KK!WY>erSWR>M;)g~+cAPSecPLT&q_o4=W@ z?LsVCU#E+lf&^0pB^jCe#3PGk8RQMovm5;B&~CZos+~uKS}nZvZ6xIE@bXrLm}BpD zW`(e4*?;Z6PjofIA`WOE_xNA3A#l|53lLJ0Il4+9#B1c0sXgk_gq%^6eJ0dt?r6pZ zryxri0-N#5nc)}I1>s6!W0%M>3@)zH7?!ToQMRX%q-qypA{3fCXqZh74SC2hzS(JD zPaARj!qx;mh(R%7hRZ5rf=4i{hW!9lyLpVv@h~EYkdHeXDdL+TzwTwQ4LRw%sRyaP z-BGyL)vmOxF(Ix~NH#w=<7rSNd$??gkC^Sd357MC*EH?`hJ4hZX)tMcoFdP$jb&IW z2w<6*YJ=WBkWMlRc>X(dXUTUOIN$A%skk1htkG>8jR2>#$}VbsKg}c|BkTFM9%sM0 zA&p73DtY(IurXJ__i~UiPlRW|Jmix1WW!)&hW|58~4>$!M^+5MWn1$IRh$=g;KsjZ$j!*ZnU%FS7V7eC#F zmw1HCtts*^p2@zbuZsO6rpes`s1Wj8HJRE?HOa(k{3oU%;)nMR$JKFC1G?Fa-j68u zyLd%$^u(F9DfypDWo7xGcs%9#b@k!R$NLz}P*R$x&|j^`?W0q4_11bAUn0veTL_CIH%WMvzDrK@iRJ3BT$U^xPIL2xNiJ^8260v-gMo|r)lYvZ9(dEJv*}~#VS-!=RJAJdDmttyxNhX%x!sd zp#d&}N5#JBgh6(1vURmXS48pNvs&al%!Z26b3ZBYH_jpB z=i>LP>IgKXc0>i&CvxM!K*7p|8Py+B6uXTRlKFx$3!F#=#+$Cjb&fAJ)U4yz7P9;u z4`2YA z!`w?8gjOavIFi$Q7GItYmj`l1ysJKB%f+rV*`5x)+DHtk;;_J0& zGtm(yMyZCznVQ0E7(c)W+8Nc&+Bk3xsJeLH+(`+kN_P2X@^FE%9eTTg#G(+*?D2O{ zQXB@%ep<$Gv5RgQz@d8O2Dkq$uOTK*n)UK0* zu~6}&_#5}@Xrqt6vpEu?$&L8WD1O8(MmpCnXl-;>&l$*FKWWrWY zXBM(5{M?6ik-*=zVt3DHfv?$HPrt#{R@Kish8B{=DHWO@&puE2{(bnHi_>|q0Xr4M zlaojw;xyLXW3YVKXO3KZS+-D>#AlGSFe1})w0a-Xpu42VjsSXRK6aT(!#>MYUAnJC zh*Q!mhYL3iCb|fN@Fdg7Jy^*xXr^%JrOXDg@#;kPCFmt!qgJy=|7@U_>Pw=D+U3ur z)cCods?H!a!9mRUaiLorTN1h6Y!H@&7Zv3bgU7&$5yL@bMla8_W2a=}_$V$bN`JZt zPY*Jqm9uYNj9ljWb8-Z^^bdqUAULczYQ*6#WF0lfpN$@VVXKv><0R(gy*D4<+bceM zEKibY2A{&7BM9S!PSfH92Rue2v4)%}Q8br0eR@R=!s0tZcZ2jF(yB7<2>=_+upC*2 z$OgsJ)Qqe8^3e)MGult)a}JGsLNU9V^4svJqLhPX{Zyp-?z2#JEPi)2OEM9=`571S z^ZtxoKHNg^^0a&=pD2nH%@2Wwa;ZzAoE6U(c`Q-;F*1V;7|L`6`w8cNJ4u`Z~<#e(be@OfHM;G>E zi|5iBuZOYxN}90P8?vmt_;jPSh42Y$ck7t!7x_r%?!`{;xw5dKh53!&wo>2FMVS1~ z=tS(sc9b6>l0_ zSoOyrny1O$RWtMj*HBIjsrcJYeHGVEuNbkV($N2*75X$6rA3((b)-C9xGoNFo}~&A zx2cZWDXT&Cn^N*8uS!)MB66vIqa?5!DUJxjm7=ZC1EwwPn|&09zKgR5U2ruLK#_jV z2>e3;rdMac82YNQeGDYr4s39d%~j3ne~59>sW=+dYi2EW1sbs#K-s!qW+5@GK-sPd zdNyWfDK7w`25>&OfXahU`ph*r*b<91f2D+5$Bx|Tv1Z2~9D^CPVUo-mQY|KB2^xb3 zQ%dQ<*}2j5pN`LTu9wP(_ZT^{#>VhP&||rR9LIbME6NZn-+a_W#I&~m1-^R>B2lS) zYQ{eDdH=IXn%|Y?;uq?8nc%fBl;0T`&`H@qAJ1%Lkp!#oTQw*WMoPV zDz$!}wH`cLJ8aCu5}Lf9P7wpRgZU~tpi?0W@U5DBmipc9Ke-5%s8~RvWk% zeQL5NegjkxF7GQ3X?>eIt)}hiSmklI+9T?$>}-sX?f($! z+LiIb4>tcH)E#VX+nz4QV3bY3#M}Gs2MPsd|MaEce|-4{jC2}OAskuYIv~5DHei|juxa(QotA4cWe zQZ&I0YoSMIi=i+>u`R) z8}|aW?^9?p?Oz{@+mrkNmo4(Zmj;6Z^0ZO>{H-C8ZO^FwiWMlk2@(FJO!0sG|V*ky8lwe1@}`_ z3XHb@++B{Eg~FBXVn7pTCMdAWFH>uKgq82kR8{ zE>XvmOwEv`3Ai4sJ*59l;cInpliR@|7S!T1Nn-!K%1|fnWKKm$$e4wpkS6-uLFpqW zqag}VkTCU#I8GMkA`s8gN7*Xt#>f6u#0AHAl%OCbWLVyQ$*rGFG4|Zxdjjit`k1^F zbhkC{6Sq)qOLifjcu;zp_J56ZiYyWMYpxl(Ma||)>RFZiHQ8eij}b#x61PSHGy5I+ zTb~5^l5F+93N}AZAI>DgqJMu*4_E6h!)&=NYg;eKl#FbC5L`J-s-a^qy9Vk%B9XQT z*YB23V&n!dzWryQ^R;G)K(CrUsxpX^D7UM$<57^pyIY-vF@8ch7GAVo7lt;E0qvIK z*c~ApKX$9zsTn=VG;yS;1KaIyqZa6|%l@{a!=Xlsw%T%_VJr-nm|J?w!;AS>E>V1{ zd+fONKM6Z{kC#YL$x3U?5HxF@S)|Uo)-@yL+`}1$Go%f z`WdjEJ-G~BlnZ$r5LG_~*?Kwe;Wwz%jzYzTTh1!(YF@w!fk7GmYG7>%aSM}Ke5pP# zbp4T9d#c}Nda_JaeWfnA6`GuYD~h9jDylf~gIL{MUXKX{BSEO#|8pm~TBV1FN7qer zEzAh-=O-waM2QOPr}6cDB;EWM&W70oYv{3RTp@MpWY3@43V1w)?oOlq)PPEqrpUE9 zU&n|8g-8pgwAhNzM(Vl!h(F-@=%JCwY|){*R5l36NQuC*v}rZACW}DNguTNCuLYg- z82>k%rBTwwoAd+gc(Rp^O>OV{68+<5??>o{8g;$g=1fi6v@@m}b-r6862soQJo7m@ zZ^e@9%KEbI2ZL;yxKT;W<4?ZfM{~`YZEn0((4{N8K0}$IW*LMH^6s+}id;u~r#W4( z6{GIvr3TX=^>R#BTU&iZPjZ&m^-AQLu7cyUGr@KjN;>$)R0}OH=jRU_`7e`+Y%S@z zD*^6RFJ0egg>(ow9$;)8V=vn&Sn*)@)TWEOp)pVF=`qVG+>eg@jB!hRs=SV``*W{T z{Kik4(`T>!m-ap!HNq?BcsOKADB+buKOrBw&l`+N{u#f||7QpqkQX{PR1cNFyWV=p zygqQG{|$dVB|;X3w}_5Zuvk~y*6QnEeA5yk?VqmgY5nq#h}XEYQ6IiOX(< z)b`KMmR%s{HHjjJ&%7MtAaK_nhYdVLkqpmu+m~rQQrv#0P2V(;}z zBzsgdApwbflAXuG5%=2U^7_^mnrzJtU^4udh{tJz3>yO8cz%w!6}&#FJE)*xulZ74 z9cISD$^8jh6Lsph8P%bW0NBj`*15Xwe7cCSH#K*3yywT!QzaOyy!t07@Mt(as>{c#2HV;(=BkkBiFSxL^~N&{Y#`}j~} z2ULjSYEMAR;b1e$x#6!BC*b_Zxn(%lcCo{4jg>ZRrReRrmSrboFt^b5XH|zf1#X-@ z4|-)Y868=}eN>+rcxulo(9yyFIJ0%+6neAv6%%kF`{vD1?e)%FzGQZ>T7OFLa@;!K z-_yp1K6#{5m(l$EQbh_?a7v;j4jd;M#0>gqf&Xily0^7}n|@d(CLL2SN_MNl<96$Q ze=6|Gt6w^~rY-PRL!|R^jJNZCDe&*o>#{Ot_A=9`(AR=p8_C#RNqfVe2Qa4%tfQt| zRdxpvCz%G3X4qqA#m3XqaX0*p?dLCuRU2KEjKBf$0x0*cS$!|b_z zGBKPB*|5h()cH9SItk;D5le(J(F5ah-Ktmqw;B`=aS>-74(AGif1^;&ULFp%?oslE zFFPxxp~7eMZ_JS32E?3oQE@_s4#NmTx=W$Mc zyD({sY#7#-6DBdfzOf60%k9|Xfg$Hm17{p&^0G4#-aIs9PiR|==_21oG3t427S zY9tXJ1+H{GeU_dsu_zTITsERwl2lM{wXJhs0xm()u%t5Cha4^e?TpLCmI%P5c@-3O zU>Vb`TSo=;Xbq#LsJ<4K(7aSwu+UQZ?U8;Xc;2@@ zn61BcbqmjKYb4_Cb(ICnU8r%8f=xGlF`=sRoGk#PK$&d9A$v*?h zSyO#LorC^xivxKKO6%EIYpVmsjZ;RDJ-Qwi5Cm&hK`3q%v- zh_Qciuu;O53?fl(;D6WWD$$Crvh`Ul_1?ewDB&G4af&8U_iZPwsf)FcSd=@FEXYR2 zx5gZ286J*-(x|Q+sjx|rI%Hz<<`2&(#gbc}2H3En7`=sWD^!U^RO9Yv9)LO5Z7^zV zWMYytAgcSnH8X$JSl$#Fd-pz@c;w)z zuBa$sB)`5U(A5Uw*B%{QNBkC#b!d^&IObi({8H6XZr*#HGQr_W?TX*8!<&H-a%qNI zg?F0=IrjbErmDs-vau=7ee$83*R8HOn$BO;!NecvAHAyDqDR|sgz#b*> zL(X_)lWiFVfIDP8^KIQG{M)_r5R%%l-5W)Z*-r`shQoT!5P_}RT|Q;1xew*B7`QSgid6&XoYAJOffqT$Q)rN->J1)r9^zsu!9PEkE#LtaV3UW3pV7AEa3c;6pgnH=%Q+>8GM=fJmVw9t*j4w_ueLi>T2`{fk`J3o z48+p6?s_hi!pEU~sZ$-F;a~mkWK5eaCix+iXSD@}y8Y#|X}R`2P9^r3Mr|}Y&I%M& z;bhWp{IdC|y<6h0l?MByYGH}_mm4`&&UMryd0-$I`2+LP4p1JIhT4SG$4%=ptp=pj z4KXd#hf0p3_p8s#Qj>bPlqOp0Mc)0lNcRRKIDH<|XR6#do7#7^p3LEQ-HWu;dwzcY zkB*xzVZTw5QK#@&@~TgeJ_!6&uQK?7*(=MGh|@eHrO(C8zqJivCLu(-9+9KkxnGXp z)A-lx8N#+#XLQW)d7^kityJo|7ab$bRaRNn)75rU-4UTd+)VKa~5*B8!DBCmH%JP9@*t*Wa@ zT}dPSBqO<>SFBYwTs*dX|LVPBZDmw(C3V)a6tpz`N)-N%p;O#DHqMW^Wx1s+OFL779NYrisji-!^W#iN=nBj7?ocuL;OuaJvm3HMy09CV z5~-`cG{;8IT^E6}Dz4lQr~`sq3NgIGYKtlhPO>SQMJ&~VaoqDhpX~>i#YUXLySt08 z=E=Le&FVvF?-Z0-MBF@Iyi1*bpETjZhgk=wkQ@w*l-TL%ysJ-cmIW0cPy%WIo8301 zcwZS#u{t3T${aQ;Zb>5AAA~7QYS(z;aLFeeNQ=6*7a#QYayD2LK4{yIG5syVx@k$M z31AM3cB-cBv!M*trSKG5qLOsfZc%m5K0X~W21+T4)joyUkS4ng=a~&M(F`UB<$mJN za1_Bam2xdxTNO_04YEZRqBcQwsQr*S8eqztXzNfdR@!{+PnW9w{fjy|9PwhcD5GXq zi5NgtjOnh3t1sSq_^I3f+NXA2bgN>)@EbO32T6Nq~Y>hBVly`(fZ|hnYjI)>G zb#qcK@;D}f(x10~Mc@OD(S?0vY<%>oW@e)94*K+RLk6Sb z=sidl;M~bg+ny57Fj~T#pE<^C0|HRh728=<_*IBIHk?%nY;vdghWk?iWy7u&ZA6-S zXp8^RfI3-r;!Aa0+g^-}(MOBiB+jRW;hVJ(@{WSfW?uVm;JYPqcKw18Ej!~6_NSt;` zzvnZ;SENJQ&>&fH&LrjwT!W{} zUet0hpq7w^i76@xt!5hI7#eWdYcXT*_RZw?O!g2f0(R=(rI>HRCx3Buq9%HR~aOuPsIR}3JP z7BU9QF`}~RA*hbXp2^;-c&BxJ4I~B%=uWqcy``@Ao*II6`=1Ql+%3Kt8$`U%Km@-x zXu$(00cW6Qi@VcOBQQSQG5xTh(f_ttj2Z>N;69v!?Op5dO6aO~mlu~fQPcAxo|Mm8 zFeQZk4tEQ@z?Qw|>52ZH`+90I$usZM$m)UD0E>23r`mAzKPvnx1RO{C@3S6yHrw`x za)ngL=#Bq{wBYM6S-##>?%uBRdEZ_m1b*Ji#Gst}Zm?R1f4A!e6@Kd5xm$VoMv?b0 zNU`bnsP&98Ij_DJC2fKnJ-OyY-a@n4*>N!SddB#Tus=S~;iX2Km)qL!3 zs2amsYFg17Dz-u~t2F|TiTIOTzuC9A8x8ntvsw9uP>FXR_o*B~*biZ0e=fekB05zH zgPo!!yV%UfycEpv-zT^?>x(^$!C7Ee5>U%tPVORuH1~UT)#2&N__(6`@ z2-Vfi&B&RHi#<${;*|S@eW6WqDXP7cB}Yx&CmA@*3iLmU4VN+vqp|&xHpMOO#pV&3 z$kd33(vHD-I!*%vCaDi*@_z1b+{mjYI&QgkEb2Q2MLOK?_jw%+L|R;I`lC3Lr%wFU zvO&B9qK*gui2)}gU|6*DBYDVD0pTypr9GrVjD!lggc!aS&6k1x$!sgGc=ih-3Sf&}P zq_SqpUGGZj>Z|irvZ2cX_6JKuMDNQjGA#8Gh(|izUFjdZ^qke6&)~kxn)!MH5$6Kd4nJ|h!o$>1CN?HvyQgUxq zxo8H0tTd6(UGF(YJTpdQ?DI0C(+au~zFxrUeidP5Vbx)5uG)R5AqrJ1Mhi7DL98pO zbEsU1j!eoEUmW|Lo*ie!C_V`&;3s1Dd0xaxBLM~?9ThEBRFbsGQM-5Wm_d>1qgIWX zG$Wt3?v=N0d;W#7!q}wnF3(K8>%%YGM4gIM&=5yKE#9|23k&NG%4`6(9imKPI!)Y5 zp0}SqMW$?l_z*HDIuc^yOiMLBfVyJS@|J@CQ1^ytxWyZ~_EHMs+NE5?;ZQ)NO61yq zA}f@~Dq2KW$raXd;DQY6XcMy0LAa1T=qc`VJ}pyYQRKxWGBLv8ovyd9B&l<pwUG>U_;#g1=d_cE{{-y0(zP_1g42+Qt3GHnpSj zn-U^T8Nl-Yd$k;Xk{|f@>T$FE-1_gvzqy{rb=7zTU@qndY0c?t$E~qF100}2#`OAI zCZ0ue$G!zdJ*a>82&LHxlXu^p%-ixmV=-$=Vc3fBVTuen zj)1{Zq@V{CF8O0b%Lk*Z4W>}h;!A*l+H)wVE~b)l0Sg0hEGf;Bgwje-cLILQDw_>wawg|#;;FA6ep*b zAnz3xk^5qc(@GD! zq}XgI^Wuuz=i1qX3ZAVDOy_pC?|o!t@w<|scrwaG6iI&dd`}x{(+n2F?mRX;J32n@ zIO~3dfpcLM_qV%loSy;j!^_t*ug`+{58YeC@4F9qVO2z|Nu?3~Sn1|bDt_h{OMwp! z$6jgO_v?K(^(Qj#`H1M;8KeoZDHpjG&)|CR3>bHf%sQ~Bj~MxYI?cHA1)bzL)t)m4 z(s(7VJVDKFKaIcJD-T7F>6^}muccHyI5vQ;$l;k#!&4E(^5cAj6Qf17*Yi-fxb~@T zzL0FF*PAIl%E3AgNdUEqe z2hS=;mbj|L#Z~YZMf+nEFH zD;0h5FX>DCB10Bj+i|*BVz+y>=U$2F;j7pdH2@)Q2`%aD)G*E)*>e-h^Ezf;(mYbq z*``LpijR-qx>;RG8ir;+aoV!+j zCx7xLd(X^%#?4XZ9c=fruS86t{2kP42P^zDih$9i zQ(uEDA%uHsdK$uRJ2pCM{j3a~SKI_Ey!n79wJi*r_jPt&b9Ef>DiD5&D;1+fPNbzp z2AUqSA!i@}RSKsP;glI*HwnHBE&U9}^K|O3t8zW~|6jS2jWx|YQ}`DddAeED#eANA z5<%N!2r7+j1P2L6O-c=NX?#KcRMrTB6ts4AQr*h^au!`U=zN%DOBlznNY59A&EjUc z!+k+C=yF|)Jr*3QMHD&9ixK(8eK?C2Lzz5wHK+E-Uwya^s!n~s^$Ovf=v>Vs(Qo3x zIy71-_G&;gkJi%ZVgp#TUOn(~er{vfG=8QIlak_J&X+2K+?k<*g3Gw>eXwkpbo|3d z%x6+#JD(~#rx*Aplel6g8ZqKHc2DT9V)t-3544Y3M%?^hak&!joGFf4atx?fTDh?H zBaYsF$IF$(&(6V;3J0dcX{6;dK{ujjWedaWIL7vZS@5UwD(8%Xgyh%j%OiXTT3KK3 zBs&$fR|1^7ydDj>L%VA}${_;~h)2a6UUOQY_(;Mr2nN{mJxjYrI^XFwY~Xg9qW4|g zbX>u>UKfo4R{O#p1`G-xBarck_9@J*Zl!vSf2pYOY9gSh0uh;EvwHS;_W zPB1b!wB~FnjVRTg#;8Z4+?bxu0@UE$H-0>>aW|N8xJEy<-*Wz7)coQ&Ut0&Ji@pg} zYX{jmtVDDt5!5$Yvv2w7oEdkT)uiFmrvMdR5Ap&Yu3oP{;^6r`kii2+c ztW+=S!xad?`N7wc2;b~)oji!rGJ-`m_u=qy9dzJFZP2y)Gzem759+oReldvhJ z0EV9`^B@s-L7g?IEmj^38r*~9+|^O@A_($~!xatXtiv0#wQ)m52}@<}M$86+0VEi-mWr12hz0w)XBQX` zAt@Qk@K!qrP@N@;B>@ZQWJVP^E;z-QxooF2TB*&hfN#BYvJc23PEPLN1$g?4cJif0 z=}=sqr&#*42iFHprSoz%dSG+~~ zkfM0sFP`=I4PL__bVp1yoI;hw)#_NW(*o-nI^2K8Rn4~hMJnj%CLPF!!U|8}z@e6&;5;aieu?dWU}5Qzu^C zdQ)ybq#;rcMB9n>Bs_A*_Fw#`L_D?^pEhS(I=VA1!s`{< z4sM#}OELlHln}c#{r2bQrp}Gr@cU>p0~Yc(7>w!#JTS8*TAySol*rlJft`?KmHwC4c)wPD*h2R7*wZMNm2yczTP2B%fp84;6 zzq7f5>y<;66TTyj8~T9z8|W9O*WM#{K#ko`L)T9W5!2>5RjrrN9WNXJLcy+(nZMh# z7NKX|$A(WjomaUGIGsB-2@Fx7O?VL8z{Ld~R=gk5EK$9=yIZhtbQE04d9Sny1UPpb zl{|c{H8$!_Sj8K!%odo!#z&R?WMRwQ;J?4qCuBT>2GCi<#t!D(qwdM62pqqa(A*zd zs|nZ(R|abF<=2Rh{zc3#xu>95;o%W*5hmBr-lFjdfvC1So;LUBxpdF7&369!ytA{Z zqH~)qH$Lbut}Y6vC%8i21v=BEd7lNG?8fMpJ$5{dy+4q9ZEs)ljT7Tp`n=uOm)Gq5 zJ~^EkhvP)@W2?VrVDpr72glsz44(=ZXb~;&JT2N}T-$gq_v*nLsobBUXx+tDZY0rnMHzV!}%Ts&g7i|V!@zwAl)&qep_cWI!Qz}(YV-qQVA zlj9S7RG`G)4Ug_BXKo&-FimVdKQ&|RN~P4ly;Z%+}z~s|Egwmi0&BG2)L90M4yZKLq%%fq5;Zhm9ba!NG7^E-Vz)d4#p@X^sdUn@H&hx+tn{hf#~b#JX8(HDm(O=i{QrPVl2@)Y(d zzMJ8?IQuGPb;MvgR$cv_`@`eS>5TfVIp62p6_dMuc=zAYya90<5#U2{y3duty1$#R zOycYG^hGTB*L9Bp0nQ|`>%UvyuK2qC>V6)ZYo&mB4E4@{n%q$Wj( zWQsBrCl5x7cd@}>aKsSIY5fWbD-4-7Oui_Y7v(D}drBgwGBTF(Xv6Zg7$;hI{4~rF zpn4=ZQpd4!D*LgDgcHzYuVi5%`Z5yq_`Lq=@_Mn^Lq)Ro)Eabc!)h$Tr|I)Iq-57C zrv3iZ=xwkj^>=*aU*)8*+YWx8mX32DzUL(G^_)#8RDTOfoLbI57^~ggn0%f#Z1dNO z&_9zpS`>LTQY}^wB1DPDqf02aLrIKaXRl zIZXp_#oN#RZoI#5Ti4-mq#{88m|`dkYvw9=&_peQhl%1op*_kK4Q3!0L78mQZY5vM zllOHEP_KR-^2*69r-Xlg{Z{kx;M9Y1A7QXPUyG06e^H^PoSSjQcRQ(j_5|s<@V#@q zK?*kP*sF_uKcG4;`8>GQ979dI#MkyNI`J(3%ab4pE)|Oi^}{i|b5>h2_~EAWUE-Cc zZvYhc*ImD~QnbIUPn`di%KNm+iI<%Ko7cS(H7BZzir8Mr=B~JS=XF(O0Ez*5$JKI3 zmRAauui3@=>(EOT=h_ITlW<<`B`JI5pS`rgm1_9c(qN;|gz9y2Pt}Ebq}b(V)}ubX zHgNBC@kZ0T`t?tzvPW9lc-o>HBgexDJKkux@Q{2;5q-+OR$6r}pEsAx+! zgL^sx(j(XH1e$68#s;=j1(*{?sUj8);^!wT^;*vnOSa#5C;thq;QMx-C~9Ax8KDY6 zl&2Lz^{imk4*|wFU4_O4AXW+9^sAye>g1Nhn(gxMplh77U8adve|PFHuY4t70g(oa zh|?K*W*eFBwKnfIi@KmxJPUGN$|$1q8@uujr0{p7c(?b%l>~vo23TNlniLQrB?+&? z=8dq1ar}MdHlUWcTVj6hvYvBqK7ENPQ_S^#9vne_}}#{q`;X$rr&tUv*B* z*f*%KY~v|2wwFNlJq(SmXbY7i^q|Nay$^sJ(*r-hkfqd=n`eE-$R1FNxnMGmI6H_X zUAe?S!6|9!o5xMc<O zJ3d@^uDE+9l25}zXZ5{W%XNUo=2dcOm3EfS+c+8M0X}_pyY*P&$-s79g{wzV$sp*@ zPP=c5-lKr8H@grMGx5ujxOLssk7Zh$!m4~}$&fMYdhH6Q)nBgg#fddeG11cAo(=eg zkhe1UQ+^fn4NtU}ZzT#>5*`Zc!Rma|1ve_ei%}B7O6$5sYXPU%2qO= zUGznBOM_&tVy7=5K|E!-d1+}#&{AfDRWe3jY3H4ZBI}?c11l#AB8wdYAp2baOuIs_ z3aJ!f)r+w>r(<)mj9@~1_pH-x=Cm?P39x%Wu(Px)a!jd^J=_*oek`2e>1EcDeAnbc zk;$=OEX<2%))`g*sU!Rn~D#d#2EXtfUEo#IWO?@;R9u#O!3$s?3-V>$2ooz z?MKyX`kyF${uw&`!1sfV`q~#$ybQmJT!-YXUCbLqNWA_VT?~G$2nzVOt+ln@X))qZ zy&sE>4pxe)KF#{?N9;THXYi!>->O<$c3w3~jtlY_|k>@B$*Ei#=qRx5%w z+C>#`ql0|bBTy7~!)l;FxX%@iK|g6;eWQR-wE_KKcLY~rs+S{2R+&e-Xe*dTi2E1T z`TiR5cubzLZYk~!wjFf%N5@mSLsJ!XITA_mrqt_6d!MLRtfz?$I~>i$Pq&02wJWxN z!hTC7?o-ulNO^i@qH8?eC3(B$;=;idwDBURe`Eh6zHA{CLF$Xu5@I@bhOjZtZ>!CY z@QmREi@np9$8qn~=gey=!$C= zvfSapA)wSfHtW|46CWy$ace#v8+e}eDArH0hun>8V#G|}%jEC%CxjH&Zr*;7t3h2q zRu~pCR_U)K!^MaOmVb#7RFLwFs3Z$=?~Yg8*SjCYRO_8*w174LrMZPazSVgnxe|e0 zt|>=)8kUd{3_PfXMHv=89$Shcp{!?VW9HZ`i(oJh6IPFQeM*4>D40W~8|leOFA;qXexBxvT@{)M(15@laryO*ZmGTPJ6@a?B~Kx-L{QkPG{M0 zCb4(5*^$QUUh^(%_cwAllB7C8|JKMK9? zZz{HxK0Fe!|4V7Ft<-88=e0Bzo{ZGXHz*Yv-XX9-0qYzazQ(OouX+FE58R!kzZu|z zt*q!c_Kp$Qd~ebhFi|KVL1t|@8j&_Rdk~&=$DAuWxKHR#P?m6aeu$ZhNN1HDaVr3F zR-8?SG#7H^#%1eytjf}J_10`z(RGi^*>MwvK1}Q6A$0Y!2_lZ$@HTh<;HI;BLxzt} zi4+`9w0S3iVEuFb89su@laJdZJE7B!`?1B0O+0U4%9xg`(QWqGJEjYLw?wAnx!e8; zr*|j-;tP!IwaHuX_NM|TU|^DrG5QV%lSuL3i^^PKGJhYW&n6^;GSmGoiTHj}UrXFA zUdwg*kAv=ZPu@;!Ez(UKu0OqChR3wn=}c5VkKrN11!L09%A>mPZNL7(EY$V_1a#es zdT)@{20D0O?gwmSeT^m(dbwK($5RXaWw8l!z?yf#IwQs?=4(v=(_ahs@F5NnaD*nl z8x;44!%0crqKn(?k^3_=+Xf)z#6XK-SxKNovha~f)gzn5tHCTj3_nA-eat?m$X(rX z^3FX}FFg7u8>uSdSe_;&fjjb4|Cd1Q%;~aE+qc4eZT~5LRiLH;HcvCN{LuYH_5^Oz zt0Qulbph<8hHFx03*FIhv@70BBz|i=@BMrqPONrqy=wd^wPfJ+lrN~s!eb^bWUMRx zJquE&^`kDXFIIk|h7384+UIBZ)p%p~i>_SvPGOe!>FUM4g<6B}liz8Fg`3&MkAXY! zmu)`D%l?&tz=E@vY6%fhgWQ~I@$1>EDten;Bu=r3p?S6qVmOzn#6v7??4O{-^S_=I zp{K#w-<#{tJvK{j@cK}-AQvdaZ!@YL2F^kWu~f-l#z#RleJ=xKwSucRKwirguOC{- ztm={6ScNn(J@HZ4q*m`DlNm1d_tPP6US6uKN{Z}QUuN-7%tw%vhp0{WYd{s=DlLOM zVt;;Yfsul(3{vdhkW8lrDGKk0rP{E|=ymKQCP(0}OvrEWXD$U|cqd49f;M7o0N z0iq-{jgjr;w-=1r%xU#%sUi>OL89g6lV>bsDd*rqliv1m_Iockm7!rj@sU}9E(KqP zgG=Y((f1JzjUSHy!8Ce~b0jk>$A~Qr1!JVnoB#np5bSjcUAriQmfQs#aJn=f7)jn* zoITW1KMtVbz*$El59gk(f6Qzii90@7+Bes^K9e+ju@(;W&#YPxPzjtw3GUI19V$bO zAZRjaettOe7T+fR?>;ZG88@Gde^MVm9!N?cH zJ$D%Zu+xU<;lI!MM@9JU#jQDtBMMIgk>(3>Vh(;JLb}Sx*pe&9{GBzrB`L`_LG*^k z+GMDa#_%#EUHIqO8qC7^Qp?lX%i62VbPwgfNIxEflfta)HcJ^CrcddfUaF3M_it(s zDq^dr2j%UTs!exwoYe=2&~V1;aRS>}zZHSVu?E49z5OG!7D~)f@^$Z4IX;BL;R*Gq z6#N<4T;>EEUq?9L$P{0SNqq!BLTc(rk%s>SOy4>lpP)yvz#>YEDJ@q}1*?L=KH!7F z5Wi;rbGp`3ss~m4jb(HYzRHbM=Ml^^t+KObz}2zs#eiBe^(qC~EWOlEN2XK|-~5)c zS)W+0w=C^_B~%mXA{oid)cUREPj11vR4>9}=zRpOq^hm+J4F;n7~=q{7%k0w7%}Ci zhqqz|UcYs_(`VH_aQk!d5G27?4cXXZNn%fc-B@_ReKdF}@H}P4WLLp^BJKCbJ06P4>8 zTa^RWCH6ag)Gar~*x>~b-e`KOZ^-$ERVj+i6a&Lpfi*AwG&93z3gXIEIR5?SsPk~}m;#B$x+v)O0MU2b2|v72YtoS{R8jFg zYI?x>+0oI-(UGLzEd`!%6}gT>>GsI1((FpvJ$cwCT5>-g# z@`wLNM_wm)P^8pmwgLcPbW>Wk2q0IkE_CaWuJLXcelU4XTzAOwRcRq^`zmcf+* z(%3Vtq_A)I9!Q~wCAI@4hg+BwrBC{_|^ z-L_1r*o>>-Vl|`Tj>hF>*{CW)M*yZh4+%o;HC zXlQ78K`-}1WqN>`6D(4T^q3!0H%JCtBb4IHHdw%=arFa;#-9lVjKLk67*k6i(Vlc< z;*M1LFEGNKNKBlx8kZ#oH1^18Wo#jBH+QX*CIzt6WHq3otbmWkn*$I6hM_j%)o%T( zq{07v*_M4q>>)F!Uzi&CQahq>9e>P+F^EVjO{Pv3AB;?bo;+TL2gIgB7!n733`HH1 z_S(|D!`4mxBkb$%`#XJYup?uf_N%&@>-oWYJgCL@{-DHgPtqy}r*-A|^pW^Qw)t=8FjB`qgmon=D$QaW z3#$hy!z=*6>b@)psqQZfF_u(8kx(XI>#ZOx4l;f@dBmPx1gWOv6jHWObz8ftR}ii@ z%%vuyOw=+omIpM>BQRQz6p!6gIhdzH`MZjp`Z5r|S5dSOfY!~j04vLU#}5uA?T!5J zaPU5eVqj_dsUgs%AQX@1F1jM0DKA!COKV@87dT12O+XkVIsWBZgm1MuK0C?&WA-e3 z$9q8Nj1KoAj#0BD;ny&Xkn01XvAlX^isSz#P0p?k*LQMkYJwk>?S%w@e zvTfmVp~Ls$_d@NiCE=BQZXRQzr@FaWzHaM7E)xGr$8ogTM)F$DFz*b#pBGzhx|Tn# zWh^z*GppY0xRc95r)tl3CbF}{L7K*p1e==!R$zP?F>tHgtm92Y)tN%s<e3|{+x1t*f0Azi;mK&C+KtT`+j_RTy$mmUg=ZW1L zXJjx~SHXU;6a8saUImhdOeH+jCBwDax;Q5xgAomo1)-lR5iQV;)N#c8gNQ<+_JnCS z+dU?xv{HDOBY9+ubZYReP3Lv*q|}-_4UWT{uY>8Q?;c_RbqE|Y7SlXP9Itsl-xsk( ztWGKunS31yzcZF*)2S77Tn8$p2;E=2H11tS_~e@!KeIUKTll6g zVQUe9I`e~zhDnw%cW+{BENGjanv+OLA(7-g%*mlLs-cb$50emP(WGDb9u0TbtyvY9 zP;)y1F^VN?q>kq<=-_2*AdXLp@B@uHs~r|PVQ&~9ZVP{=+1+M~#m$i!i)>WcG?Ek= zzR!`mCwT|`%6T~SCR$o6;JEA=n!6A~)OG}O!Xc#!INhE~(hMwCubLkpd+S0%o~d6d z@j9KL4M;T+7ST8(H=r6#18Dv1vB6bQ&a1TCj-O5C_EL9{VgV%R9kZ&@hP9930}L z`R^19iQaag7SF1Ejp2ROk&GN!Q6sA01R{d{$nWa#5cu?Iy~$#`OvI+t=gRUy=SDcWQLfn2|r`cAM&+siFA^&b&lQ9Tq8usqaI{eXP=05J12k!NktdQnPVQ+nsAps->+dx}F^h+S*%w-X{|+7p3A-w5@D zcr|_Z`t4T!>pg>eZkiB`dI%gZa)6ExU;(AT>xQsHf?ZNJ89T@=zfgXUuF6{LtBzo2 z`oKECV#>IhDtcuNHYKsGG&C@9h_`ck{`=F5K~=x1-_l>G2c5)1K}t1~$#ky{v@+1njV^vqltXUCq019v`L{~b7L zoAg~=W!?P~+}VnyLC!%Q>+fiPWRtdBWU{upm!4E^A1{y>)qb0Egr_JgtyMAiRW{<* zaXp^u=%BeTAFpk9mwX~u1nyulqxZSaVj!-hMvR7gTY)V~3wftg6a`FJj#ukTjH|~c zCis$gm`<)qd0|d+8e#GIyOFgnjw?%>OF6Ss+(V4yr${$tdBG8e174H~w%Cr2G`1`IbP28$ zat_anTi*|Qn(R3uNGsnpbr~=_ak<8!X*P=zf-Nh{(EJ1 z`69IXGH&wF9yD2%Wh#)c!S!@H5OlY9sxN%Mzwmlq+(^0kO06^THv-=Jn+GEU%a(Xx zdx=q@#7ogpzJ1I2r^O~o#z1qYmqUYdwcWz9_l-;2Rq@1Jo}`!cOSyPj`@yM$QSiMl z7L!(~iTjqPf$Srb%?N=~Y?gO*=iY7BS&%6;BUuz)h&1Bx+cYGsf}D|FR+NT10raqj zkH}zUFw;TH6>Wo_v!NZ0*+6w^q00W#SC|O=ob`wyGUBA zcGSi%sRhDt@^Eb66thN;DO#%KP})2m-Bxa1j{Y~AK_Jv_U8qG*(O7DB8SoM}W)R_r zhLEq&FSGF=QLCQnBuYy7g>%65XI~$R#WlQjvETpgN{ZRBD9_z~&jcTv)DP^(W|>uc ze7l9)sQfv5tZQN?a{D=ZPUXw0n_i`o;^N}7maWw1M_fkNpPu-A8cazVsmgmcpHdjv zc^xWAyXrWQvA%S{{b9B0sbx@#B2>10T*m52xt*%$Q9Y4CF-ozq#qMg?pGl`uh zh>*o;g%KOwVWCikKDXVu2gcd6#kW)VwPYA`?$^i8jfk8k<0d1dW9mI-Y6&uwA?$@y zk`wlbmW^^mM>c>dVsQSO`c=&8VEA}_{ObWW zmS*Jhu=s-O{}-wA9J4dOabCZZ&B2ouj%W+I5(>J4V?FT!UMBY~&G+Hl(M60O=i=QS@?)V#BIDP8aNZ$AvK`<__2*?s!95A?&{|O(I-Q-3ccsCJin9G?I@0}N~FI)dE?sq#~h&Tx&Iy4uSmV^Z!37~ zCvFT{_@6!SZpE(;)?fODxn6Du0EL%WE-Uw$aDS~n?|#KZ)ZO2O5IOEBNwq=2@C_f? zh+70I#*Sj4Hl|=~r9nAziv6Ny4eA5$*37ruquaaPK`Hu})Kq;(V7#gTVX72v=yz6%>p}81=&%SebgkQ6hv%BS4SuN-_OgW@KVViX={@>@Q0e zvT4ECs(-kYwY!fN@MrAQ=Uf4=N9MLLY7g(fVG}{eK9ZH@l^2L)K0DEUAD@d=4~c*B z*7ScwsE)*!;!fInQ}t`7(j{P`+6Ds(ZT2YxAt1}kq;}Cr0g#wwzlzxD{zR~3uj{n3$LjCR*zpk~`4iL!S>j`+^vRtf(Xl++K)(pcQcjg* z$P+EY_RD{X9{8^cjvkzHW@pC3LS0cn@=U1wmIcDr)=&VV{QCs0^hyH2JoPEX@6GxV?lA3Q=FnvRAuG9cAHC*)Oc@NthN`88eZ=vP!ZCvw`)$g^Z0PFrp~ z?_0LB|+PUN;{ zSXPq@Np^OQ-{pl(k}x8_D9okp5xXgQWNyO$wnHu8P_sHL zLsXQf`8%RoB3`FuPv)~iLe<^c>ovTW+S>)LHZ$jb-i$iC{NlIQ={rIFvW6CyE#`U*E}X(jfl2|9!_y(r z_@ZQ;umq_`LrD2Qm(JlsUP|;3yp>N8v8wzEgu|{&VSlO^5qZ7M38GRMby-N=vBZg*UKiC#M1_D(DC=cm*}iB(q;93UnL*^ zG!GGw!)wkzs@J`A(x>48iGB~EJ}o?d`n0}~#oTc@PVKXRr1o8nT2fr(*TL%(7j-3? z=IxuAOx~O4~tZf+ptNkmi8%nt+FG>VQpF>*JqT@=G-;v9-NxqejoJ ziRh%Oix&$PPZh`ru)Cm-BQjvXD{=%%b#!RdO0mwqz%GYNW!&jpqjeatvA<-^-u9Ad zWC2xfHVoMP>sItFqD?!XR&xF6f_gavAa=95@e=a;a`rMxuT{0#p!%fKIyBnDl%1>n zP|~~2bzgqA@S@5&F5rO8Yw#igDTL@tg62ca2DWnmdbg; z+RHc7IMny49BafCNtvNRH$}y3tv9r1m#Rp8xAQ+F<8X#=+m$;>RMy30BKST_J;(^U45(}dW)psV_`o&o<0$~+PEvy z32htz$$`6d&YvwY@6XF*9INf_7C$79EYomvvq??F+O4koJ&`iFyd*9ly3a={%E9034!J zfuD`tu|VMCV3t z>G!{jy%hbR1%v9K<4*xT(_F#9MRn>`KtZ-G?3-=b1?*gLfxxk<+NlI~wnl9}AW_<| zqF&TIC(>QeiJAFv&P>Q<|CWIu?U`0j+Lm53STbyh|(pv)IT?EUvoME5Dbo7V- zi>WiewF%{zqBQa=f3i%2E%oMi#|+@_gi9q3b9EebrKIEI=8hlP(U-31?Cg|ORn2=B zO9QVm6s&Lxt@aVX^fz=5cS1fnb(iy5}SmGL*ruV{o^JJVsLkNw{?9~^_t6{x}V%w z29@)p*BLLyJc~{2Y2KX=H|?o~fHwtoDPwkgsR{4K+SJ&;Yt2L$N&fwIYaF_l*F9U8 z8iT+eA;8`Z4rZ|;k4Z^OiyEw@G&e1X6MElTsbraKMSST)XKoJFGN5JQ7C@g>FxP|8 zW}4`#LolG545+BI+@4V&mg&RdMNLFAMtyg(D2E2*_qP0fECdmeJYX6IL?SEz#~=+7 zFE0;mBES?i2~k2GnTD1e2CxW|s;4z$0Gh>EGFXIid=8)9&M4esHfAAm`wv(P5B0I9 z4BK<=K#@!!EQ-mg9tS;c&8xW2leo`4%r8}SVnULh)*d5Omro3URX)UL9EytWCW?s9 zjV4HfpQK5d3FGYsyo}Bp?RC;IKq2^%hcuiu^C8rUP#sNj#Hvrv9=5 zGpCL>6uEFqOE+i{yZ)maDTZ1cJ-838US9GPRw1e5whN1L3)i^oTDqyczq&sz4fi}? z1blLK9(S$FlD&k#Oah%BR%=lvTsby8&FTpMOp(b4Jn6(w`@Ay9swx><|W7f^fH7V6a zhyX&mn^iPvEYuLOR%A-9e z5mv>$cE;JOMTHGAvP5(nzOG$9tO%yjSaQ4W=eN1dL|CAWkjqA#wq1$Hu>c}HkE$hZ zFDCpq-2V&9??f|-W}Tg!WH>SIjjP<=SeAcl^bCd0im}eu5+)@6h~IBT1pF=yoJ$dP zob$3KXS>PH&I~%(VLEN#ShC2ZL%L^knaq8KB@^hB(RvPl^&!)QHHC z#(AmUe&otU=K+z6>FMd?RYw;!waWR=+ZQFg9eekIf@zq)Kl%DvyVm+I-NF%|w6@`+ z{+s_a=&r>&XEhDqE;P&|&NX?^`!<*JVDm!$|Lwaw#`yBAk(a3Hf_EA z>d=iI5ulmXUo*-bToe+oXN$_{s8ux&o*X3Z>&-}$cq!=&0m32G)oY#$Mpsu?>)lZ6 z62<8hnn=Fd2On3^=bcF&yuyqBr zh~G|`!Q3DT9V=L7P&k@mWqilY45V8{U&P>~UZla6E`vi0p0r1i9&!Jp8s(BGEF*t1 zNS~b0B@F#8(uWVbuYnzeNfRMQ$1~U}umnq|%gYbcAk{{sgHUX(5Wl5=7!T(Bm7b}F zeSP<Q#xfk0+0);uKKi#zR*6o7#1eFNn<)(aruf^z!MF zHHjL@4q>L^VHtsjU!cgReEsvLeB%0DW|m@>Qgd8#Bl;wu`efb6SmGV=JyI2=kb&9e zHuUJ6CZjvQdleWSnw^y39Fi(hB$WiBJxaC0w>u`GkAmp^u8CBzt@$GRGtnB66UwbX z*TZHjn3B{DZqWA}A~6%^P;2f$N{-Z4;_LJ{{#WQXAmq~avOBVU>0iGT$y{Q6bYo7N z7I3=%qvGzkmQXnEosjFW=Ei{=2=iuhYRKBj&BMq!zo@+a^QBbf8Ci))XT#kR5}$#) z;!-*x%HrI^<{}Il{I(cc844}%JI99n<7GERQ_!nuDe{i_Xg|k zTlCPAf$Rmejq#-AeZu%!zs&T=;6V>DxmpXtz(AsDw)0S8Ym2sH@2{fo)QB||+M+Q< zgHp?$jde`PBENZ!emui75g%y$zniOd(cWZz73d{1W|v~p`wSFXf-lyx03@<-^W+=u zp?~+Ntz4-U2)#!SnD&2m`DR8Y!~$0BnZ%*ZH_%EfQ-oh}H0Y)wfZ~}+>Qh&k=DBt4`Om=YH|6g;|(!pem{-{k{p89eEjdZ`b zcsrn`&9Ew&xXEQDP7%Gq{L7Zy_l0+e-4yja`PpqdmYI#wS~9>sns|ixsPy#d+$@XM z3}q%&eDl+j18LgKu?QZKtzF)Zv@wQ}6nhZA+<>f*^O~(k;ssxcYL4@4rs6O+)|Y&g z;EugFnBl^z$TEpwuC{+)j)rTeumS4TbD~qqSnOL0X~il3AaNC7M?Ejvys}v&LKY)t z#4<-6xE;3w=g87>+)WMOoQi_TaE?^)^^&Of#RWS)AD*3AFsFSy3dUS!<#2y1cfOjD zK_mPaA+)N|@J6b%yZNwOe8+lr(pvcF?Et5cgY3ZkSePCfzh=2Q!7A*YC^DXP*^l~} zm$c<{*A`6l11iaJS->@NYj;n*l=f!AXj1_wghT3 zUu>@OmtY%$t?6vjRLd|w)-M@0IsZ|6>Q!@I{gn~bz=H^#uZ?HjL|pI$aRYlgAO8*H z`P?prgoLnQMalzd)r+LQU@aSGkj103rBMq;cI2h0ai~xD znZXf-mCZ8y1s`E_wQjVIKSQgD;6FGvMA1SyI*lzQ8giKHcWNh?1^<+=J)b|gst!2} zL*jRc}!z6TBbQ-(l*!j($IRn7X?;UF;!Cr?s1X zxgF>9e(p9wnhAKlZHJDX__FpXNj^nA65)sku6I6$dg|D$za&)S7zWa3>=Ja|IedS8 z$-8lWRekDuw*vyCW~y2{>bNAp8xs*3ek&4$A%Mkyk{Z!!;-{)(5V#c`>d;jaXvblx zd|<3Pdz8UPSX7nO9Ar_@{en`!-h~)pF2T+rH}IpD(vmd>b$VKxg;U+Ra%%Kf)x=U4 zSi!igSE<1j?pXg@9KGyUSy^0q*u=at3tlknF!O&~Z&R{Ab|ZHCJ0S|a*0pze8mYB< zNQEO$Gm$)@zRG2xGjOlHgxH`kpac7Sx_3!C3E}UF_gSuE43#i~$5BhW{sx<;kI5DdcKBt7u6Ma5^Hl^%2C(E~i% z8s(dSlVBR{$kgNrWsq!b96}e}r$eQkzVMNGpH?9(gsw|-5dWc9Tsr62PY^@D2o8!= zbsB9F5IB#G4UlTCQ>MU3piRvYO-3!A{gDudr`?n2;^Mz=P>PeT<3pZf z5@dLOLLc@&^zwqFRT8Y12pxwzNk4?$u#h!4;0~q2(5JvQ!VR2)eS`^0mnTkXOKVDuQ zJ1z{>D_ki4+(fLHU;;4fuW$noUPh{0o{Gtb8}%hSj;7SYB{ z9f$LtFSqpMbcxs^y-!yn8z|c!OlZAbMWiw5WSvJBO9iG2P&%9Jh4i>;NHXBA3rZb*gB#1NF7E2qlZrQnumA^hd5n->=6a2WmFT@WqTVdfLl$nmBYQuquwy``AQkd-$wjE!j`PDD|cZ~md+FHMKyt-cMbqdp_w|So8XSG@Vsc8_?EngFD3w1xk?O4n+$D zDH5buad&rjcX#(dDaGC0DemrW#hsh;pK~(?Pk9SttiAVI-j}z!e~!)Z<_2(E#&MGMMFgZ^P|O`UmWugR&bABvRR&d z+b#q3lp-N-21X_py68BZ{;0+1A|jSN+#?COQrRb@PiY8MR@f-O%&GYarNuAV6VN5wa>iiW+rHUsOE zMbtl|s3&412-M4~sg+1uC7o{a65YjXs?>i1s=j70)%P4meh_pvsrfk+%~|qz+i0~R zIO%JU5a$7bG@{>)S+g)I7fbqMea7X$Wy{CYUI%73cjNIm+gOezW$2TG;sO+?f!$E8l%H0*g*ytULQ<@YDgB?y3C6y~NfSg}cg&dY1=C3${V05fku2SrZ zlD z!3(%jH+^M3!KuE>3RiH%>4;YaH;rh=6X7S4`7O>}B4LDASbL$M>L)&~LfyK3R|7!r zSDbI}iS5%M%V*T0Io78>nZTM~)~+q{X{Cd`yw5iVhJroCH8X~3Y8CmZB~`4ovle=c zVqBN70sVhwh_M-&8C$sUP~W;a9N{2dc$TVCxYy~1xFJo8n&7aL=trL4xWuL3Nc$DT zk`B#3kaD1r7w}}I=hRrO+`dBk`&G*NWkdr5A&R9_R*XzZ938r}1W<)a&&xOLFMLqw zibffcsK{@Be;6?oy3< z$xK^B6xGDkf2iSQYwwc()Na+)@FT0@sfK4!NQ?U|^1z9+-#+^JG=;iba6bc@LE|;-%JZ$&%1zkKV;JN3xLXE6e>uu>_zvqq%V+C8< z+E%~ImZEf5WVfQGtib{Z#xzDasTWwkSi1{}k_3zPwx5U(jQ?%x6Nf?wjfuEIFo^U5 zoKLs~Z@OsS?cV2J2^m)EJ;`K|B6vCFaC}A-s0SkcWH9jV# zT2M}8x>pAJ*B-lGFrTyJ#`&3PgFx)`RrbXz_d=LVeu~ z3=CXc8sur6<~+jGDi@%%?aH>R5op=0sJhI(jhWF1AbwlxG-sB{_S!778SGP729k2q zPQ2cJcyB`5d(yz$&g#LD6vYF)sC}{q` z%yJ8;pLl983K>?IJx^>&>Kj4VP*|yY9sE305G+L=WSx&#DEkzeQ4WIAOc5nfM!V}e zY)dqpmE?(FM1y6zj0!?7B#1rO6CHOV67%yhbiGfzc0NqBHgE`5w;3B9u6uHyHMa?J zrNFUDU`{076)uYLk=u#jHs^gH6Jq=7+BV6LOx1c{^ePb>6Pv3r4iqi2TCdX=@Z&CBCv+O;#I&tZb#vxSlL;~UAsMhqPg-QM~;DrwW=}VQ&Yr!7g zGp$xt*XbLg0FL>*>@L>#mYbjx^{8kMfjuHzQG2V;UYFrLE9V_szeiz!CTE_RQ5UO}O#P06$KfR_rzJ{$f-q2$IlO062Ag>)kg z*+HjtnhvpR=3Bj{A^3kt<=w5v96G(y{|$2b#Y$&TZ_YBsiDpX zk^2*5B@gJ!&pp*858vMJzjRMiPLL5QCskYF_F=2ZCM$IbK)R22+oo!Ec?%5g8!6?xGr_m1i{}%#hnx((}kF18zs)F1xbl%Ac(HnQ+*!DDaagf>U&|h#HHJ?XO895&ZVh zWR>!W*_zqx$Ni5zz)wU(QqludQei_4*3`9aZ6x2xDf;a32BqpXlEEq9FB7-)bH8_Z z`bDZW$}!Q=QRyafc%MfWZ#2EBX(3sqF>Q1=AKr^ zZq{)JHOf7V-936OZ9bn4e}wxv*2@1}tCT!n+6*acsBC4dl?4Pz8PdV>XP&|E%<9I# zb$F=S!oLjVFPX~y-Bl(K;g*d;Fc?+Trup5+iHlq8A651dJ6PYX7pi!4+ldBjKKqai zR-7OTU(Ppn)GO1kK^OR;EHvmq|72z3?e2%yHm(95WZ&T^BR!|AE}`U(EGI2(%*dM# zipIl5aSE32Lhn}#MKPLYs2d@N#sTo5A*i|xsY z68O6URgp3{eyXhg3m~tk#=3RNj3;JoWx?}l>}aaGnkoV&E-cFU=kGa#>bQx$I<`KB zWK{n(j=Ce!_X(Ci1JXyolTzOwQW?ft_K;x<$~n8?C|mN4)Vc;17qiewEVr=ZzX=$O+wVM*7ymrUSbAumM^w)}5Mh*P$lKXz9Xf-Y^81XDk2i za<4snm-?`{^)A6Bb?)~&ozJzXdNbJy209FeK;fP)KT6TDVLnCIcuhtaoh*-SY0&q)2Fj7Kh!=%y59yJM6*kdsl z48a-c(6U=2mE2(TLzfZ?p;-};pqj#3f~O=czi- zsGh~tX!dHY<>+0t)|c>mQhr`;(x%^pUo912W6t;*(7L#F+#jP=b>2Scu6{(2MdL`h zfb06Js_njX&LH%dPE+<*BY)A=!o$I-&-YBWu&~|2OjI0pd_1~Q4U8TJS89?KoZ}s zOxbEJez4)A$VZj>nRT&HW<X0Axkvl?Keuf~A_Z9SgZwNcz%#g1lcls^rhYp!4r`z=oo{i?f#Bd!^~B-?Db~2rVCEL$g(}JhYPCJ^o?Qdl@peF>w&tQWfDm=;F}>I#q|0!->7Q}wEN8!h^r zVQ5A|atJ2>(OEIgii?L7j9g76nzkuAEjVWe?M%q3>zA?kH%*zPfY(n?Z=jh;ZSK>G zd=G;Qe;_+}NgJtzAvyLe~spxCnc^ZTH#<6QpUQYhwUOmvh`n6aBNgPzF+ZrW8D z5~T#f36}Yu;;JGn;Ll_3>|+wOqQdRcNRY-VuutmLjhSlIqJD@_S{*#n+{_E3r#^pp z_-l$9UDPmYhbJ&l)E;Nf(wSP39zP{-hws(JmpXB3fb$rG+6IA%d#Bit{Myk`3Wvd1 z+u`OVp;!820X8*+apjsee|A1Y#;7OFDQonxa!G(5=C`~AhXykgGGr`NdEWMT)!k-% zYwV)oBK!cDyf6(xT=*beMxt6$Lj8ouy;m!TXaKh#AuDjS@sGU2`TkPN@!xFx%pnjh z8~~3O8Wa{HqY*3-9U#S=#0}+;K%bg>$7Pj1=gkLqr%Q>S>?jSmGQIcHO?z0gva+ZO zCcMubFMRG>T`$(#p?`|z5N6DR-BrcKh|T$hjU!V>D3?Gcp($>nIzSRodPAb^@k+ds zPFiMWW=f5)v7_g;%Y7R-?H(Wp9gmP7I#*S_RgcjuUb}|5Z_oxXk7Se94-Zs~u>L-) zlrvO$V8IKh({hiVx0!xIuLvKE`e zYo=Hb$2k+rneTp(w4w=ufa!ub@j^L?p{@txNX}YbImH7HKzhMi!!1n3<{~pZK-?ck zn1U6o8>ns}-kvTDU3GhsVTlJvJ5i=+oxWTQSN^2IFT~yCd;K z_6{=|$j-jyK446GjE~?4pw_^@!_e+&Ig>;&f2VLp|1p@aqDHL;PprC?$@Q~$Eni-1 z_}>=S-0auzqnxj&0d)Rjf210{u>nO`WrUwxNHdjA$%DX8y5Fk0(tvs-p&2_-x`#~Y z$Arm-MP_O#o;R0t(!(Omfzu;RHic7O4i`R}U}Mc-1C(GHD1Wfd-h!`t?VE}baGx~- z#t&t=Q8Q_+T`!qC$IKI{;;??j2Y)eps~HO!1uHBGjGsP1nSQya=~~L}dz*X*reTuEo`O5(PEI5&Hyed43&|)Xoz(w1s0g1(gPbTIt>P~OHL$H_gFBs z{2O2*mKK0f9v$T%Mr&UliNQ$XVd1dpA4TQo*F_QWlqXg~*A)1f$%r<9$o1hv`O35q zIv|#W|9SPAM!=T#w>WnU=4zGsZndc#OC)$|Jc?zUS?+VV3;-=r0}oyW)TdqQ%I<-qmB!JY@z(ETyuvcvhkW>J>PDdY1@++D{pcqx1{*9Ej4c zo4;{j++gs;K+k6+Xq)Tq=7y(%2?7wOM(t4{k%%@CmD+2;WL>bVr;@5^j>0lHuIvgw+`FDB;5AV`#Sf)KU;|s4^jYX?;ZCfcOs5$2Y-4 z78o-CQWs)eWF$wtL)MEKA=I@P5!L)Y`U5_il^;(wi~n|i;jG!}mRp27=5eb3(CnrR~hghm0k9@>&y%@sBuNmemc5ZSXIe~ILCa(-jy z<&C~YLmdK7J)_)#4v~g{iL#}qyk_eap*}@_O36$WcK1*?}o|R_vS&} zEr!SK+7Is&8!yrDtde6diuH|$;uzj9Hwg~ubj4WEDE&Cz=3)4EL^5Dc5`j1#ZPS{O z_-IX!$$xOuwHaU>5hM2W+4REaXXyVdeJq-L{h5uLj%cT4j2Y!{GaHe#$B~cXj$^S{rD0w>2Sq_B=3L zDX2oI`A+$MSx?q^vDDdNUb2CP^tNT``s(Ah*Xq01AKSJ@*ER0?(#o;%Qt}wMT8qHQ z5?GL(JB&^Xk~Dryzxe%zyT+HW98DD;6E7wn_(fXrmn4ly6G$(tC4SVJtx9>-_ze3C z1@0GxL+GJknud(dQJ$fHI^JkF_+U6E8whW%?}r^Fl8hncb#yZ52^Oph>*0y%^{72^ zLnu<5CsWhN%1GCCnydPPgpvU|WG0dBF3-k()xuI($?^@)M{hv`F!QaZK}s|f zO>g&x+Yc57qO3?yJx{F;8HIxsB_xCq0>Zu$SrCU)x{BWMox5k#mE7e!5kQ_bG9JXB zv@_}HKkU77JLSgotngHE&06_-Jg`M1;PPk?CpC6JT?JY=ADckGBI+o~%7?-}mluDS z55t5eN%T{FQ6lt3v$)wSO(;BbE(W16kvs5diuJY@v4d+%WL2${gp`kiLyCMZXT*zM`!b0MAD=yc32kILM!L*LBm!7TG&c+r z979adaH2l&RWtc|n~$13eTZD3g9^(zJon1r@X4c$Q%ekqB)4M zIbn%fpSR?{n=fA>;tYT$@}JzieTs#Is_X~%>8wZvK97$x1*4c#CJ<6>gLA&O45Pvf zg)AnsBL^HEL5H&(lR|!got+)zxKX34N3V7rT@8&W-0VX!Fa)=KQB7uBOj3cCAe=6u zCvR?gnUnZ${#L$7zI@qF(iOVfJ3XRk@oWBjLI&)D(g;9*(|wD7d) z79!U2d*(Hk?=ijm3?z4b4}G?;_wR40AKV`1vY@={=ag9J(DQ!P@drfl@oAwm2bEDN zJ4f+1!}|YV*JN+ZLP_$L-&IBTovn7YjpDQH= zQubHYf4kMkZd)7QblTqzHePRXU$nJCaX)xD4f6Y}p9EHCa)SrGp#bk01{fk%DH*;a z+V(Cgkd)Q-L+i5AOuE~wpZ&6tq&t-f?ssvGn52mMb2AkbP!aAasgIs<8#<9<<#$%< z@Ev%%7~FCUEZV6CyFz8rs4Q?HRv&$SE`T)%+s_~g88$dew<0$)MOdMvI5I}B(s;c&+36@Z_&m{SS2R~2tLaU z7H^tROhyeJnT>F2Z;r4FP$(~U_Ki-QmAal#)}6S|MRp@Q9~OcKWw9dypz(Y?)=A88 z@V`tf5f`8C#cuyeHkR*V{)$d0P|s^$l=-~%aS^ae+S7%H$A68SP7g?}SN$7VE&WVm zEAQcF7fweUVLK<}R@=-2!D)P!{@Cg-yup7ZJ}hCmSS#D8`8=3RthD5qa1*YY!m<+j z`HPydJ#K8w#>V!ORZ$5ktyOJjoCsE85cFWs0Y-kZ z8Ual)UT;gL1PsFtUxx0YWRn9mm;itfqlx{$fC%#C>Ix)3y)^&`U7nJ)oOYNO?tazf z#98&6ZZ8t3536Tp^vn4{3r9&%^QsN@7!kMJ>SJ~VK1CckU{XU9%-hh;Dl{QBwra-7 z-tl3~J|RfoN}WEUr$!DnSOia^+$53+isGrlnzm0eF2y58CeJ8W$uC)4Ss4w%NcU*Z zkG3K1==lBocr1g4C_UoUQL>a#sdAD5fhvoXtPjJ}pA2|m6_dfehkdR;NfwfrdaKm6 zZKxEZtd`IL$phQ|jwL=%7(!Qfo)pHRR+*$CweVriXz3!2g7@V)%Xfd8CO;j2k#W&X0nuyT{v> z@6)&qo80FFl^qTtXAIm~(@mO=o6DXpZ{5ca@6B~YeoGffiGEVh2UiI)y1kJC~LY&(%^%ByqkV0yV1R3D+PK7EXZi5T?F~ z*&nZCgrtqXYpgH;Ed7z~s}Uj&g-kp0dftPnV75p7Ja0sB`+eh9EfuY5I1+1 zxPvBxOdCwnG;-C#1d_qen3Vbhj-FB~K_^cS4_Cv&9^q=FDoPqMuPw&~NhgULme^|1 zhtsZD$q;7>kNm0zX<>(5A;i($=BZ=z6|2cO0dXs13^kZ0W;O^IkM{SROKau`sLKLs z(;xX?_!{!pK8gQ#ukkgHRSp(Fgn+ujNi#SAZd`MN)ELw49>eXX3$p=Sj zv^6a#F{Fe+k}CAyCB2ox8AnZup>h`%ysO7QCoPV(wbIhkijrRtu%Qs$n`DpBC!~+5 zsnJtor;8QFOEHDfY)46~z)#lb(BM(_n9L6bNZoviT-F%A!+(Z#rD6)x<5cZQCC81T zoRHS^FUmLr(rD6*0tBcKhxTtUg8yc(^~;WU$5lJ3U42X%I=L-*rSQ1v=fs&eYHgfm z-a<{-RbG{iO9`$EB|cbP994Jm@o!|PX)V@!p6LD9FEuRb@o4kr6q-Jnm^abkX{_?@ zom8t<*4DA}@|{?m%&8^^sQqHZNiXBVK=lijI$62ErYN|0o)CI}>F|Dbxmfpx0zh^h zngjns6<~-OY#43Wa6iaCx#I+%+;wi9FN}>3*lcAPF0mm1m7FsrXRKhpOYl%InV%@b zQqC^!zZoLsdT-{wZAgFaom@;|uxWC1w`XQDVTs_@AFaXeimN6Kt-}`ai#sEz2gwAx z1Cj{b8BBD~Lfn@x&LY4`HIlg4>M0S4@M$WUQ~!QjWq{q!TNYN|s)evw7P`GRSYA$B zH*OnTJJB$idU_|AwSA2*QuLc}Hz`jnV#0l{FkYb%@{F-AqN653qgJIGbVW(JU>wCg zG*-`wD^;?WM=F%gz0f_L@%463=trry7n(bRUM2+u*339t7?p5B0e08KzE>>^Jsh#$ zo=HWEmF02gaJjIU;Q(=RJ$qEYVZd0hSt2f%5o?YtXXRNTMF^0;ahi?)CQIJ2;EkU2 zua2&!|GhT8?r%J|_l63-((u8Xi>?MdipE-b&U89oT~uTRj*zTAKMT)sUV3z1 zujGrv0ixJMdh*1crI`T02qywYa(u_h@Ii7wqD2oCDma>~<<8Z50Abjyu)a>2UOyRF zefB2`b`r=IF<#}<2ogiY^ZP9z8G-(%2+$28PsH=j8_a2ZHD8jy5aa98ap5f}=6Yr=^9N$A5)U=t7HGQtrl zz+!+`zQrmfJ>$+<-p)2Z~LpNmfdi0rE{BEO~VP%X4Z_Q_I=&{!EBs)T41%NEyCEBeFhlZby z1fwMO1uReTB`-SHfy3DBD^+wmE4q?-Q3dH{9x5lmuA0^R&Z%D$6BXsUEt;R6hyfkF zVs2qy<(PZJ;WfA!GV#9Gd%uR;xz$x4s1aL#IQpTJRe@;$b@`+WN1YrY4LKlhWR7jD zODsr(?gw%C?ai1|c>^?s%f`tm7Isore>+#7{&aKr@UW?O+jAPsiY&b+Vv(o_;pF7Y zDn6Q?c4(bjYIYo)oV+|fj!*BfltUM1y+87-(Q*_+8jn#eYcO_tPUPUzp6RS96NiZo4e#6C>YcffJ`09yAs3yp zt}p{ddP2ATVUCFrA}YKc-hHKyDLo>kGbVC-kdNa!wYC=R)$EQAygAE2dg9FRIwH#Aqd1Hrj6Z+^@Nk33{bH zOaA7Ri_y_~i)0b>T6;Uub=!sf=Pa~1tg{gUO4gMh5yEF zU*A}K@9qm+uCG+D?tOMQ=%cyLfV^Mwo&^ z?L2kuyvP=M@_n80sMA6Dy%5_qeRs>^^H{d=JY|bg&&_;LCOke|Wu9LSqs5 zXDak?pM2o`u;Yt0N09rrr^{qJ3gYwb2KJK7BwdJI->FQ+IaT4 zU1k0gmzH0YwW9q0^mY6G;{4Uv;N5alrm!sT)K zOzg%OC5t#I(8yuP=_)0@+=^5`i-5lm?+4AD?@GT>t?qc&Fsf2XVJjM=gXjSi8(|kYwry8<xEU9lcAf?q*{eJQr=A^T#A_g#`O)2X%)4EzW=)tz6U)h4nL77cn>*zd-_ z`X72=j7san((dyY6aJyV2qP2vJ7VzmP|qgbhjVHrkS|`~Pu=&QAMZZVvoCVU6bVJhuaZM#uVNv_tuc-#914)?Cw!L;0 zOQ%WnI~t{othQbE1HHck7vtA>zq0$f(a%aNQxWve;f z&&*juwuweo4&PXH+EpSG7x7+12sK=yro;JfO}x$An$#Ex!20Dnl;!nopd_A^<2u|- z5B(~~g*!!ZHEqp$I-2n0HurSv*Ov_bXM7FUm~6K7pRF$Wx^k=b5O4XR?XLWJV{6nd za%qhUbpMn{3i>Zyj&O|ygH-iD6AY-7JglUj&ssDp;Fmg z0@aj;De`Y+`aPuZd8q_w$J|t^Z@ih&qsg^)n_DugLwu%*6GrzfbtAiOzKs966CE*6 zu=U~S!tjYSZ3I|D5;_hrb3*xsIGQN)>s&T9C#195)I=_1{xnKP$_MP@|CmsHhQmZcEM@A7WP zDEwL|@~hWhKmJvzks^nOiGZO1AQ(hQLk$!RoADEm2;+tH`RSTt{y!KTs8*7D$4$d4FvN# z1%WozQ~ydCB+$mmsHbfeNEcYv-p_qS6~kUlI#|K53&>HDW*$t`d9RfqHa?>XZYQTh z0!oSysfaDDsy6LP&pba}?@hH-{^iwvL&83qwsl)7iC8OXGV;u4rru#14K1%nNNyR zu=PFoOEWP}>T?yQhdRPM@N}aT8nOI*7`k3<-2@+};isr~?t8x$EBMc?gjD^&k0*vd z2dU$LpJ7qUjA_Y(kxHH_AxMUQ}_N>Kv|K#D zNnnp85FRs`k^l$qM$%sdWFmpAVcw~RKHWao!wP%)iFo~S{~1s!AE#Nl;(GqVyOM=b z=GlOeT-oArfFC=&9@jX}7wL88#Mijt!w>MfO3aPgd02zy3>vIgFiH80%FiTZ6>w~c zX5#*}2Ch<2!JD6a?a}w^e2t@VTvcl5Q99_Ofj``nnzk_h`QDFa=q0QUq*=b(leX=~ zE0GSkJTBi)>{Jnpr)2Bz*At8QF7D^>` zKxB>xC6UJ$igYULV1&vUo1Y_JHWFRhcZgU{vLoT}W=D_{N4c~_hBPkX42vsn9X|qh zd46)uWzaSqSt5t6%Cetf-ca_MjF+hTQ-TtT^~o7iQ0I&PigQRQO)TVGm`{i)tUy#4 zzDIzxNCscW0n-u~k_$itmiqQ{zAID1Y{s6vBnpb}8RdrOm@9tB&CGku#CVCwfXgqO z=I_~A#I|}YgY+xpS%#gPAJEZjKx)X)aCAEm84XAuVc?R&MR*Xkyp17;F4hO7_m6g+ z0!SQSDdr)7uslFrF-4WyU=QqJns#wO?fl+#SF<^if@;Svs$6Y@Ox`lM1Q!8&EnlFm z$z$s1dCT30bT^hCfECHq5x3^kCDJBUIM&RPO4jRxxHrJ5s^xb0i)|%q$2`mC{>Cno zZ`=P$5SC7am_il32h%nEj>waUM8xs^%aoy0^1l7;zsKZr&J zdMsw*ygt^Sit_S5e*mlj(1_>fUn+@IOAXeUvNLn_UpF{Vz}0H#At8xW#wpTs_my+j zp&c32EJA$QzxX&gd3aVW24Ur%b5uTapjg2CHBwlb(1RPQaus~&{$R4z@Sli!;t#yFHN5)5-#l zY!O+cQlvrw0G3Xt-A$;OIfEB1Zzw~N?HBs?*kh!lqvL(YBgnMfeScL%?Gru~HnxlQ zPXY}@s!|o{9Yc%vuRA{keU^D?G~^`#thEm0dvQ!?`YHaIUte2G2bUf{rdGiSEYkGEi#=3W5GCgI)Q;7J1BtRE-|Ee2rCC4K!7nLNaRrq*UTDFPchRNYx-V)DH>0$ z=d+PPia4cygD@7ALeE&g2%D5O&S0rAo`gF7I-FdOI!6fmx_C=~9w>VCsIFXEiq|w- zHd&hPGUAZP1c_pPpj0|r8mmg478YyoUkKpWl^WcbL|Ym{R+S?rk0p+^yp#YSDnQ^@ z62JZpT<+)aq*}T3Pzk}|UHKj5^n-p{OwUoAOZERdWj~9Rm&zSV+vi1Z9a>X1}p;Ps3gYw?fSzx5!0&O-??a&gW1w+04UYG{o91}%ne8GBRV#884#N;b(DdD zA@}X3Bs4U%ejch>TCz$3Lq0FWXRi**Wxe!De72ok?xm!x_)NUlAMQLPTpb7b!RONB zXHjU^c{*aufiuF}*w9wh(3X~4`8de5_M4%S3`+r<*JR?MtgI|l$IE;or_7|-RMApk zLOM|_UNJ~2;Yk=2c5?eP7K|3GNGFBUciMh0Bna$soFFCnL^7{Y&P@!a!_&i}sF^2+ zrXK+Drn#Omq@Fno4cGv&@MuzET+A4}9N))>Y9$tCCbj;R<$oioogP~&>yH)Lh5gxN zEocCZalxktH1h(2`P5iap?}4hwg5jU3%Zt842~HGn;;p18x97wdi0QcLmd+g2hLn- z?%x@V?R-76?R5J`;j@F;Nx{y=b+;YKqLZdQ)X^o$>wmlb$!idE(`)zC_x`H0H210U z-W*@#d#@KfplVsN#L~hhJFX5V!?sSJ+#ozqI{x?zxl`j}Y;60W3|3p(FaTXoo8b7%3<4L*HI_o!HFteF^U@{$5IT|+ z5rkHjsnshcf)g@cQOO;p0FFO+w#TChH$?p536I)$YrhZsSTBK1FxcEn0?emUuv{4b z*WOCg7LdBQI8dPwtLtOj($S#E{E<(=+sk6Nt7C0X@n|Ug$WUTjxePACDWh02DWAWu z!x|1b>#t&dzk@3*nx{n{-8ilxhSva?#Wzs_)j z%Sv3HKq!k7UN#=?`s=Zlo-VR$UMEb&@@eUszPs@U#S<*2gr%5KQ9r&j(fq-}!(lfQ z4Gtjtdh#oa?K6kcIYpA|OtRvb9PU?Q41CW$3*)<&$L2@g1GnehA|7>ZO~-MZ_<0Sn zl+@G-xm*T3)SQbF1*9N}kkEjx%F2c1@)XmttE($e{U52X8rIlSGs^IZoO57u>=HV2 zXCnF@>G2@1OLY&&Dyr=Q7sEO}x4${nQ&Vi6o3TGmS)PMaSsG$;vj#bxtPQZmCP(ac zzZw4NS1BY)(b_&92?8YX#jKqDiyy)8bj=UDL(9{1H=bhaQz)6T;$28@ZCZ`r^KNy; zOtqCNmt8zIm`bAW2sPiyI4Kqv50wVkoppEZuAV(NfA7*Ll>Q21X zK$pl)=!N?jR~z_PAJ#qB-3(0rEz#)A%7jak>Q!qwM{vAC^HYdZ-FA;CQJKdgi&RPh zUId`41|AAF{u4zQ+92VQnaA>t7uk*ba@lM{i1I#RGDM&T5J5O0olvBP9FM1&*?Mns z#Mcva_}pC>`|WCs;|CnbqI^EXa~9)(PF@VzFXx}v#)%WFp_Sh-iUo^Ror((aNzSK_ z+mn;Sjt8IJA1~LZvNw-h6g{6N84gTNDB+$zK_%bKO_nQ*TSpu$qyoB(wm-7J_gGDm z!j$iEMyFNdYZ}7}(SARhA8&7k%8kgp9ymImq&shp37q z=XN(63`DMh84^rj;eIYg2l0^uU3$1y@>*zYi?N;LJlLiM-jxZ&jz5JJPJ@kSjJ3zH zh_W4HtPxo~w6rct9;Fq+`g;C|u}_E}w6GZnaLXT=*3(K{OyUb}FEG+P{TXTf-BX!b zigRj9zfYMbxhBKpCD1Gf9lZTtjp_U-bh}MS=qH?1f(9R6OBaZTIDk4bdtzUX{XC~n zuA^P)j)#sZGoWyFXc+7I=`Z96tv5N}8h0hP?62!G1AO>Yn4dYld4!CmLCk@ zop&`o;d735n*yDMfr@z1QR6OgV}%6Y)}LRdC08@{_9Mdivt}#SoM$dK_4p&?NceHw z9R9A8qE}fykC!!GPyr4Wi_Hty0Nn=pb$0eHdLZ|lRH_PB^qVVcb}4I6kuLuN4-Na-s&R9$^J&nf zmQ3Wjs)Wg_1@XF?_DDaOTv-$;xlTj*Q-7HMZgjjqT|742+j3gL6lrDWG`p>ouV`-{ zpF~6U5E{5*__`|aj^SFVzr-b*Sn7A2FE*;ot5|aDaM&N*Ca#%EZXTBr0)*l8m~5CB z8G|4_75U+Bsog+$WO)RbaHX5SLw&4J6{Bav%+cvaXXlcYkJ1APv^^pyAb=pek zRHMA|f4}=~eg%d(fccqPV7|Iu`g(Q!83*PeJ{Hcn$3O=H_?)Y!Id z+fLfpoY;-o*l28y`M{paJX`8I3rIcJ}}uT4ki^){HUkkk6q_t^E!f7!bGc#xhw zsep_9qL9NB4?VJCo&bR;zWm*CCD++#svn`XH2>6fj$%nf<$D^=Es^4l9~O)Aker#v z_K?x`m(dHF zfS?^<6%Dq?Y1M9%Qdx*5TSY=yow2dtRgIXvj(+p>RF1#7O?1C!A2Uo7zn{vbDR`A9l?=;TVZ0G zrK=F+J2*IifLmF_#=}y$u;HlUx<$J$qPBniU#^a8`QG*hOek6Uv@1sy#)>Pzi=--9 zSKsy?91izk%nau4Ze(L4;%$+Im6ePokLQWW(K8d68Knv2Jy&Q`iQ6#&znq+WOsm~K z)>kN^PGiFsE3~WKcyg^k?cLpWO?Hc?pqKX2uK87`wv$&s`tt~uL^JbOUSlU|c$eba zQSF}$DO8eFFaC2*6MM4ws3|gI3y&9H@$MuS*OJ5IyM3ODjU|pBdtQG^z)GHMFY-M6 z3)k1wbkW9vrFXfQU!RTjmVko{c&4z$)1^cL_yE|CaNykakO8z5_hr1LIptfYy>YmwP#@HqE_l>H#>8Amy*}ev+X4>()G;J{; z1xT0Hi`s|><;IkK2LPzhW$%ry_f|QRbk*li>_f2gojreT3=x(}X-hcdR(Mato#H-$ zbk#jUo7v$HfXQ;SESx=D@}Zmm}FDrvNN!rOZ!!N_N<^VJtq!-0SZln@xT z11m;=g3UvSZ1m|cDl;-lXnxOA!gDY0gMD`E;buoTU2(D7+k#|XR+j^K;d|U_zpSWy zv=MYU(lo0{?y{ADbih-?G`Qe-bIhCVFhm_W%cyov%N<`X{U|EjJj)o}4S;|TboyZY zqdvi4<826HSeG>JQ5lyiS*TdzWqlD>3@z#e4ZY3JKXqJo`8TO( zYg_mn|B{T8C%y)irXJ7pmdk=vipnut5C?ZYz+t@~KKoae2OdUFZ~Wi4@>wQyEcA7( zn3xwRT@iv7w9lW@6~4AwEk)ioj>irxna*C#UeAdd$3AO!1?SmQMpi+fo2#B9V|AXd z4NFH8KNax^qw!=1tz_u0O2I=rOhjlhfL#;add%1|OJ7?TJwD$0-Uu{?d0Qo->-j(^{m+?;1*k*8Y*e+ zpFfwhjUT~r9NBz*PwFYu&VPM@^Ck4M*2-8v#{Ttg7l3M%6`6E2^>|!u_PXo|*VXst znez05poL}EZT^s`301c>m%0|KnN-q?<|Y0}!74CF9vF<&U}L(+dbSyCUq<9Vp!gz} zN^g+0N(E_ATlH74hmW1}01geU=xYV>%joBo^XFp=|MMPLBYoaG?Hv6$?|J?%_*$Ru z_c3x^c!)hU%^)A607|y-?V^%E1VE81XkLpNviZWF}kO-GkWI(-pKJgGo)MujpjwD?*MGbZ_&&JqTs&z`}&V7HT-H<9{SXVdP~U=MjY7N(_LXhO>!AR4b-nZ8*am<7U@W5 z$3na$I4_j-34pU6FUjyp)oK2&RXsxv23Xep6scf~y@0oElnq-O5!?rL;9!h-%Gvg3f6#8Qc5H?jIm={sDO zu1WJzvwj^!s3@`6{j+Z`IvqsZaMDR6rpC;pL)V^K^a=p6GfF`bv8_4K>e%{DdBKtI z4Wj;$%TCMY>kx04B#(4^>qQnPkYvQiiJ*G0EmT}2RVvsO5kR)acegh;X&SBu0iJAS zgIDo38tiQASvhS~E&}K<0)T5S@^D!{gq{1e+;}MW%o@#98l-8pYhOFuv{}F66B`^9 z*Oo{_1HeYPt@(L1!r?76(|@mirE{Jq<)vw9XW7xQLL&e8W=6(1oT-6XF+? zLnad(LhswE#b(w`e-IqIQ!~pCKN09LM%=T71S^eBS)u zH^<)EqUR2&-1IvdIG_NRnL*0LaZ5dE#iQ0xI*%1zo(86 z&a~xOfI*@{gLJi~p)Ya`ZHIh`iX5($_UNQoFa@zuyC^}okjA-CzwDIaPe62RL&fG< zw^o1XBXF}=B6r(XxQl;~B5~rK8<@=@WZG8F5};fF%R+A~XaaOD!wS$C;o@MLU<}dJ z&cZ9sSRsUpaf=4jxfo#gjnDxnvN))-&ebg<-ILwv*vza85@31iVARtK_+gk z5sy|SWit2oVkT<7govXJc`*{{;i6B@ZDt#cICgQ)TycFcCmr;>RD~i!RKNsQFbx2C zc4>1gXS`8~&OujzH5*M7!lev`i=~mR*Lfg;JrN>iKrof>^}sC8&CSb8KCzdh zQGv^L+|L&$J&XYS0H_FvRRB}$x3N}~3enxRJsUIAQ7s-vuR+yfBGJKK=7qE+;W9Ns zGXyz<7#ugF!*ri5b=h2s5=dia`m+VA2c|9i(1c3OCe$e{WL8@gnN~dC3|8DHU4T{r#K3 zk;=5Ahn<<0=f_HBD%Z7m4(H~Miez8^-TI&cg`-?G#Z6EzD|0PJA+$O+b{moHmOy6e z`BWg;7i>gGA`A^wz=4YA5Qk_Vd zAUV6?xX@<=SL`6*&%>12?#p4v&Sv#-NJe;Cq(5Adp+vNlWQ(g+2L$+pD1S)CTlVev z(Ajz2Ry{~`YL~JnsYvLm6Qsm)oPh-(iGH^ZQK?W7OB^emKEsZgK>$7z^ z+$A<|*CJk|MrWGOOvaf#IXXI8Kl5wV>(6Zpq|y*n04eI?oV_1mHb)U-nLdE1f8+y# z!fL9vhA@1G25SfaJ&XGrA0Xt2)IK#WguwI70UnDQ0QkKc_Q?gHkXQPeQW}61@mlgA z5RwKX3IKp}*$jUuaX1MmPsg@vVy26D8$}_3WqgJ3Y>(Wqq{SeJV!Q$F}d8L z#gZLBZ~bI8c0{)PkJr^q{Y&3y4T^u?VSlx6vy09zayxJ~#; zDp9wFA;=gFq^TF(kTipUOCZxOPy>iTjzVK@I|&ZI&58F?q}RE9i{y`%9PPz;@S$p$ zPU(oSs2tnS{+VED{VpFQyMn|@X4D$7P02&p`94_MusC^j_xVz*iU1sp4H^9`61HXGwxina6V&_!= zT`QYP+A0PjfI~h~igxmB^;}_*cwQSb@z8VM2?h-uTHEE4AbAd3+t4MAuAA`#@iljT zWvpESTgbP0$QOQr%ub&V&0*=t{lvocay(xKact!lX5Fw9&QAIc71!<OtC%HkfMGHl#O=S%o4B%j%dn?UE)WgD$GBwCyki3B>kdQ_=>J!;A(0ox(wspt`LLY|$LDIvwUXIv(~ zEn!6!gH6RM=L&nTdU+PKWPt9&LC4O9c6@4h(u$gEvP4KBw-^$IRvp^^l!-WQ>0~4} z+Tw=Fe^sj5&qF_Tw7}(05(L#)jmD0E-Z;>;#LxrA5tpubKMBp^A~wNkrC3M`xzFg@ zg|E2vro~iuVJkNu)=TLXJEc`pks~2}F&j`!%-Y)85V!k~|MLG6ti^D{p4GUOtJT-) zjfek(mR)W4{bvnE2t|q>a?GKi1(5u7@T|vcv+t%9g3ZE#K(l-4zVz9Rf1l{BApig< zxbJv-9^Ps{ebln!7fm03+IO8w`d?3gL1FdzpZAHUH~lqkD4RF6f}9PXi_>qt;lkUK zpC?(N}#i3qHxZq_}|_6@h#1D;0T)1P*9VF#7*0@EahnrALLm6){h&G`^3 zt$i2}YrEL(TQ8gRiFqsf_93Vt$}VGP&tXm1O_v$TT;eJ~1R>nHy!rUXM3HnUpifR9|HAc;fXj>}=P`y7+VIzFG@ ze|l|88#$`yRnq_B_3j_sCLDks9A~UJBn+ofh(u!{DKHvQt3m?A_;wez*$-1Xl*S|b zEqtFVCb^zH2)&!N_x3UtLUTMD;g(iKmyaU+Q~WQcEtGr{Owg_KTB+H{U96=5#od-xsgrt8G$~avq`n~ ze*&!8a!7ie9G>Jf;h?Rl9Bx*x2Bd?>Ln;XSf@rO|xqDr^61kkEjG7W66{lii)@?LP z2&&ggB*82RS{pq{+9oT?`=a;|>_f+nT05-{BilIQ(7xY4t?9aG?<$@BVCme|_wF8~bIun`~a9VRbr5 zf`mp|i7F(N054yXFZo-cl$}edIz=`u*LkX)0|^RX`R&w^u$YxfIY->ns(Oy&*o9}` ziITktHh@C9DXwCnVlga}AXXx)Bsp2N+U)l2`4$|#RHGwTN*d6u-L6HXy3d6J0;Xg@ z90h;hSMq&X8Ad=$Hr|I_mzwsRhfIraI~gj~4h}%$wfe{a*q{O>J>8#8kq?MQB2Hw= z>oq9qYs#eycKjblb2(QhM)i1?AuKfE#sf0H4B4N@y69P3IK3mf)=7lpO zNk8mc|Gkt)&cOBDUG?^6n9?(dKubj+d_QZfi&tt-(HV0xC~>>Y7VvzU{#;@v_CBUH z%v%M0UbOf>4Xtf8xAU!8*1DJ8-Zs!!139uMD{Ivn};Y$@8Gn|6_eOUtgOG2Mb|o%V(PCvCH1-b@Lg~ zycLIW5VDtITa#1BQb0Q&exSW`u4L`_hkb{7)wy)ZG0t!3-{z!inWd>Zx~wiTf%Y!Xgmm7mva4>3Y6R1vEq z@{_v6?cE=<&*X;s!eDEJ+XJQ2OLn#y>s{0#}5nfNr2y~fi$PG&DV*%xi@OAqyM zUp-pPEo|yu24unB^$AoY6z-rpu8hY2*$rWQUYGo zC_+e4!qA3rQQ|t-2iem<5y+B&B+&t)scmuyh$NPPKS)3^JbWk#veC0+<;Vb=z~cXT zXUkGwjmUqCNQZQkuaQ-y2+!00tp2y0xVt~*%1Bo;a8Ewn?Q}gcSg-Qqn`+NFmdyky zNy0UUkUBJ1e+r*ILxIxv^SN0gj}o2@#|{UlMDW8;_z-fa`!a5R)W_~?p{Ek4+8jRb zm%SJ(_lI*e`?lS4gmK#fPkLm9=pahWb^j#-*}){!n(75juEZwo@|SK6ecR+qYvU?s zF<{c8b>nYU0(L<`LEdb$Zy^y?3yV_b?G8`^V-;GoL{4~23U-H8l^e{6m z*2BZ~o6~Li0ryr0nw8G#pdRYE4+i(CC(pH<5#i&}>G&?#N`i|Gy-i6Yck7C3HydqR zdD?GJY;P;AZ=)wSylb^It!#+bu9q?6QIKGWTR#~U1#j08!{d5xtL^=d@7j_jr!F>F zc{q3S!6^WpdFzICxLw)_-9HU_*Zj>5y3?_qT7Zr`0WBoU4hnJh-y7Fg{Pfw_S(HTuwJEmno+w0N{v$ zh%XkqQrBQY_c%^%`(j3M6P4Io%lWR@}uXY zS~ns$wlUFBOhUxW?0_bUZ`x+7p500kxA*Ur0bw!OZ{+&ji7-DqJG-^!b1+XE%#g8m zvs@gR46995Ipd1j1sD9#evyriM(n6rWi*Z4S!T}zHcB0NH#*Sx8f%UP=6M6>e^3mIQXaR`P?sl7Vg0U0{pc_o27Z z{5N=Z^EQ+8CKWLTGkBp|!s0{3tJ8~V14LWa;OaOcI*%C?ytB!P9_*Y5t;$$}rmVbLUzW5EPH zx9^vqsnZB8pEuyPZR78L>^Gg=?|k!UpGkU`;tXJkk+ zltlKjU#TQ_;n|}Smid)zq+8#$UW&>xe3`w(Djn?E4c!3Tm|pNE4gE5f@_E40$jNz& zi8O9T=whS#I_+%VlT3{yf=8-In3Q>^UrG|l?;PCr{c>MLsLJMm^Ig)duYzZpI*;7& zKm?M)0`gt>pUSICe(r!UXoUASB?&p#`sqr$42{J`TR>}f3d#Be^}TuXZ-J%FEQk=w0$can#{T7-&uF=C58igL9>&k`mNk{SU-{v>vWTq60jhwr9Xc zz&0J)Xc970b`?mn9cbYMXl3i#bDL%e?5L<>>&`s+i+lb3Nq>yqbr^ERDvTo@4U!;Z zw=waJ0S z_3Q{ur6II}+^L4)GI+C7l!K6hlXV7YH&C> zYa3IFL{qiCmBR>;ZTNcI)VmIaAH$jX&EAZEKZk@Cnq}xV#xZV}i!Ob9!RlMoj#=Y^ z1xMp-@!T;-wtR7lO2vvzNx7+;GPTMOb2`1m>HWP|4uy;*dK-n~jf|~GXFn}G1*CH6 z58%7^W$RZ6l@-IkPys6|p(>+4GX#C_<3>kc9GOGzq;;?*3a zotu%->hMp5a9I14>066se3rm>-?t-pMQ?=EalWkt6LPM7nV|+xBh8u-g4}h-z0hGW zh4536siz|U_0J~{3*SrN<#YY*A<7{;dIG&bib@3TaAYayc7k3q4hunCWIu;;Od_Ce z;{vStkhxfD(_kfWj6!E_AmC^XSbzaTrK&X0p!?NX=jqh(RIa#&3Yf?wE`{o@=aOu; ze57f1&T|0x3y-yZ_4ogHeZ5v`wyKQod8+Suy#2iG1D_XHSEJ-rRl-6BwRb)HZDL>n z)|dcgnPMiGFEqu0E@`L11d|8!cg3-+5l`E}sNHWjiEDM=lOdPC&3X09^+%{{7_eq5Ji_ z-DmjcMd;R>V(x;O())ovHL1nKL6%7&+9iD}`j>sWs#q#t1z5Y~1eXpHZX)QQHVfKU z`NJG3&VHu()H*j}na93Ty(6nfkkVyvnw>T5OE>MtSO9pyO2(FmRv;udbxR3TDz!u+ zbH+*gddejZEhOVzBn9Mdac(V|Ay2}zSONTq_t|F3+lv+cEs#UGjaCgzn)-|DbYMXj zQ6?6|l)P>mu?L5SIGA1kw=!f^x5E0*x|hh>8q2>3P2VDhiezb9gR81@6-w3UV1x^>#FbN|?{l0_Pfv3dPCSn+ z=d3_U6!1R!$qKqJ_j-r)YJiLZY0X}vK8E?M#>PW?zB+eM^V^jwoZ{ro)n4om_~9^E zh=T6+x=xR7?FyHy^VKmn9gyU#i9>V4n8S^VC(Kw&^xbv0@#eW=WGm`kV5 zYRBbCVjmq~o-D0Eq%SY65`mkcD(!8xW@Di|D3dO(`Gm-jmqY0*E^d2KqekVzMfdB) zf8^%vzF;sCP}D3IklDyc2Jm@k%-7Y@0w)i&PgTn2ov}vLx5Hl_^fYSbQ)qc^2IvaQR<$R8jp79&4T&lFM!n^TEIMD?vfOe`gf{P3ohnS`v9i zODw>6IT&|ySUzC68?T9O%BPf2)P~N=mI6l#e1rl#>N;1umdQa(zJ$m1oP2^CF zzCUiE0tyQY!FRYV_p{~tOmLTc`TQ%aX*_$|ZPvz;h^N7xtBYx`gu~q98#{r!-p4@c zP%V^U9T9Gap}wIcP58mP<&kMg;?5_tT-^TO8cS7To1~xg!5F@#iw4e&Ghx zUf&1>-=p=X*C(9+c9#9~-{jBZM}1TiNC*sJhc0_sJEoPD`tgpNjm7T|$jR{isW-x& z?9Sny#cSd^?=TGZZ)|oi!Z_MC7XKPJ)9-r1m^9K#>Ro@Mr2wN?Odo!cDC8JxFrSV;lA!WaHCz7`CXB@n<4M~OCNeYe9%?=QEGeLne<7L*QTnb0_ma0AGv z!qETLzZ6I!7(VLP4lVDRGN@h}jfKH?Y?ln23e+M9VHt>EIY6eRU>wXt(1IFNL>HRY zAr`y!=~AO3M$Ujb^H$B-6K4p9%Cv$qRi*r9Ljqqa z)T=uM#@{iWLCD|7nfwwiI8+}ClL$Rzane=eHrcI_g(1xGKmq-7_3p*lJ>K!?zy>s+ z?F$)jEdxFPsMNNwFj!fe=d=Csb4(Zr@V_1zr>~5?{P?>8d9%GMsgT$CRMWEVbhmsr zF_DB5HZ|zCz4@@%QM1K5UylNM853n*#_HbZx6jbE_J3ji8n=7!Zr@g}#vt%~=2A(7 ziGMseV^3Q0zv1XR-&mZ0@MxzHULXlhqWL|&mF*5kty zABs5Q&@%-)X3kTn))L|XR{-?i0N5Y8+qnG{y$}1vsjZAs`!Cx4NZQ|m@1q$VMy<}* zBa>T*m-ltv`p1VELvuBk)ZY=!v&n;x(|exwmY%Kf;P;E4qpc91( zhBD{_te8L?T7irAq*?^7w~ z;^6^jo@Y5=9Aqrb;(jaGr4?X3^jS{Cu2Fo7ERqRBbBm<2v<0ukGl0-o1{vCw zu`@$0X}<_ct={V9tN=nvH23~YVrmT7$rQ)-Bpmp$)-`Qr;Oa8ew_OW#Xx)USL` zcXNuUC@2SLElQ99TOW#oA2|M8_x;BD9z=Yc8IWpjdYIKrVwFmru*<^E=$j9heokAj zJvi{v**#Cxmjd?#IE`DxJ@qe7pB_hHmrqZ;ejmx^Um5cGmnn+%q4uDml=8D-0#2q* z_04rsoH-+ZQ$%jd=&}#OMeXnt=&DzH>-9Qjw`z zGMl)8bLnf&8pSO|PJY@$hPk09oPvn5norUYg&jC_aGSG%)l>Dy2<_oN|4|1U=)>z~9TiB^2SIgbIF0gx=Q?lOZ6G zIxNN2GtYod?}C~}KaKy?vnWpX`-I4Og$1`UwRwMMWygqiFk{L)PV2Z$$P71d)8Efh z!N3?@r={6#gZ|5!Bm*0+8Y+|g&;)^kqT}t#4b1nrINDQkeKoN(;bPAlXzeO6JTLJj z$NaNNtjm|)%Avq3BkApyvb6hR9PfKyZQp*$|F{JS2#@QmGk$E2_uK9^M0yXPh9 zRfUTu`F&{|q>UT8o&9*U{y$B9sSTRcy&k4PyK#1im3xP8fImByL`+;HtKRC3n%Kw7 z?sH_b3lqoO%Ku}+Fmm!~uRvypL;I&zw`N(zU*~EID#!C*Rsf|75jMyx3h9|f05Wo{ z6TDr|sXWS^>a<`_w-xs{1}?6qE~1u?qefJ4c5zRPPTf1P$p0o(65%Td)121trVVG) z>6KAd`Tgoz^}B8tzfrk(y|SNjrg|33?;9lT@QAVoXCibV*L~Q4UK_lGh$_=*+zYMT zD4J@l-!R!!%_}ysF-Y-oaR`63tJ5ePlPEI9bZ8mU>7%2g7gtrvE#}k+;!~U!G0155 z2574z)zW@1YY5s5No5oC&n~!Vnuo#zD!Q5CQAev>h zMw14yP4EBlv$lmlIKi#J^Ll+b)}D>B4xR>TpVs4~=k0db|9VT!4e29SkcSWn$zF3l z5f491(f85)b71iDh1dUXq-V|9deyF5VkM>d7mqeXz{Ab+5}wN_N)?Q`VRE!=zEYx; zX+gX7TV5MMgUu7Gf&Q>Sp)uRO^MNW8+J_6J zjv<{8kO07{b49^I-=n(H8cu}9RRXBODL3kV2{7xRwSXbqQ_txQ3Zg^QCUfwWKq}vpw zV_+_{FHw<1O!l+){}PuFnPLsXCNeQ*=d{^L;+0KkP|Kb1C^A(E0Y-Va^y}T`@w6J) zO{>lYJp3I_H%~4r&a$A?;d~u4d&9SiIvPW3*Dsg^G>kjAeTGJ5S)AJNIqoFY%k{}d zGl;TK=MN2`z4Yp#)xiVxQ9E(7VSJQ}IRC(%!1(i3H{*N1Q$;1?H=n{zMSpZU7_|fH zF2k;jY&W$3qC^Rg$Q0Yq?*;Dti*L0Qk!@fNkn^wQpt3A-iFd(p+lB^FiKPyVzP*X~ zcA*clvWE-z-F9-wubrnYwy)Sg1hAGgcYlTb#X2!%DlU;kv3f)ihbC<~7*k8vzQu8v zJ%|8F!ZjQyIDg^t@uVnl9x5&yHp(tskJU;bP4Go2{ zJ_k2Zzy3n?Jpv2u9vvGO-wiu1Cm((HczYgG$*H^QXbPZdU#oDT0IuTCp?BYsVy`3b z?B5UlySv+;tR5ep<}X?|Mq?> za33sTJ!F;wA$pqU+H1L2l+J(PyWD4nYev4{hSy2?uKNau=OJ>kv+QZO!bJD$!~4hJ{N~8=^fIM}2|Z}FovzPYf%BR{F@n?}jw{7BEQba7 z&?|^Ji1nQMcu*nFC5BS|m+8&8m{SDTJ`E;wpQ&r~%_Ut*Gxvln^=1NUUs8 z_I79lkV>k`SUOdtI;T~EH!hdNN>O~W<}wsuu=+_#w*(cv4H4hpwH>BPM zR3&^-5YE8;Lf@c`ChW{ipdo~d``2`6KQq<vMs5$9yXnnWKwx9ShCK92F|Wh- z=+-s3V+nH100=O)l<;RZfJ=Ta5Ek7L9+C!zWNHWnIw6E*R8&_B%fjR${yXf9kUBtl z1xo`z*A~q7Sq&=3EQR=H797!AhW90iq$tAklD6Yl-FPy+Z2}fMO|{d$9=4w>{ud~R zrL$&hz!oTWbX3#r@qB{N`((eS@ zj?lf01+}3uDgZYJSXX!LBLr$_zPb3m*=<{JbJM??tjMog9#=poX$zGog2_p6T1~^} zWdbsIODf%Ui&>`%MG1)fQWYHin@eIBZm{A3(f=x3e@2)zlAec#N5|YjYxfI8CPacX zZ*YMUsniKYtae@y7%g>4jt%uvWAE)v?(`>5kd@QT%$3w_5uOwxI%4c&&~vdcz<{b8 z(pp!O#&)aQ7i?!-u`t6(L|#EMfkOkZ&^9cBZS~LHmw129&}MFt$k3IOD5}-zfna)8 zCbyM)in@$-^$)B;ORLyJ#73#lU2st#Q~ksrxzS${Z-2q4hyL~7Y!D|2GjI#Igm|!O zqJ;~AzxvDPhWknsAfD-HV6ax_Ik9;`UOO7$lB+pcNuAfL*C$T_k-X!|*7>rP!h?eo zaYVcnF$`eC5g$p>I)<^3CWbP@9kV!nWF zOyuqp*u)@sCn|V%@V)05Oq`GtG-)^cbR~Y_-NN*_rVsvusr3sWCN!eyBR?|l>`|Dj zfl9=G_oNRl>Is?Hi|hIhK7xO+0|C*cdaPCX_T5G51W zN?tb&%A}uPfebibcsO_Oe*g9aYU#QZy#&|ooK0W06Z@Gz?%czLfoWQ+fa1f_EzeUX zfXCj%dT4TGVPW4-yA3FSJXwNQzMtdTbX5FtsX*g=VZxzl;?Ny$VA zB^j#VL9# zg3(Y0g3y8>%mJ9{LJIURf;CVPs6?yLesH-w zjC&Anhx6Bjf|G6^g3R!HEOLY3Bl>}qSQ(b<`5o>yMoWZz;=t^E;Pi+4;BGmyJ-xYH zHAt#Vh&liqd2Bf&^f*pUkMDll`FYXZK}30I&UCTS@(S1exCS>4gSI5SBcoIX-6zuM z_plz>()IqK-nC%E2_a1|7fHVL2Yjm$c$VyW#1wqNbl-5)Xw1D-E1lN$I5wE4$73t&21D05eCBsgzyRnz9-nV z8D2&vJ3AjTFRI8|GzluJiQ3qbWf%zKkyH}~0frVc|2}<*haoabVJX1*j=6;hmJ>Ea z$k4<{%zZ^r6Y#1z$*`*^#k;RN6Z`)0+IKBb$0URP(&IDxIK8aXQ!Au5Eo#>;Eb%H= zK?+8yN-W4qB<0CsK$3pLk7Z$yd-_+ zo$~0G>e$bV$l&L;(2ccHEFpwC`{CyBJblmS)a0NY%i@%S<*9sqkKGF>rBL&pyLF$Y zuV-D|nr~i*N8%LH7+a5EMZ8=sD>s+l{bC)A;$vd1_S+wcq|WnVQaIb0b=nbAB2Pji z@#23Crxz}X^#(0e^;{NyK2_>JfsM&}ALIUyk{|q*=d(ow$g>)jq-2ndA%W@lj^OJN z$xZ|ffm=|lXOd1+CTJ{_`}B`fzH1gMY!0~?SusdL%(m(73kQLCvx76pch}YaDOCSs zTJT=c|Li86zuor`uIKi0kr0U{flEZN^_i1NOTVELaf<`gN+&xmG&C0Az8d^)kAf??D-rOM2i`_R|2|))Q);-iIXf;@8i? zd|ke`BB7$YD}wIB4v2!TP8t9Yjmba|OF-`t#M3SDOJ-#DmVnzIU0KO|W4Mumn3mIO z;?B!(oM_`-^>gpb$Ft5$#?tPqa=~|RLCpVSZneR-KnwsN3`S`FRR9LUps_PQAw5TtM5eR!S@_C&tPdp8bcyE0^h)TxwJnf8=@Nsim#c+S; zJuKgmWzKoJIr%l9Vpt#qKIP@V?j%pXfL(2SzpBqp?D2jj%*TtwNo1g9ZX9uZCuN!ecu zgivu3x0)G?C0}OfD}K5sVI@42FNR18nF+!@Y|f5uj`ch&f3@3}b%AQa}C1}nf;da_r>48v3OMf{EB^E-i;P+0|LMRDnrZaXIp!3)KU^y zq+SEhUaA_y+0zAy6JyEp8wwU z`M^G`=kq&X|E2lz6>NX@oIbmQr$~KGiY5tAY17bKY=8mtQz~(;t0n0&hKewo~%f#DMKHQUA`}yvMG`o;RFyNZP%o_y0%KSq8%?Md8vJaq4_7;< zGQjAZg$Aw_=lvxEUU0BeQEXum zB4>>>R#>M3ZTh!NYHkD7sk)N09PyLlS>3~(1>#d^j5IhvXd=6p)1h;!khUQrB$WO?G0Bc=QM5#3wtGxXsd`gDhqZ+nCYW+6@- zac3kTBKeC4DdpGV+PMJ)(H>pjQ-s+siGNx6RJbYj_x14gl-E&BV+}l$U~*$2!{_>O z)~y;AyUGZgK3=Z!F=%!~B(1xlL!tI`9R&8!KGv`9G<@6&Qy+gZHP`u_{_vl{riT0n{%-0HBp!n2z3LihnfbUOE0ftVP>VEl7_ zU0vj;>ldQ&AL@8u>20uw@dsjzpN5TdKd3p2BvYAr%F#wgpD(q`68z;Vw-Sb>+$F1c{V#2oy}g#`=rHWf|`_+|`l zIw*j&Q?!7~F24oCZZjz4GF?YU^LT{C`A7fMktHm`&=Sv>2EgmK*%Pvx*3ot+9~})6 zrHlwrMv!VYNN`ahh}`;9)cE<(#!cI4y7Mp6P7F_1Jm_0uwr82+hwfoEWmQ}YNSX$C z>GT#BT~LuZ5KVZc~W*mcwlp9WA)u0SaSaTnbA{6-h*Wm$D zrA0+W;xz!ENoR^MCO3eYH)*M-o%7PR4)yB!Y_$1Z=e&qBrMI0fk(~Qp`P{9!kpkX_ z8#Mvqfbsi}8Eg1Bw=wVE%f|rC&6C%ufIqQShB%ESq>9iEhRpoSiuD&MY9iBkv~gxA zTA0V+t?2)wh5IYMLB7%d*ZcD~fo6EuF})ay7=$tm@ao3v_sQ1Bn-1nD|Cyk`w&ga= zJY3)Ts(E0^sB9O0o2r;+;oNqiwF}AKB#aOz6c;nMDKS1 z{HvZbNMTNDS%68xKD3fEnB6Yjb~vCUie1Lz_inw}o&4UK8_@;U>tJuD2@D`s)P{iU zPn$vu=CM6weBBs|#)X-vt|9%(Gp7Mnqyuelrw5*8NF%4<1Be)dkTB?AT)9EYL9jui zrk^P-MGCBO@@dkrc>!Ddq*ZOoqveLj+#TEsb_|@dM5P{}!l|SJ8|CAnn5aD*S?N~e z9DE4ga{Ne$`d$~X=&q(gldt@_nMBK`707E_MZLoQcj{Y3?CS{s2&kKl47ZWy_}8v4he0EIN<^hJp?zf6YC!T~oxa zH(Cdb(Z2{@071vfO0x~8`{AF>^4l{euipV3YF&=bjf>__AbK0Su47RjpZ?w&M@4lF zovh3i7l%?V_A{C&!QPYZT87|HK?H-t!@~@AomX;D$)&8x3c$JFJiS{nOlZ7+>=A$2 zS!@WT0pol^wv?;?kB*LKyrk(zsa`DCBX~%}rYbcSp-gZu_D#?4;g1DL1f9FMVrg=u zLEfy)B#t&cSkns#75rQ{xdhIm2+O1b`);HxY82p*HP2WUVUqozXl&G^)hvB7u%v>Q zRi~qJ87Hc;_%h1kw_9yLlDklJeA+PXy_t7>d-9R+BYpr(A&P@Q#8&yQ;<6CK)kq9~ zMLG%jogcGq+-A@Ks%S983r#N2-#MRF-VlssO7-mr^gtI!`vdwQC~TP2)UcZ79D>_z zslm3Tpy~l zH2P#o7!JjbT2__>m)YYR`CkPM)D%TC`yy`-O&zB;|5@gKQpuEdJr8$fW@Hc%5+0p@ z*D@pdr5UheD(4^PqRsN;IT}KT}35TfgAAbxo$)KL@v{r{@soA%`u`eezMGqi#W@S@Z z^dd`%2T4q(3LXVP$DJn;Z-oyy{`WgyDK@8q`EZR;Ol^K+RLr+u;r55eRIjBv5(hviV{<9}QVHj02XW~tWRsFSYdA7g~ z*wT$j?;%G*?3S!{^#lP@0cxT4NPrjt*q@2E4y3*is25NV%Zz{x1K20S&gkgE_h15x z*D31w!1o@-;KAPKEEX=wPYSfNuBq0~Vhy60(THI(-SeWTXvHVK z`apGf*r)3fWP-Ue!hO>vzlfUFii1s7NJeG|=Z!H~iA(V9C+d5z&RYzGE#3&jzZy=6H0&Ww%}L^5qk*H+nLIPYXFW-AXsCzk$^F51CSSO^J+87Fr5^;8q4zea6X@R_~YdpoDNm$Jh8Yd%j_0XZ^ShZT?4 zjo&`!9cE8EI53beSvRW0zg#;erPR_x*wr+Aa&?h}qsa)0vjWR0hGn~}tU2*JJb-9kq@tlcx@2w28bbO! zt5wcE$7$6#x}IPn8~&6W9rJP1?J{#bIT<+_39(=}o$XJ5hk$E=)_IPoD+Us)o7UFS zWI!+|!BFAmmd(VqYxzd&ycL{|KH6NA;lx3C+chc!KzKMT#y){)6dRDXtC^p8DX7;v&{HdY^Y7;TVoKl* zX%hWKv!HWL9X*I`oTYFq081?FAn>xUCIKoTTbcTLm{yW&V8IJi&a_!YG&UUbQH*M-|-@8Q5?#jWQEVMqBr?!CtWP8{vPc^6_@_h9CCYxeo` zXPHt>2%f|w^bCm3ViL>BslM9bw6%MqndzK}ydr6{t)pt&IY*&9w%D-y0KKA<4{(_- zfkcWu;=la+)YLRR6Hr+ARc~tRca4HcxNa)xXEH_bn5}!tZV>?r3|NUcc(c=I9_L+v zk;7QL@()%@_<@0e)Q9I0R5JRag(8?9{$$ZEcki=CB3q<*+a)xmFe|IcF<3R&ZW*EM z487$hvv)vfSnoDV(??&|3s|=(5Wyu3;zuC3v*mp4C4ik$6H|2Pc_Fecf*FVPhS;2_ zUJ&yDClVHH>1um%eK>u$=5_x3Ea`p6II>d<4BjAY4N2!|S7u-$uWHcXfq$RHQRf>URmxpywOf2SCl4CI_yU&t zQkr{-adNT}7>+Gfz)Mc?S##oCeEjRmffO?(g=Z3<|EhIBTusx-L#G2Hvn`LRoBVfb z#GnR3p5@aUQvvxJW_WQ`IGxor>}m?sZt*e{-b+7M$}mw!H6ibtmk#v5H$|K#SVNr( z!H%8Uj4(*EN_EVnu#a}L=Ko{=A+wfteUkv@RVHBr{Ut+sl*&1{1RtOde%HCN z8LL4U5$43OUyXJ|1TBv{*Goe`u3)ywBDU4NEFB#yrY*mW-YVt^3Uex#4H4*gIt6ehbGlg`Ag$?(lO4Ox$*Qh&XLzRE!dV{&(iY8IC!jynyW6-rjo zU}51v#ps-xnc@jd^L!B`hi7CK7~7)a&K^IgJ=7z4FYI=|h0Ov%%%%^9O`6ijagoo| z6Bcx`{SgVNoxfc9=CH99QdCEiJRGz7ITcb38236vIjgb(Oq}#TM z*V+Eqo#fO=T!7#4O20i{aM(ZfV)zWZq&Q0esB5T$00=Eo;EJ=Alh4nrL7h>p)CgRV zsFeMB)O`Tunl^kZhdhdD6oe7&9=zpouuX4AOcB7XEiEmjkb0UM8V+e5 z6H`)f2-HY^uu_3m^FBqJUfo}8_Z!9+@szJa>foZxsP@dVJwg}B^$k_Ph6YxU$8tW+S`ZvO?+zjmlQ(PY^3nSeYVjf0q2p~js8K!9 zkm1jowYod;IY#uoAI^DA%6V$ZdD-Bc}i`OCXF?^0>5!-(g1=jN9papu1KrBSED z%O%^c`AP`=<*(n%5!UmibaN}5+*6YoLxnl-x1e8hx#}N1do$LWI`Q1hk{mS>or9t< zL4U#Va??1NQRGHAKMl`5#TOHCn_Q-A!Q8ff3QrqSbfhO+wrAgW1a=si5#gM|urM*G zcC4l7Q*w4)OeDSHweu^G!~(1g0G3V7*ohGmp`%-f9K)b6Qo;<1_^ z?l(GZD!yFThMQS*dqS4Bjh0qcN|}9NocmDtRGZj@ zzb!4+%u?WBAT>@8ja{d#VNBB>iEjYsETjKO!{BFiFKRU_1(5`_NkenexCC>fXG+k5 zgNxVuj!7+L__~Ef*!d~itz);?N;7k8Uh~P#=5C$zd2yc4 z??vsJ*g(_v-ZkwPobxIHhvG`Vcj9KWZ*LE?^$-GAn|6LP=PCKph%iiRS_|L(tL86E ztasw39tqM;Sq)S%i#krDYpZHHLW(2{O=fyEio!fl7Seq^U=GnH6uKIkw4v@(N2SD6>v3R+X)bAoG!9g4OR*o}+!BohCYp1&*;k?yrUQh7y`Jt7gxczuwipVvJ`tZQc z_l!K?CniuEMrwrlS6>DO6#C`d7KJNxZS__|v#Gp;F4Z?|c&{ePi(C&C2 zA0IJw{|BOW+Ua0%y7PRLW_5SA;f~g1@%T z-*MBgNqU*)<(wm9=s0mDhku|QK|itLbbDWG4Y=Ajf-2pWA$>&Of9U)xb1`%Y%Z ze{eMqytkPN`2D-=JWP7(y|@iifigfVn`Q3dT)XwXDQ};N8B&Q9>T98nUynVmo%)x0WXU5dr)(7ob`Q~W#!jrRWtu%RprIOVO?fb1EL)MI>z+hDw zs%piyC#8&!CV8&`JTR6iylmTacfJla#B_a_32gRxx;;F!0C*j*`!>~Lf(>$g#zCy~ zAT&`by1*bt+B%*VUyCJKzrn6~8dV?u{56~mGARA-Pi-Wd)3j~VGU;0%4VYV^(O7w? z(hx296H-OQ&(A0&I*-`ZE{m#8v=Ra?(rX!as#l8gzbibRv z_}{yp42?A}efv4sO!EGG-*@KID`#DIe>gV3-{_~>;6bZx^t35`)e*V;T1EUkwOB{v z_xQ{2(Zv=AmU6lC$;{8EBMZE*b^g{3EeO1C5qXIAyXZ1~owJQ1eTmR(xqxkIzy8)y zQeR^O&)8!ujxf&u9#`hqs=nEfY4w6q`Vvz^ZC}V1hQ;iUWs~s_(!@Ik_Bv*v){nFp ztg@K?l)nU#6KTaV((yirWnprbVBtVK2>w?7!q*ZkaWF5LkkLGCguRfH+7yMOwxKCo zWaDR>uail?}hJjS^^DVaHEvD|c_3ZOS!`#}hHU17D zNYdF~pCw-%98aJ8Nh#TQ(ScYi23z27-POq*7EMfkp99u>N=lzA)~Bw88WW8lk;XSN zO8M#l9tQY42!s%H==9X_>!f4uX?sP0ATAN|bK&)Ye)_ zLLDqE7id~(9UQJE7L{k1C?oD3BbTbsve+(7dyMDEvb5oJ9*$YYs>FuH1PH_;k^E)+ z32=e8LhC&QGB{ZCwoa7Av5sY21cU%-3nI*Fvf`f5eo918G5%54 z%V4aP>{;9S?fkmu@aS?=CO=Q28yq0~_E4pln)w`&b1wj;>$;t;c>W7fF_}jc#X1?- zb9M2|WFjgn|7El@lTP4`h#bO{i)G3^VqHU2GkbblC5*!M$UvmVClC1C`u-rW%TE{AZJKD&*Bq~(~7%MTu`3PG+ z`@xNW=H*JHbm*B~{8#-f!g7fU{hs75=?!Kd{f557Em|X6nj2FvqJB>&F=C{JQ)a6> z_ZXpDZpLj8dD;#7Gc>ADXx;A3&c)&Lu$06r?P!qm{rz%G90fe1v>oooc?ork@Z2E3 zu_oyo0}`~~$5fvo5%V#M8(Tas7YX|EfaCbB99yEqJC?4jV8MSt*Yh>)(Bs3}MMqM% zhKBWSra0UF=-8NuuX$c=z4D*vO2(>@kuQkUQ0Nwx7E5kS0im)ICCFJmN5I3SF`;A< zWt!vju6;A-f@aHHlLv}FIu-Eu$bzuA93?WogPrfYo_1-h-N(gxkLl8c6w6spMP`5e zII~oUI$2Rb>zX-Is>gNZMFK_K2wKTLW_4@l5JRM0@VtQ$dym_r1v7${b|684*ax^SMe=?mX9O zQ^lITB8B}cOIV8zr{-qVtU5exGAlUWKo?Ja_()M{yDlmqzn4BST%gV6L;ZVWF{;OE3 zSqu`czZEjPG8{P+_vZHar|wh|7qEpMI9A)-z9?PW=x~9r1<$woBcTmhhBK9V?uXFG zrgugH$2{}w*heocVCek{2Ouo=IG=xgjQLH4w;44)#A)1b4-J1k*(?Lhq#VjC7B^Gd z-$&3px7Xlt#pIL0gAd~e0DI})TQgHFktnvQZa&o(gA2{aS0h$0S<(hsWblnJ`RYNF zJ%5JO&0#Rog++l`a?SBP7iCAjRP2g9lSWYzh}G_J!QzE(0UleEgu7@N+Q7$&I) zvur(f)gtS}GhTh-80i(&L45sa7h}p59HM-OdTCnufDj3%o^WHi6gHWkaBy)1K(p7h z3J*g#M=QIm zqS~H96`68mFtj~V2oj3~3-16}DD`{wRQ1OURN^jI5ad{xchkQzO<5^Pfa!i+_2RK3 z9H(okRm^JV)cFHXk<1>5pw9m5vNFp%MdT(s1wsR(K$jl8VF_?Xv2eq<-!MF^P~DG7cFESfML#&K!$0ZFu61oXI1Q&G!#=Phq$~nbhLp2aentDI;xAvJRcNhMoQci;go&bKMC&yk`3HyMOMHG7 zwol1Qq}qTm>y`rgzNYZH-*Twc*Jv|z-Ds0P7ii@Y7&sG!Flh906oVH&BC@Cn%KbeP z>n|;DkH5R_9?f!mZWqmRUYO78p-wBW^{chJPVehxM;Nj%RyGT+FEG-6Pv3jF@*;T{ z%dM8$HYGFI!GjJuccGP7RG>rd7&G6&IZdOA3X8EZW}`VGQ5il>hN609$AZv^wD>s1 zhz4&;R#Pz$g)$6szZf9^8u?M1};8~*xT=bg_6ENM8Dp+9Zsr8CKo+6(nge|~!qdE0+`uo7`O zhy3DR!+AZkdzp&B?`-Dt*XzQ4B(8>eo)2>TVvoVhxd}VCc{u*|o8;-tbLUjVhq;U} zfmU7=juVNB+HxP({fg+;n&=&f0s{p}>*{r`EvyTnE=;#G?wAE>nBf9Ez8X*(y#Ttv zH3zt-4C^UU2@47jmNJ35=(q~$BSQLf_m|gzT>CT4<1WA3BO=m8?`65q^JO=&KAR`C zbgfG*%2q25`?s)Kk4w>W30W<0?~o(dxi|i7_hHgsrhbyrk#4A#5taII+@H_n>YZVk z_uW;}ma5$g3=3RZ3dC%rcjq5l+s&g8$tu*%kD`tDc_JBt8Jl${c)Dtz7inVRqj{yN zC3#TqaN#YypZYp$GGXw$QNu2i+aBF)V}?hJZU6uRQX-8I z{Dqcz0BZnR+34e7z^6xRoHo~dG?#)bz_CeErMYQBjS4QQpR=YtHAro!B%NnLgZTjs z@W!rEXj8L+L3qiwT~jXY^>bW`7`T;unb(HSY%1FAx9w$8=?<&KWvMLY3ZJsn{a?BD5TbGlb%i<`JxNKjmp|y!F(7x-$Gj z(G;-w`4emYg1~yl)=JrdULGS5n|o`*n9|~MzdRIbK+8TfQe}+OyK-(D@8lx zpjL(wy{9}ASbA7KD6ex;Pi(VV@*zu*A7zwMzO1Z{m>;%yOlSl(znG)(BLP-rM!ZX(p>rn} zA!&vi%{o8tiUa6sJ+n3d!j;T&4@(q%qtw#0&dJW-KK*5ZA1fyM+UKyeMFx^R&QOyU z8minl^p_kafj2uQy1wA{&`9Bv0~`R=s=Dv*-J!TscEf{dldGCWkzp_>$SpB5W~t%2+1(T*Cs?tMPWF*; zOmF>=Ml{&j=_TM2XZ`E2IO`;a&O9(MMgEM->b5(+LVuBeP(s+-P!hweWzrm&yDFMf zCnO%42S>&vXnYC?2H z<_&2^Cd>7i_w}> z9P*K|$xE_QQra6H#|pdaFDoJ~&L$8b!3$C?_$~kG4;gS|nC%vJiT9lM0I@9})9g@x zo1ixSEXpWv`F$uq?K75IuyT!PCAoA%okxZGdl}#$Pv9WMEfyW5|M9QOqY-^k+;xbF zmI6bAW)Y@DW;lAG;FRWq!ooryZBlg)!DUt=p!3CR2$wTHHAWfl(DPWNlI=(H$7<&e z=6abw>x$=k5Ohp{(y_@AO1%2eXp&HMeu%>u{;t=T+hlN(I~s5K(7S^qjkn?pK7!-Z z;12>MX}kpyUXhZb04SUbRHuLfKV#xS@cn=$6#O3))EfkgYJb-Atw3qr?W$AD)jGY! z<-7)a--$1}YVYrbC!XEiz|#3Bq&df{ECDcC@XN8Vkh?!gvz1})dZh5#1^ zxncmm*YZ+gkN0&c7B(o}+1pxwxbNR$9R~x0oLosFp1mTxnrZU;k9bv=bV6f!saR!jz z#v1I?3aj7cH5C#TEmpPo@nhRf&HJ>uLA_7)RN^umUn=K*)=niBBgb88hk^WA+4Kn} zTv$ftrjhW}mKgM2dj2GnG>Kdn*VQ#ER_!^zSHfs;3;;#n(BCvhTf)M&vh&>Se#Kv= zYt=1PXXZ6fuK7E>zgP3qyo&hAb$@Q9_#(O`_xwn9f1z}s81e)o6uL@57QWM*P2Ds`#lEwwEGBK@gH+Rp{&NAWM09MalCwPdWqvW_zorGBlB zo$LkFO41!#{4?hAoI(#|MZztuC})};Gj8LY0!ztwp;F*sw9>}>VH>bKHK#De5HpGn zzwgXZQnyIQ%5zMlFaTLa9fweu^DgK92sa)u?fv}Hc|JZiR=EX5IdAjE7|pu~jSt_- z%aoedSwKh#31xm7dwB5WjJH(|kBpi+SzB8hmvm`b5$ZL;r8)vob%_c7S^kdggL;{K zfTMxE+J}gACx&4&a*-*ncM3+~W&ZCRKUW`3iNE9jUX8pX2?Fxz7j_=m5~z9k{=~Yv z^{n60fdKJg{~!SPoFSP>E~W4*009Q;8|uViJ-6M-vfvbYT=f)!H=GM?fUDmb@tN8e?9l&5=$2-0EE5RXJox#F4l>_YKT ziJXWjv`-FuCT3g`1XoC+C|!Cm7{TXld^9C(_SRS=M-(I^zzzB%wb6bau&Re-7L(Pw z_dw1;ZXrismrPTA+ls4GTvl}ak0tVhu1oasYzqclc<$34yJ0BXHXKL6RE04u8HJSo zqND>1P-5Lyw_wrGo2hoh0ut@qup=a@bXn5#xx9$nXMW3dJQJ?|^=-uMRJpCSZJw4! zyUF?BC5>Tcx^Q}yZUapsx}gZ|kl(Tb?WX4hwwbNp{j|%9iFOW-*rUEbHwdn=%v zD=kWMxz(n3;a;7X#v(n+nxNUUVb5H=F*r_hnnxX#6JCr}c*2Zve>kXRa??zgS4~5k z;Dpo}Ne3>$!hEw7*!>iXicy9O(iYWR>H+^ zo2Lllx4j#}fX}S6*5csjvDLkJICMpGTo{UlWsLX<#rT^HuGB%m{CTU*RS81)l0$E5 zNYb8pX_d$X?q-3S*{ow74o>B#iw;C?RCi%m!JB7k`!YMfX#q1lk0VPX=pWp5b_)sE z4=9)lkIuc6t`HJxX>SJy-}Dy^Q=dW^%N`bY_x8uht1QI)>Hdxcb)g_})5ei4b8$f0 z=xYpS{T!M9HtnEGA8VcY7f=BkcS9+HgiL%s7poMb7G60{9Cf5Vq=CC(fDDW8U7Z}J zY35YfT{(b6PL4@&vjyKq+N!A{Mv_2=a%wz**X@bNeA}AK<&N~1RGYIfJ35}Q^u&7(;ODVs4b$3 z4oQUPl<#W4N$9)`fEfimrhW608;1|<4FM0NOA@T}!`tCzW^&W2e_p{o%NF$~(G zqZ?1=6tDZuurFV}fHoFtjK+oTv=La6lpTm^B0&BKzvBJR@uB+8`a@#~vH9p{?XZsZ zw}qFt>-9vQb3`C+XLtQ+0_ANNKjBg4TLP0^^Gmkhy_K2BmB9F`2q{TN5s{o$R$$oc zE+T^4>T4;fA3BoY{cpdH-MqnfL<80^;jDhwhi1MnIncbEu>0m7L*^7EoXQy0CN)7;}z^SUA7GO9Am zHv8dZ8!eh2QVRpYB#yWql3#2_OMdtY$3M@8%w7E5o09F|-ik$q^YM7I)HFJP72W2$ zDP-eudkE0^D{z+j2Uo_=-u`0i;cwSPsE$^cHio=L}z+K^XCyv#~^8Pmq%tv zg%}KRNE#fdMxq57gJlcAS_DVy`ca;@+AoVL9O&OqiO!eeNp6_jtTCoNFAl6LIc1l= zGBJ<=7^bReD)8Y*AeRKsqVl}4%#At@v%_M7YQS;)d-c~)^2$~Zlp27YJQAMlG|n~Y z7$jPAV7t6#xAi>wgyL|1xR*1z*NP{;WzYu>MLipNRQ(ze4ayMM=(Yv<)KkhzJBOeaPg`$?zMBW-DnC( zZ!s+o1W!Yovhd8dwa&Wg2#8BKFZDc+W+tdz_FvKVKM*3+UiaEhd98!V|6*7N)ia`d8Gj_Qxbf*)DIIH8OhT3$OKWyBye(cPiU%s4GA`faB;(fgK^^PU4cHr8pc3b zYAu4(vSX{NmrnwL@Ef+l8-xZg3^?~1lN_o&6Avf9x@wdy`1uu=q^D@5w+&mYAtPg> z--$*ubEtcyEzR(f8|9-Y(tZn9%{E&r7cp^VFkufGQ9>pdvIRssRup?183C!anUz@@ zU3b&olYut$m94m^%ZNh;{A2k^Tv;ipf^9DYLLv}~X!tv&34dxdpkEnU*jm7`rt-m(9LkosUFjF(g=sk3ekAl8((=CMFX>%+mU{oXO#0J&h!IF4hDLfRU#84zOjyNO#oo14(Q@aTiLudlDL+%y@|PbvpuX!r2>6UGI0&V65ZcQ?`6zfe|G zQorX5!L>Sa#%`d^_Iv5v5VBj?^hXCQ%AJlYGhCx?K+ZrS!doNc_nur) ztoP-{YFp!4o162E+RpbU7ccIU1@_ySyv0Kkqi)*v-%OC@KZt3nr~G9HT;4-DX)E1u zQE39m6pF=MW2ncB{^H6M;IidtO3^^s4G+x^-?jw$#dD;2gde6*x2}8LN@{K8BGy3`#yw3$;l2C&Mu@%Mf)YjatoH(H*% zKOHw(6GFI&!gPHtps8-=#8|;~=g$d}4FSp!yCGle*euU#Oo>u?t@hP%Z?BX^6tm{hD(-L{%S*|hc_0O5z{>rtNAuES(D z1lB7j8kVL%qm)2`fjyQ|B`JmfZZfPjHU~_BGu@wlT&0v zsnxL91b!%Xv5_Dr8B>uqfXTL~i7ZoJ5H5uO_pa3z-y+>O?ytJO5mIJeUY=wf?w6Xm zA4U6oR8a(2puj$*6^jg3Bq_SVPs2ZrQDF^}P19V!Ci#!wt1c=SKB$%F1X3(CWa}$| zE0x75-YrFxG1tFzU%^z(R#GapsqloV6cohp-`}K!AqHmZg=_sS;z&p^!!t4xkT$b0 zA;(n{kYCYIqH?-&%HGz?D1BI)2pLZDyfH4#^l~`Dxw#YAef_1NTYV#6zQ%m>uuUXW zFpBpdt*`lHAG<7(td7Rl7j=@8-N(M|{d(_vI$dtJ zzw=eWZ!^~KiPZNWj~j{m>Q4VvI%7bvSP2)G7Nl=UoSDWj<5XT)Pbwj`|0s7Qs)04- zhcJ0@=!fsrWJUAubRzPhsj7~r)$?rVCnJL)NoH}`f|C$xZ?(gK`;P}+K6}*Sw2y#* zVB7hyXXm|5ROR340b)Vmyl~@Ktqz!@c>bf-*}i$k^D^mmF8pwTABdG3NZIugM)P)` z5=qq9+`{=6)`F&t8Fab+d@}jg+_8`zW{;7Ga@udDDe^eq%X3$&-c`WXG*Q|>MvEgj4?l^-hp`)&trxSbDvnEAqp?qp z1Tvp61j*|{W;@V)T71Kz{gKo`3_VCNwC}?M9FN}5hrm=@^E)M(_C4fvdW#L-P^D|m zX+3p_>qDn8&kY1T8@vo^3B~1uMTG;hOPbcXe1~IT0BxN!!Bc*+JwTMPVt}#jyTDc3NyhYKWk5B)ggSCx*^jsVxTPRPfZKOj zXjzOx{OwhMXS9m9?(4zsYNcr$ZZ5^Q4>6w={pnFE&R|fmsIbToP}0ahyYkTH+j7oo zsyG4|%He(H$f60#++3n!MR?P`I(s>;{ z7eRx==}P%Rq<`W^P|+D-wq52)yDJU6c-#XuwC5=yXv>u$q~c9!D!Lis8fY{_WC;|X ztgx7n;_E&RiIh)YdupoS)!n4u_p@ZAg*<<<%c@YJ!! zHNhk95jFhYy!aW!fue@S>w)+V7Pjtt1ns`ek^X$q?LcfumxhP=|C_*Ws7LfYlVmjw zKgai{6Wy_#F=FCP^AjKR9fbE%Z3`LC?laf~26Ij%_GFhv@EP z^?-~!>TN2mXm>cv(1Nvr@lU_uZ zaa0{>_yOiLXzVGbOVBS7tQPn2f5)%%wX|B1ewN6_0A(lCAWuo|I1(*CMp;wL-o*0* zYa{ho$b;&VYPPF=^{k~%J3&JE9~L9#t`$|aJ=p_EwT!V!SnPGTHye)A>;52?HqW!C zuJcJjc)ITW_qxKqTlrK>XqpApg`vt~UwGjhbZdM$oNy%mBN_$!UH)@7iP zHUd-&;c{1P@9u8M#b|y6vWkL-#lgW~4Hxa;a8a@!`K;G0nR?BRF8rvUL97E9Kacq# zuFHg8SEEl_r>g12+54_brATCluX&Sij|?I$cq}Fbt+oG#-*&#dPJijTxcy>BIx^{G zhOdytyAN-hha>E|es=gUaABl^zS8hk4Ecm0kA2K{Cfol}M5w7*dEQn!{`ehAozl?H zK(&VjzL=o!Sdmw#oq2HmBF3%4Q_wxTsk$1v68-$y$;k=)jX7nSQ*N5`I9s#h-@9-l zz}AOnTF!^?_H6@}=*)Lo?QPLZ-upQuq7A@xPX5i%{V<+|&BxPDnuu^3R=675VKg4= zYqPi7^)|Wgb07A6$$)N>z>n~z!1VM-@Q zCkdc`DP!V>op@V;iD?24R1@HsRmx_!5v;V4Vr11&qIFUMbk)7_Ep9(C>dFeR!su_#NB6zXyYB_){S(bt!N9PN+QrNjaQINx%d! z+--I!#EuLYm~^bp%MAdrrrWq^Hl`|bv0K0qi`pODOE_K=*)5~Jk4D1>`2COmEk4fY zWW}P2*pmu2`z-{1AnpJCyGJ<;1|phgzvq?EA8Ejo69XTBS*I#`_UMfA?}*w9;vhN? zFJP3SI*QvW&MC=sK#@AK-8N`T1|X}XYgj~n&oq~cU(tTsxcJIZ=Ds`XHK1huezsGn ze-S?g4L%XUzd{?qe$jAFE<}`Pp_CjHj$1>mV-%cA_7!yYQz>xOy~EZ3B|gy+hdf*w z;=*}zla#Dxne)2EaavLg!y?y52q-Nf_iBI0b-C|XF;mFFUyh3A)lEfliqLS;7}bn0 zpUr{^z@YVF##NsC^tE0FQ3sJ-)Z2Ff^8%G z2m&mNi_Z=y=)}+T2Q9u&#phwN(1I=aSLXemgzh+(Aj5UD1!j8QR~e)P_LuJ;!eVns z)7`UB?2B50-!VChB5UNpU6#eq(G$AnPVKYkE!TLgz{3ErC(T2!M@~udsGwjmvt@|$ z@*kvbTC*2|JmC!MIgsQ(a92fN*$NZLA(fXvf?DG=|>fefn>x;Lc|JiT~@N zhVt1KuFa?Unye|&G)J)_RZLXz`4lP(slb3`yd(mQU5=m9Mvd&>h>?f-cTG(ibsG*8 zOHj?UK8iaqa$;Lx-#op1?ky-7PTDm7kg8a_@?L#H&d^A^NwVw*?e`L5oKxu+mXOd3*l=Z1 zeG2F7iT}gZS-(XUwQYMdq=2M^gmibebW0;KG}7HjNw*-~ogy&g5R%f}-4fE>{m%2f z&-=@F9P=0KIriFX-Rru}b18d)NELX)&uX{G`*m~4lu0={;i0U?Oaoc{Sf`lKNT6G@ zeD)C*Ds5PH5Q6OkUY;MhkYH2dFCzpUcfH@kz&bi#x8r4b9oL?^(AZifHr?k98eh&@ zKD?~_f%W-=w&4;ecWmgShpsuge#Osc;5jS(;EE?&*L;|$dYa*DsS>?L?(llmdkNdx z)tII*!&cnJiigVBroMas1qS_K({Gxvc6UK6j*zp);+Sh#K8}ohLpkD|o!;C?H~2sk zm(GP(P@~1*LIOJo6syt`+Tt-#&VU=il`rit5+4bq)#m!m7i;BeTK|p=hqpiNultNn zD<|GPqa- z6AdXqL}VurV+REMg@7}nRE+-zZr9bNpd7!{(i^+Df9j1U$o2Y{9!~a+KX?2;6y?i% z6~6-w$f2R5T{S*%(Y@S$Yr+2zw)(^WK&Z4{38C@nOqA1k_pbjVM=f&5A2;K#udz39vY3z!d&jkA%yOX3IFf z0Wn{({Q$j(915b^1PE2(6Z)Qa1AY+Po}*9FyAzCVMd=RsBPN1bB+nZ&1Jkg80m7J+ z2{@+(_6*Gd#GlwZfv5m5)ozQs3T1d*UjM1sp1%MWi?BF90(LZ?Ctj!y>`V^s$ zG=Ab|W3AK|?rw3>n_8$g!Y;4)ys)(|F7^9Zbd|mkU8thr(rr9_IwxQBRw98=${Rl| z=O&3GEb%|+(R6{J0R5Og!H^|$O6nkGO<9`z)n)(T`BP1sLKsdg836!j)!cf0RPSMSO%Is4s z#HCpoY@oKpv@&6`9d=Rf&Q)hNqyY`#!A^Dd@uV8P7-3t~H;wwDByU-th z%c6$k4wF=Kf+D0VrLt@a)yQ8DzNZ_dp>=mU>m$H9FVeO>B~%Q_14J~JavnfZ02;j( ziZpy&1Z@z2y@*QTJ@lZu#@{2uO2-7Jkrw*fb;YK!uRr_&c}Vt04!jiKnOlsd32ZOt z>IwU{GTsMA?6|xKzH^9U!4ggI)6>&1`ayShw{0bIBq>N&I6T@(nG+b535-nE`esEm3~YqoLuW{^VUK`X-oc3+e~<*`iWY?d zr?C)5Vag?&O6b*DmvHCP>C3?n10Rdc&|Raa*xmQoP7?GEOYbX3WSQcY-`M3h1DLOy zqOgd+XjDj1_W0|J9;@)<&e1g$Uuw?1qtWVXFqz+#_RH6c^RDf`wAT*%E zUkruG^tjOtBOwWh=vXL>=cM(W!(FI(-y149b}4}#F_eu1t&f@|J{?>PH}9+fW99ck z2*3n@Ld;P*7m|M}2{QJuCv`CV1s?*aGM5dZzYgva&^;vF3fI0tK@@w;NaWqG#w*+L z0JC0ki7iJ>^MFp(F;Gw>f>7|)#3(50loF|};Rpf5gn|pTGN_7K$o0Px~Bd z;|Y}WgXVrWn)eCXzIZ^b6`JYSzn_P_ z*q#L2LoWk(mp`=xwyl89;b7xLRmgC`fo?~xQN-c~mqP3*dCjZ47&vh$4P|OLXe-A3QHTIWN?5~J?MhKW8La6SmhQdVSHK=tSQbU^}oNV2Y`z;%K z-c8%P2uez93le_yDuV;O--8asED*|}SwlMCW0pYo?9Iz@qh{Mi1aG&zg?_xj7PBA< ze2pr7YkaMt9nL03j)Wdk*~dON##y{^isPTkrK|h(h01ql#B1B_?Jp6?1?1?NzAGE#)At=_(3+t%`96rGBa z7E`4!Yt=hVE&RA1PZ5h6KrSjKJu{Q^=+sr%P)9#X(0c4PI<%EtuV!Q+c8Odo9*M%( z0tb#Ms6ZId0jH1eqTT!c9JJ;pmMcPe_d94{4j{*-iNr&arD6!(z_Nj7rvF4z8uq7P z%ZA!kh!wB10aS4Qd-p+$p`CY&4 z<5my8La^fS^|Q}#xH&TKn`TK^hqvR}0isP{FpdbuHO)kH;EaxdY7u^~ z$yTPzoe!(jDUX=q9$g7*aV)eHqXFy|!Av5l@|3-8tLV{1v`W+U^DCe*dzq~`bv|#c zMUR#j%dDGZChgbJj_1bL@{b|p+E1=V(^lLb$4l3JeC@EM@A;riqf}}e=F?cmd_BW_ z9XoweZs&iA|Jr$|Fcf)91(zakdB9jSVja4tA~ zeBBE%`k+Hq?ec*9cT4n&?{)GBv;9;h=U!XSF_JjhF@+i?2_{wu_5!TfjGkFV1-~RK zZWJ)}^^WWX_PS;j;WCs^*QAyTwl<=XLBclQDWdfs?Lw|89MF-kMH6b_rTfTIkmOE+pGn;k-0F9V~6$vf^d|>+f zxDHe$&X6}7gj3TcLjgq1p^%5gKvQbjKL_%}w;6mnVb%ZheMpyQ$&fgknh&7M=MggA zuO$HiWv72uBzE@(?_(rsIscO0V5QA zDLAHgNG6@kC#A&ZXega?PK;Rv>_K@>9=r?DtOLw`P2sOTr@e-37p+rY*Tu%sS6vtf z(o1RKsa#T;OYpGsM-k#fC{Y7j7QzEUygP9@TqzG`lWQGRB;HXL%EX9;a6+F3dxe|^HgCo_qSLSl%l&IUC-ojq;qn8HV?zo z2d^Jgcf@x$lXAZObNOiZ9zKwak_* z88Fei=H8$!Y{{s0$^9HX1;?f@)E-wgOxmXl_0Cpb(`Ila!Hi+JRGGd9)%`;mMIU(Z zmk08bzq!c}Wm#35A1`=Z7W!Wdo-6fM^4Vv%^6MFVa%ql{^Dy_KF+%rKnPDu(AiDge^ddQ!bFHoL2PsZ(yKNQSCP2ise-$QbXNZg6~z-PXs+W@)Jm;O3LmH7gT zay;ZbQqhW8MjuZ{@3R*_@Lz0V�V27dneirkg&ei=OM*LU@}e_Juy4pM) zYaX_*l8vVo!2;gCLu!KqX?!(am*n_k%$nml->hA|_Utj&)aM+MmQoD`-Jk9>B&keV zSpB~EHGXLF8{eQMB#9LG{Ov@k#qo{7*-s%KX5NA#Yg7{o>w0FebeTjU3f!t;^zrr1 zEI2cLqs=1Inbx3XCq<7jdFbfqXk%l8$?&YC@!Pn{>(T4=wI#+p5$X8&o7)Vs+9%3C zJj}T5NWOKo{YYdb2IDl z_^;n073Paev!05pg7$O7Y>Or}+J8cGJh}3JJ3KJ~To$M77 zyqkS18_w4K?@zf8nfyixV-QoVH-DEG%nEw6d@28v&wmPm$eAZgGd`|uL8!_!$2~@>x+m|w` zGVYcb&nMcjM*afa7Jo6<{SGmGHy4)uPgawoOB>qHMXJ2a5OiA6t6uv>-vYZIA7%e^ zdWN5DHerdw6EQG?5Vfr5dCH^{|G}#);15n`0mTTvi@HD$C5BX0$*u;R6vS_raNdhk zjZjNaB6KC#IAMVRz&RpNT`7GTRg4^oyq1yDNvapWVB|NFTLy?C1lW-&^r*}jqv>_w zvHjMcrB@|^pt{#5^Rqsw--1rWznHh4hur$)kjdej89#(J*w27s8Yav;z`4b|MWbsI z;Wjrdb+96&q|n7rEQ*CM3KXD`kjB7K_bB`_AL>$B={_zE`VKX?o^cRBO1OO`i5o;mj%>V~=5st$>w+v%+N1Vq>N3V!VKyHDsBY*2w}n6qC^#Hu#-s5(}SODn*@9(fbXqT z$&4SzCBv*dO&xX7+`45oKbbKQ0Z^ba%AnPI-w{)V`7BtwPxc*R{L>Alk>rbM-_36S zcQ3Ymr0G5Rp7~;wkk}$(^QO?T#Zkk^`2crZy!`sav!iCa%m1N!oV&2O<+Pp6DM&+> zb#$Edcv<9uZ|zZXQ6*cz=O!`tzA03qS(DqD>1-8TdC<%VGp6_U%`P^RE;~5z3eAFj zjmHnw9dw52A?7XiEDy`rqZx~!;S@|IONS@0Ckq-$ ziBl?7z*%>{W!dOfulvlLVprFfz)O@Z($eSQut>%NWgwr?8uIU8GzEmXfD9xSdz;U9sT>;^cuIZM|wlktJ=bPuIm_y0Z_30 z?pSutuHW;ak*C4!Y}KN_@vG3<)6dbE+02@)kQDiRb-6s~aY3}5S}D)9rM_!b*T3UJ z%UBqZPV`~IHmAFfMaZG;^zCKcbV?;?0&KY|ySR^?pdJ-bdjgEr8fiazm>o;PrDGA;I`O8dGal#Re@s7`sDefZ$(Y}}V+udiyxy=?c-iwc_OCs*%YN`e03bB% zCm^66Z~;8wgfB0ys2Y_4v6&8fsBayw&*5rlOCvg5z}ghQ5zAKuL^zr_rtTHH!;aUB zb&kQtZHN95$RVU;rM=)B9oVD?1?0jKnr{H*Z2tRfN!oxZ1d@BQ+~(au@2vDZP9k$6DvQ9S~OWwK1gMSCL9}l zsj*=7qf*N$%p0(ORQmcj;u_uk*pVoVEwC)kK58*13r6 z5B^FK`-&e9-7YZ!t5Xd>IgZgO@4=ErB00`N1r~tfoM5*kjPvj8uIBRD@1FkH8%%`? zaIU9SEKy~9e_ShHvu_O}PMWpT)xqPvio#2$eJiEf3EqkmZPO9`X1#yY1`n`ns+Lvu zl8)nHz7N&)-j`UV^87Kx7bn8kM4aaoMkx0CMR<{x)J_IJ60w0=ODYads^_Nu+kCzW zE!DTToq<*QpSArv=w)sD@iYN;c6QaFaB7ddDt%bteZ)X3Q}Z9gg`d9+k(X-wb>Vcsi3Dk2XGJ>-fm4Wgm-L&%R!)hk;__OWXNSkt{_F6&4 zJ;)VvyPo!BUe9fwS#93tgtB3ZlBwecg5EYBhb5EnK784tt|bQL4vXAN)^r4PyS^O= zB)K1*+ux6eQH;Qlwkg^M4SIZUV}9WM$mS<`|GaT{%R@9d`9(W<%dPN(8VZM7_Tr*7 zkN(%IwKfE&z1}iOw(O}5hjm`fB`)#mU0BIJnyvLn&G+bRP)W~m30g@QvZJKOE9|wm zvRH;#$t-brRVLZuapb$_?sEWDHqUpq_IB_x|GToY=xzDU8`BSwdy9 zcabJ_b2!oR0XeMUR2ZNa^0cqegv4Sf9px^V!fnP%zm1hd`MOPMktF{Edna5oV20ogDQE3#Kk`8CH8pl8*czoEc@BKhz9) z9$@#*&Hf_u!@gb4W0IcYZiC&fZ1I2efAN*kXf@T(Oh*iGG0f$6{-wJ2MFwzzelZ_i zv%Z$`#xny2Xw|)>cs?)S=03~KIJ7_9iC)ybMv)|EM5w|8YJ7v+zhi2h5~xQCk|?EQ zZSj$?ij(G6`RS;^$q&PCLx?~H(26*qvzi9xC!ic+Jd!FRLEc2*>>7de4V%_Y60TP7 z1?&|;0a(hYwzI*Kd zHU6~Jp~LU2O0Mp`(TVx01Uvk)pak4>pU@a%g~j`wh5Fw`fAMU!zDjvaRVK4Q8^|N^ zyd?hMvq4AT(%mU@e3wJEcGW3K(0+EV^0Khb;&|^s#ftmIG{_?B$EGSgJP3r%$c~bi zQNvw46kFa2*GXtYN_;P4Co!7BVp<+BWfv*#EQu^1Y4*No6RAnZJ}dLr+V5!*|9x=O zx2}`k`@Wm_uJlDK=N#9WMjh8aMK^PC8e#S5fm;(BiZVB%REtAchj+}Vl6bgx;*(bbAaUSh((>1DllU6`F~LtrA%BYzW_`P!YHm&>2((Vz zdLQ`DX2n(Jli7_x*83gnow#A%3QeL)e4Re6WzIY^r1F6(^7Jb0rxt9pkQvvSZXRa* zs2Ula+8##)O@*opq;gCWN*xOcT#lTyoEASv(STKcVg8Rb!aPhfApFDoD4!ve)(<1B zE5)e}VxMf6sk9|?TZmk$1FR}*>{Jm#)GEd@f;juX)ubCUC!We<8d}H%p;W!e_?@MC zD?$ZwdRHpG0pA(8+QRF&Zlb}8=b}aVgc+Uc+sH?>NamFJpZuhcl`cO(EWeU)uIRi)QKNpXy`YT z@hG~Ka85c>6Ck1^8531}afA>My+m6d_8=vPoX#6vS_(Jjo)B~?%9NghI1dPGZzFoQ z6vgeHpO4JDA^>)X@^x(@+`Y96Quo(~LNej$=uB8^lY2B3?txA2s6#`bvi{rVh&4b+ zOp?q^N_aFJ8eJ)4?hG;8EZR^UOnm8@7*g;lCX$?r$n?I2V2@+lUR~7c3wqM#4jTw| za5hk!Zo{*`P3+0(UJXSCfJ%8u+0zw%K`jit0COu=?Swx4JY=NgAt3;0X~f78GWlmS zJNehX@rdx66d_>I=6R~QE>@@$IQF)GTwC>_7HJmrG2uY3e<6fJ;EN4!;xiS>g-4uD@NIH5$fmm+5-#Ru!Oab6)3BBKBzyJ z=s8LRF!s|a8xS7d%JfrhOs_;vBo}cI(>7bY154jeM#3z&@?Pc;bz;*W$t`=^`ZR%I z-lemDxs{eGl{s~YH0MxsUOd42nWO*aD)pj!H~(XB`x~wueOo^VbdsyhIJdQ`Luh-M zwak(+9_nN(Yxx_Tmpkr$)JU8t?PFX_Mou^yY$@`*Eqk_y=B zS=4=LhS!EDlM31bl0U~uL?2&r??fGzJ*UTCuEq`9yxVsEJ$~>x`jUGmHSLs0)qmTA zX(aq@|6g`ySeSl5kG9|p%qAr#XRo%ex7M_ElkEpMZBFS!l|so;7UHaB6mVV3)q)RE ze-ejn@z2`u$R`{zZeQLH4#J9}em4+U@uJE3i^c7253UMUygHv*&WxbDM7qH}gdA57 z29LOQ+3HwZd)=#gxjB3}^#|cB%*53?7e0?vy^Qp-`4{_R2@bo?mT}psyNDsIkTfCQ z9j*IZ`n+s}_8leN0067swF<4_Ys2Y%%z8CLoP_eSitky!;a%nWTcl1VQ0A%W1AN-T z2FU{Ay>E^@1v@!zyt*#2APtg>11loiV?cx5waYUjbwd3D$W4jvhc&_&lySVWNQ{*n zwK@-P%Fy9(64&M8DfyoI91Xtjpz5RF^&q)Xq{L2qS|FQmn>zi)1!^9v|H&aiuUkS> z)lA2ADDF+y{g6vC+L&C@_Al*eHkQ$UD}A%5Uwrncj$O;j+=+yOsz(~SKRE<2Io}V< zrYetCzFT3B)GQ?BDZrl!I7MQ{3(>5tK0PEAM-^ix6rb2eF-aTd0V_^@y10kK9(OnB zITSsM@<@lezs1HjH22XWBZ5OHZp*=Go%f%4Tya*)D>p6YXT8Gv6j$D_ekF!&zas4B z)vh+NhpVF|eItCL|C`qLjv9FJzCx`;uRZEfrQ_ z@&*pEDck}f)bO04HE6lZAxsuYNlxhGXyu~oF3y9r|BaY@+ibI-$X2s*iVTIp5=LE8 zhr?qMJB5h{JD3Uu!ML5(kXGuCT`itTbyuy8ZUi7F7f>W$_8oqN(DT0J7cQ2VIx8@v zl@XeUfDV50Fkn=aiQIy#32+JOoJU^@ik*%~VhhUnWD|4REFY5XK@6aLHnq#521-_S z+kJPkBB;v*{9)_OgfYxNo;qw0jH>_ns|3_A+aQZAh0hz3O3Pr_@zY?0>G0=&*GcUl z^MjP>2pYY}+5s_m)E+?)3Ko@)xO$#g?Gs4~q?P~D$P`Y7Lm>_!;(108eNM5sFjAb! z1IL^tT|!mRwS1)G^H*9kD-8*R`)-XZdUsw#~kDYX$)&dXh zczi!Xu!3tEjwX;Cx7B3)(Y6&oISB{f7xXf+HQtl``w$<`LY)IN>|Fj)YmC9lZAoov zD(2oc$%7>70uJ5{0O8Ii{!`D}GSGYUlVyaO$6akJ5-`y44e%}VxLBFGvF~$F_t^9c z@pxK0+;dXA4GVpAY=18YCzaj9Lx5jeV##hV;(Pld9ha;n?T`XynQ|yi8TQebJ`b7c44??GLKPE8Y>+G>$q(*(06% zoRT0ABKY8GG6YHJQH$AunClZ$F^rafyKh0>W_H|c5B{!1?OFe>I>fsJKKwj=z#n_s z@%jz;x?h|c)w^lCncG9nlESsiYPZ1t+jV~JOaGW|!*`-L9nv0R3?f2)&ZfgBxv%~& zXEX7oKicnjus^J~K97a3P4Ni}d!6)DHm)z(HIC&77~Qx;h(PJ%q4-$7>wJAPHnScr z$6h%F6}u6e{Dy{Q-@ktc!O-x)hLyejLsSfb9vUU=g7;bL7oLS}Me#}L-x0Qv9T%^o zlbYI$vT7YHl(rS^_Os-UXDXP2yk+e%{)hk5#N{|9I_C4hmvx`%8xb`GwsxmG7R;4N zIH%#~rEbs^Dg|WUYD5 z=P-!*Ha+=rG4^;Cs~(nCKkGsR;)Zu>{WS7b`M*8gZEu^EX>#^eR8+9L=Bo}=aB6Y+ zvRu?A58e|2R!?KPB)qC?=#{-=bpBW2s9)m9$S8^vEZV+7-_Pe&!#y|#4XgP5otkgY z(?3Hs$oss8p1m{Hzt(Kz6-NvQ+&!>8Nm*#bWh-a~Ho+B-=Cz)J*sf1HMD$uShnzIL zJ{K;;m>ka@O-c;RftWh?8s0KER3m&8gEao722Sm`9=fzkE02+j-%BrW{O&8VnZ+t& z2{rv5PnGcnn#8ZXc%CJrc}`$Uba?Div$1n`Fh$8&nsjT+qJRTcuWQG>GbXK-I_Ywu zY_1n3TG~0k?`7J{mOYlLeB*|u92eE`Gw8hY>N9b&P0?oT;f`xgs%xI){%7YTY0w75 zNQ~_x9vopsUXiKBm&uZ)bMbcpRW*6_4RLB5lz>86MGKv+_>spzfa!3+8=PQzv0|*j zD4JS>Fa^!V;Rx#K9&c`L`g`$~j}kAUU4pN^xG?w0jK}9F7>8Zc%(3JrEthD`bL`Vz{JUl^n^* z9J<~EQ@XOnmY+hZs$QSAXLj}#b3kTtQ$4OC>z7@fxY&ub>6nOhcw+_bcwBn65t?(_ z3@I%)xKniLLq$8L+!7!{wR}1ejr3dI7gxCs+@`8Qi%93IfQ_788gO1V(5R* z?##K=ZTkr=ZX&1!3nLQH7BP0pKmZkv2{1DT-WCAw3dbyT2Ba|F*B~H?W8p;%M@V!I zprUg$K=H-b!O>#OtO@kek^WZ_UtCTKcGXrO3)GY-^f7#=YqhSgN($mwL5Stzys^sq z>AG148Hes<%8B@{MBRr+(?86$OXh%TQ|0{wb4^N-ky>7L1V1%4-LoMQHFelA3F$U5;@N z(Xi3ex9*YYkFHFPCU|sQYDb$WXI3%H6cOgA{qy%?Xj7taZD?1LIx9DGi<@Eh(SnX( zEbDixWq*k29HUlFJ(b|y%AdTXlxTb)DmHU^TW!EtUEi3e;^^7y)f`&ra=epa2*JQd zN6yG~0CR}%Z6=9_Wn)H!L0vtEhQaE^F`0VL@ zvn1(^5?Hu8c8f&BJ1HGHSM??xd@U1u)}ZGoaJ9K8!=%6hznoP{m<-kB;v4#P`)oVs zBrbQ@dvD!;eY4`dZjad-idCWXi|Mj1l@-6scS^oMW|}4@z^=E5clnu1PgW>fxm3L@ zHs(jTcv=Zkh?+?PZLevKChM#%^e674I6#?&=fWk80XaCv#wM*~V)~p|lF936dQ#B; zePC$E;g?oT6b0U4Pc!;JbQT4_|pICd-sR$<;-X8vio#D<2{Lp>vfsskN1BK zgnrqpAEzrct7cR(lYVqR4}{lK)=$f*s$gzhg7BKJ-v+RavV1bMS24(pq2eP0Z(Hc$ zc=+{~BoXnAz>BruPTE>uYAydRn(zNi|L!{nOvMqg=aq9n^BNT~x1@sxY?(ACq47B$ z!2?tVGgsNkUl+wDFvZ`0LP(Cj1jJBRKnTwUM&{VY@I!&j<=l_hRVdcTcIe80ZluAS zTS&7s3R0a}-l;FICJHMY@O3`>BeNW`R4{)VmJpIEsuTkPA^?U1RL5x$0g}LX6b$T9 zZ*fSyHf(6k4%Jm{$BtX9w;_6b?&=n%Au}4%^*Pd17%tm}>9qt^Jck^-Ok+7LTbQey z5IKXUrLQMuK{bj?j;<|x($^N2U+KRNKQ9k?`R!R5EP1}gUvF>yfahpz^BedQd(waB>Fnw$SZI7`hKtPAaJE~S`JkV1Xgvni4W{Vw1su( z)GCsZ)PK^;e$maiI7#!C0wum%i?)rp!3rpz0xZd8B5Ny%2b@&qnG87`v205 zR%aPOF>b3iVn7cLCpq{JM3*7Z3QK8j-($Qs^PX9E(8iG(ppOC&2|LZe)MDeXk#hiz zoczyYtiYx@BJ*T&-CC5K!cSfW)=EMg5*YlIK!&{K;@f^wHX-rCKcKJjnvWL*9m1B` zPXkEPyw(|3Sv&|vE_n{a)OJ?4COQJLM9m9T-vdUoH_lWi*{P+>hqpm z`itY3{e8{cpRwQk+_K6=r^`b(wUNS45n@Hacdv`LVd(h#5`Gc9Uy~Tlcr0lA;gcT& z`0Ms6<`L7%irh$O9l<-CWvTeoVXu4k>Xd=lCUyXQt^_hKW!2(sdKjihyo+gMn6}j5 z6&{<4HK%d!c{W=$I*E)y~qb61AUzlTJCpCX$|V8qvSInX>fGxo9}d7B)L z29vLWhRkSGko$ugg@h)#h#sptJ=l{twg6B)8YsZA5J5 z$mt90Yu~4B=TkP{83}qr^alj;7Nov^$YbiV1#udov0gXG6-89)>SiSFG>zHV~b1J#;AjBV}MLPdsJ6A00rK2nVg#I@t2lOA6UAm-U44D;j`nr z{eL{x$0!k}kBuuX(T4(&uslXXsi}pmT2|4q$1rrwJ)7|L zlNo5=<^+iaz&L$^skN%r+*{&>FJ^1wy~^lS6t&wU~7rEBBEw! ziuS;cOFLRa_fJ!8xSd3>K?UdUA4xfSI;}1{L$D4Lm#FA#24$NlvP1+rCMKDPx0{Ix z93YGYIKgb>gG5i2qn#H91l}FGf|biuc7_~JJVrjR(Uy{-sxHPKL9l$-3|!v(U=W5d z=<0RkTD$5dTn9kr4nzt#r@Xp$Jh~G9BasHeB?K8guDd!00-fU_THd41s2iOnnU}Zg zt(V)JzQ^)+IX)DMY#&<6nzAIei1q;Ws&|CI_?qXBr7UFN>s`uAozhNP&F#0-W66`; z>%Yn?qUSz8gc$xs zRV64@eo&OIZ`*^^duEHeW1FUCK3PjNp3()z-tdng)6tcznzuqj;xkF|tsn6eMaTc{sC)6>G#87f5GX0)BX|@tdWYj?%sd={0wg#6L2t-`4|6mYUSeMso>BH1nx=x z^yUpx&mb>N^+|f;|KcN~iSD92W@r7+yg!r95x(k(BRI|b%GLrsC#}y4$v!tA9lUy! z032)tnURNx_gn+TNjjAwC=04MdXt86WC9*nS3mhgxj)V*d@-@AsAtX2-e*dcWJ{~! z`_4e*fk;{`(#bre1u8hd*a&s0d29SW`9?>F0;$(UvAOW^7OHHNm5~*-rRswy^L`Nv zGLzzm_+>{efHUHTeO1ue*rs9fI|AMtcB_-3J|KChxahN@hU5~*_n~WX4jnpeLM48Q z7<|_u76nEvIujibZ;DbDzm`DG4TXJ6npLd*jKh4!vt+C1Zg60!GO3XH*1J6Tw3}Vz za-I-ao7YeZL6p1*rSrJH91ZPKuVJm$&);Z056;f}1K3vHEB3^dhc~55i)(p*$<*ll z>KwdreY)gt#OnEG=1p9Dovcfl8gYXiLI7GFlc89zgg5}>#1#W@Jxuxr6zY1me7@h`XzgZMyN~xbHQwTO*^RBG*^bu$AmY z%DHs)O&0)E@CZ&C*?ScUHZ4_K@Wk%D=$!$6Y z?u>1(U|OY3k1VqgR;%LdzwH6yX)$>qRcgRa{7`vj;p;SP0C3*eaWnXOqu6n!DEhJ` zy5`UrbKlTw13uJey^a6jD<$>P_xNps2?3}+jctT`dZZ)x%QxQr6|jGtx8!BE;x`Q5 zW!%_Bt(h(bSn8KU;oc5-LZ!d$o zkekzGeIOuhXTR`RIYvC5#)INS>ip?`b7c&KGOk&WXh}eBef7B^_BI*ZhvJsh6JlcF z7$6o&qg}>?cHGTo9&RItsvu>tzWT(ygs9+Z)veckhQw#(-Sh>T=2)PR4 z7siPvbu(rwmHc3elK$1sCs=yY|hk(vYFJ#)){P8F60O~fK` zlyS@v?le^dTmEPz@i|IrWv^8eAzElD#QMrcCZcWstNkTw&Jf2FH2>K&;iviL%q0(_ z+~!P1kGp;%5uo)`^tE_AOTcH%{W7+&MT(p&n%emY`D2}c*TvJuhK~QM19>RQ7h+x= zW2e@TH)@5QM2Y8zU9Il*|K;Q=27a=gh`G9$u2NBGvl#xANIs)Do0mg<8nzunT-vaP zO6A|@^^-Y4k}yQxdR<`zJ8W&w^?5#f!9rtfAn<`IMJwvX43C*T=^pyWU&UbtnYhPW@OAKTRAD8b4XAhQWCF zco`Fo?x!Zpq9+Y*L%wu>z1myZM?0r-^dm=)Qi|KMWNX0L*^NdqM)YG73)qb{{CZN% zrL6O=vDKO0?TLiFUu_%F3rNdqo!NJT<(p?=dXz-Hx6WIAF{x}uNWl2C5HC6%gQ4C} z#{Sb)#;Q;L4=IkD%qh<9+KpguDLDNYqneRekBfWXchViVJ{__@Ba&+R+=Z7%pZB~! zSe~gc3=yD4$VIeO<5KjDOfeE5g=2gWyjpQZFb?HXm?bhC?U0r#PF9K`yMvsTS)`a) zi|H_o;(uc7or-g+`%)1{6`>#iBoRr5Fasel7P1~fh=}eAOs)bOm^>bq3-K5v& zs1mGSJNL<_uRa}a4`=hN`uwk#qOX_hJKU3e{4dC_mtRog0=%YuKKO0jK6j`*?Y~}& zmb@$}NBbXIemiam|KNABvB>WHsQAM6`oQ)K`zd?Y2VFCOx;1OOXCJ$`2aX4C}Hv6#orF#eY z!`HKL|0}xFrbkxUt)4#YDP!q(YBntJ4@=j02_=S{28JR7GIW_o19|j=sE!cT&Y%jSgxjMQK@Al=2`92xCut!wc z9RD>smN`OO(tb6oEqWJHWU*hfOZTn>z)w~B>g4ZIxYU$GJ7|?!)9%-M3w>w=Y^RH} z=-r@bJ3`V-TW&U$s<<1S2-UyWKg-c?Fk#~{nwkmqi-bIA3&*=P{N#3 z1qbLO12(h8WzoA0u`x`=0bi)uu1Mq)d^hUt%5i5K9hzOY?y`Z;A?-1^e+Q@Ql7~#o zW{@`k0dWiq0?16pumeQ220aLrBQH2n)^`3P)adx$(jxc{Y6;8tZr<$~-{O$s!uru^2c;XVTZ9g8F&;AH^KX1sW$@}ls zHf{`(!y13PsB_+s z-*qQr(tGo%SVnNI-Nl^ugxE*GNWj@+jp=&;ZUb?Q2l~7Pz7*I8d4rghj0`RWv}9cpRv|KKxDEakv+yi&;T{+R#R>Q@mIgE4e#iGEBKK87+_m z0f*^31`+Slq`}BPe!$Mhnbk?MFqzymUx)vGldJOo6L>(s;|RlmlJQisg2xZ}lE_B4 zzvn3?Mv`xm(Ny5X76W~dI_czI!EPo#2(#}nSS8K=j{J3GHvBps7rxxM^1vl}?%MGv z)PVsMKCZ{dc&dsH>#l7+DzPEt&-Y#Ket3T_ zq-ymo^9Myo-+Qc^10vh`979&y`6~>dLtb^_;1TRs>VNWFPSU~aE|^jLF36909djrpR6@{-UhCI zwk<6sa9rc`UWae=-_$S)rDnLs)Xz4ss?fY>7B0cArH~CyqgLu-=M&_`?;jjF$~r`( zv|^z$Tau_x5tj-e?KiKf^jKO?9VhznzH@fz)|G(vhYel;Z6d1G@4qko?tB0D1x8TO z-IR1x!-G5O3zoE)MYjqKwSsQ;&9~IG$TkO>Cuom3@+FO&%|?6Sv&dlpR&*1P7ES9h zmRt~0_#y>f`gZzO>NdAm{%}K4O=3pFjXPaTj_Pk}>f)6XjOgki$eaQ{XjlcFJhBykC z3RIIK4}!gJ=bnYM#`#WLtXsUMd)hM{*D~8mJxU=H|Acqw%Oj!@`68z*k~nq8>6Yc? zx;LjTHsn~LY9#I@B?J;3m}O~22ZNH~p6i+ySzR-|<=SlXz8xy@nl|UnXuI!tllz)` z6-Y`Ur|DAGBoTt*eqM_%oVEEgHc;9A$BXBKE?-v<-k0sV{tv$&$39ke+*W$dBxW)y zzP0k{&J2SmzzLvJG5qM6kBguOTu*LYj+17hx;gPE)0U2V8*Y4UmP#VnPsd?dbo;J>=t9SR!|M}rZXI(zSx~juzg2|vDouje zbu8pZg@u^;HVU&*k<=PRgn8)~@&vcrs*sTc0R`*+Hz2x04bD0G;y30{9`b0W$SuP3 z7Ni}y_e2N9^1rxwDAnolvFJG>r!vy9<4|-F^tFXBDBL$=&CAm`Q9`Cf04_=!e1s|8 zsPvr*7AYaE9)Z3AXR5vlD?VaItLjD+?Gb9!L< zZosR(E4F?(He~2`79M|`2>*DM50hv-i#|}%spL8*JsiD0y&CYplxD);UF+qK!!dRG zv(So3IQ_3ZW221io82R1dF{DS)b(aZ1NJ7rVgL?4w;jvZXRqmQHm0KwrsuB&hwl># zSI_QtUwZeeo|Y^{AIMJprys*)c%Qmdp6|M#ipzZ)e6K14$P?dRGAdR>v6S_LFkg5{ zL@v5SA3FU1$$on(h3My?OBh)v(=uovq}Ux%J6|jPSgW%=YycNRc$#velcJpFrLd%W zaNsB+dC>oduD6P6tNY@$gB33n3Z+PKhX#3z6qgp4LW{e*yE_GnTPYMuu~OU%l;9eq zxNCp_!7T(x^5s9yIhWr>u5z)**kkXx*P3hoo~Q8vWK6Tw1f(zg4V1oL3dY?^UB|HI?kv5uSt8z-es$+D6;|9Ui(vHw>OX-i*WkEU-Q1h$#glfzPtbL3k%R#r)gj0 z$tMy}<)9ac=!g%Z>6GXCD;EdX2p>!~_Y;;5EV!|ptvf2tyWaN}QZ@_x9~ zX=>Ez`nzO#@tYsk$*R&dQLeF~8zT~n!ENMKP0iDu>?}p_q~PA5oUf8uC8Z3ADr!Np zmgcy-zqOyW{HrmBpI>-;Hm#U*X}N5`;*k|V)BFNwzQt6f8g{j?%5X`pz5EG9ES3l> zZMP-O%(}3XSkO;iY(g=8`&?BVR$XCY^ZT7#6H;yqr%FnRFt_XL_QCA^?dfMyZ-hrX z{?yY4qX0audS+rl`vb98W$+b5HNM927Uc0#Zk)d)J3PVK-%N# zV8219m*4#r5J#58!^P=-P&b!4=evMR?=h1F`Ssohy3&C(SbJg`zf-AVR$9E-^iKsQ z)kDm*JG&>z|F32u*1Ll2Rft(IGkazIM2FnMlBp?F12oYIkE7#Ved1q+u3EKXVUZvB zHE#zt68}K!crxI*)|vUvfUgM_h}q6*wCEY$u`=t}?Qv%GCWxi1*7(uH8Nt|8_?uXa zx+)=Tc+VESvvn34>)$D2ziS*@vcvAH27&I`Jp3h?4Ihc4zZPme@AHkxdUtRQ8ldx| zkTdv}_cvhg5gc09_ppgZ@#@!pton3RNM|=;r;2$S^?)oaLf#o{io0T(sXW^{mDdMkjHyZR@XWrcX9^%HDqhiZEUNJ`0Df z5da8|`Fq!q=ZHWDzi)_V(7VxSfMop*s0RLcFqYl#LUFg*Gi?Au32@6BS@*^f@clcY z0qYPn>zRs#oupo$x@zBPbUKj`V{^qab=4ily`bYwOe0Y2!SuCmjAEva%$cKX57~)( zRu)+!Y`66jM`XFjCwKF^meDN=F$~>*AjL(};peQx-m@ZZqC8ieW1m=4lco!WN(djeond-~(a zGb}LnpCKH9&R&#(DMDMTGy(@pH8tO=Rztxvsj6oodurU?$FM=4&kF zTijCqZ$Gc0<1~R`aHhw*Fa)XQTQE zRS<`!v19#y@LRXO^ zh7E}8Ti?R$6-9HXv>m~({M)0*Y57Kflq8IE4^P#X)n=C+i`n@p%zj(~-h2ytt6G}U z*l%?WV5KSP&4wUV7Xou6;Z5LyGhkNPqu31N7a)!y5u-PI|m1@#GkRTu^6^$ zBI5$=1_SN&YF~5s50?<2(*X!F`AGTq*K;5bZb*ihViYOgFTu|(d^h*6Yl~7 z#jw;b88%R|4o+eWQ+N^vJ?z0jSiA9ll!PU$EEg>5nZGC4rWv;WrI18SOK*OVC-`Pa zl3%>sS+*r{nE(L;7D(-?_vXWA#Dxm^Y_dfj3m8ARv&m~~ZCDPCL9Pd*Mhwi{*braF z@OU(jy;ucvk_@wnU;i!6_~?4%XG8yP?=LUGM62Vwa^f-<3884)?zB(izgm2suiDdZ z6h>7&=MT>urG3Cw;AAN-$)U_DHJ?kaRD^L=V|mR+Y>0h{wg+hd?DU`Gp}TUifPF#; z_%KTMj{^hIE2j@#jm-ibVM&(7SyfrXSpqSfLbdL~gM1N7ikR5NS9bkpO?Yo#{V`>7 zEi2_xeJc65)In!{*~t4^#_K*yAwu?v6|{V!Bzn*=x*9T+5F5u%T}r`XM?KI-!$QCu zB2&-t`?WqqYtusSpb<2={K@S`&f|4UMRz_M#NGev$dN@t?^t8GIW<>RPqKI@73d?c6msrEC3I$!NU3WSuQ1>+&N&{){LN+sJBQ2e zWzPhAI=SYPlo}4M+go1lqomp5$a6^!cPos`^Vb>tKY5Pq{O|eM9OJxs6Hi-L7o3PQ zZVZUVnwMP{wg%^yf;b#wKaBGjRI@()+HrIVEW@SIAp>?Ea9G5{hgh-&NjL*S+o(ZKygp zKK`ED_6wCx!2gapF&Hn)jRB~=V82u|%`*8(aY1DmTh6-n(i*-@%Pk8(yXp4;**QWardr= zpEcIvECuEc;pdF0QXU?abqC08t>fj+%CtUaYs9q=j5l>6{J1qCT^hao0<6w{-$Qn0 z@_P^sfef4Im!`^GCqP)cq$7eP4uL`4a7b?0{mwV7dz$t5YfaBMt&Bu{#BQ<-; zJhQYb@N`G-xcgt{hh38g9JELEg6alh>|)VewAuDQ`#j@f#I=+z`Z{|wuS{F@;#9vb zcs?5k_C(loruSpb5{9(qz&;NSor` zSoxt;=XDKH0YESMrCh`6#zXTXo#;jBQ|sapAwuQjNZ` zb1wgo>{~Dzq^QUFUdUHh&7~Kc2}3yK^0hfS2KNQb#`)%pRO6gy*R5wWaCP0sGeT&t<7mgu0sjP*&AyW~kX{VgvMHn9zt!`lzEYBSlazO?lp@Bk|VMs-?_@ zLzn0I+brtOt%8}EnHY3`rt1Q${fb8bxZ{ zAN*f9*vmZR#^eQv<1EaI+0hTb)YEn=s9JEDpHK)`WXIr-R69>iP0};M=thHc#1@|O z6x6kz=&M1{(APg5BdX%D(i$&0 zv>xyn7TNnOxcr^Ur|ICf-bl5wo;u^EzsDpi4g3hd@rfqS8fGC?8ynVziH?IN&2H;1 zS>S&sI>&Xo>1LAQc0rc4ZbDMKYgbDlYIdOw%M(kGmUaiT{k3$bi9DImA*Ul?s?OCe zD7xa6q)!z2Q@ii?5Yc_o@2KBqIgExW@+a=Id@ZjE<)$>n6FQ>kV_2 z`K@L}Q*NdvZ>{(pPkzg1`t}*6&r$cWP<>&+;-Im_Onb8D&NyfBjg<0RMgY>89=?gU%>zvm;0Jv(7cBIDZ=HWD=#D9r&F`XZUP;8 zRmLS4=`Zsr<9@P<*XqFkqgk*UG9h!nyNUGs^W|}b_&odebeZ6XVV#Ub@HO^RFoE?| z94xNK=Ru*Vmi5+!4~2U0Lmzg3zwg;(G?d9g&OOw9X+frw$-H8}jXqnxxiIhEaqUK& zgEHqKP+B8l0Qj$V(q>q{Lro?6yhuwD-v;}e>(!;&{p3VTZobOGuWQemywWso&1%SJ zwYHqoFe}RjYF?FGS6-|?VOnQO?h;Mjp}j(xKStZn^}{V5%8b>J?7%M*UQ+FtzX$V^ z+N8vYnTkG~J=7+Pns1Ed)`eEztPS-#L{Az?ZsT=V-FsQ0o{>W65R zLw_d3hewC_$LH zW(yDxMOWk-qw;+ zsONP4J{bpy_Bs5hv-feqwUB$gCGEM@Q#o3qS-TbadEz(hU&M317y|_@XKPZSnq+jp zZ+XhvVQdE(28vp@8$*+m$H!-0f5zl7Jaw2q|MeFy`}8xuaJ$IL%xKD?J{neB1{qJM z*$x|6T(V`2l%UL;KaQpACMnsZ$Fswak4x2)(}Y9AE$}HyH_4eCqVw|V@IFb&r9A)&nH`%4o*9S3LAP7lVXIr^qwO!NF6ol? ziW^YF6;Af4|HVH!j!TZ_*w3t}QmL+6neSX1pHc_jJ6SB+>Wj1^Z#ugRo5$b5fSa8U zKh=vZoRSZw?HqPS1hWTcLAl-xgE@GHvO*(%PLvkqZk%5t1qSp443$@@RMas>>B&-d zW*ehM&r0Tlj5+x8F^vE&1{cf8|y zCr`jFemD|X23s=9Z?zLo(MfrWS(No`S*Sj>v9nIrQ6*|DgKLaU=K@ zM&TlsqRUvLSQuOM@8ys1|Gi(c1^R;09A8ap^jJy3# zyBLE)T*P!;k5*-TPP9OQv+**X$6fAAX;Z(d!jB6PPFXj5&fyoR612zFR0urBKlFTh z6TO?@=RzPRH5;@FR>BmTpdQihH<>3eFHy4Z*?PN^5^ukG4<>UlDLM-wvTO!&?G-=^jw{acoSJCEz5kDjYT!8qUM^`3LLnJ-$fyHT;EEm>Z~3vJpD0Y7HcV zQ7WB18aR@;SdwOl_;sU%HUH@s1=?)Swc+OJoi$l@wg|{9x`@cu$MJJ2#^FZdru!fZ zp7jlokS0vlltJwIW>|+@k~!=HV1+@xmz$tlSrLLP+wJEd)LouCy;#>@F&K}za%BON z%GVkVQB=0E&hvM2v^OTEvya0o%#MID!-n@)LEJ7q2r!DDVHjZI(Vj~|X6feL3M zaT^Kmu6hzyS}-$Uhv1fCRvIh#wa()K>ud+nM56M4MxzTgq3)f5-m4Z)wVv1GxzM75)_gwNx*u26~LAgtzkfiK4zxv7QS!Jw7eIyx>8)JmLk#(*eAzSRL!xS!ldKn0;i9*S%3|5Wgg&vCEF5-&M7sdnZ7iI!6jbonzLiW#hVBG&v<@!*iG+DJdz}Ea;F_ zbnQphTMXv2So@BHE<_(5i84i`5m!~(TR1r0u03qRIj(&0nqu4A7yn+~6g}on{e{%H zci61vEGGsApbx!LfwsS&5LSRg=;#>HN2caf^3RFb!9!mad4_3ce=Yi-t{rx0W(*8D zl=%K;Z}<94U;%!g??*#*8OS}5sU^LdH$ayMnWT)CZh^F1wF zE;#hW*<3B7w5|?V_6GYiWQk^Wb5lk}2E)|Fs3W47#JqM#%5u#*9B0ch28g;i_4WI6 zVsoNj8rvEEXrYJ)^cJ=awng%jt2ny08%pNebnq#5Pq^g= z31@sw-9xdif0)%F?)$n&Jwx>=86AEc6dB=6wXJ@F%j6+%5Y)~X`a&KcZhm4?RQDzm zv(aUMUFpmm>-e}ykK1S2R)5T7aYf%lf#Oo@_v51FK%?AXIgVP~(Cx^)MRN%saEpR@ zh=tv(gP&I|vI2c$HI;3?+5N|st@E-aL3{jnVU%;Vl-LJ+0qxr_4LQuXz0cfUe5Gm} z^S14(De`VCEAVy$t^3*qZ+mh_aJhu7Lf`ch*5AZ!N(3#EX-Gc4bpF@9=PP0UP~ng4 zrQ%Ji{M372W!8qNNm5?WRC*AEmAh5kYnbsg2sw2cGBLaY9@doc2&Z`6%A=$g@SV*< zgZ@o;3$*|7o*QUm-#ICQ=3?p~oql z?XKPEoO~--_{}M2pC8?>&uPtpO?jzLDMaz493mFwU=x76zsYPl`ru4Sks;wLy>mKT zp2MC%iXShcJZzsLH`%xIbHNUB?`m(K)BNT;{U)uQ5uJ0IqwAx&uDQ{?LSbU!EKx>A zrT-IuHxH60F_Fw%Ph4m}ebdZ67F}+8{ojs1DYicQ(68Aht&GojF&|6k?r)-BR#F>- z5kIu~sIXQQ>0w_@M)f3A-WyM5$G3h-8R}Wy+w0X~#z|cMViPo5*RbTqKdIkZN5?RQ zh|Et`pnX2z&|1NhA>2M)=xZA&R;QF`OLqQalH=RY_rASHBW&*_W|Wsx-r0tTaYj_{ z*7Ud6^c%Bm{Y$6Jbvwant{2>rO^V`eb!VW@dI})TkHl7&{*g5NKz%CK5bPpGd3W;b z@K4@4JEQE!8g5Y?X&ev0tTOpfBQPHvSP0`L0K9cef$x^)<7{z@k_<)_B(eiTF z-rgPz6PBM*1BMaVT2rQS?gVdC?}KmT~Q( zaW?n?Y*s9jK$b}`Qy7!tBK@Ih8T(gvcXvfwMH+BOg+^MV>379#H~Fq!|#(Fzp8UFNaCJoxDN zF!R9;eN%)wjGwaD)SWl+GiX$p6G+oj&L6waq^eh>^@s#0G7)BwC#K)4mT1T6&|xio zI_SlWfTe~Hsyv7QOx)IU+gR;;^QpUM(-bC}c!MM=58MW6Tf ziM>LC$xI(>&DRkN@DRj{Fd0W{IDCyuDjYqG=2}JfZLtGFkvM>%p*XC+4lxar4oY@N z?fsj@r-u7&ppe}Y(UgswyT`ocg+(~ftf>l$Y3{p+JBXa`-pSU7as7ccAhK8Y=d1$2 zZxjX#u8Otj{iz81h<_Gqk4Y4P?47_4xAA6esD;j{;RMoh!5dAGH{qd|8>Uh8&VBcMYb44{Zt`LkdHjTUJwTVQA&+En z{;1sK#qP6zuHj}hac`EwW|byo5D$GPp5FbNShD}UH_kj@v+D7rkM*$?pfv8!vBt6T z`;14}%d);&W6Q<;(q)N&V*G=(XCEcqn6xlwa2pBl=MZu};OpDqpWD>56XiOfEGt_j zEo9cxSA$`BZH{xW6uWF49iv3(kxR|S%us-k>=^d(AJ^rNZ#V@lL0QSZbRvH1AkJF` zgI{!_GNsncrj_W-fS1+(XR*|j^-a%-jQbVET#E_Y{@f9zA{$mIt;7N?c?>fFHya@q zn8LKhBg}8`2VJ1B>;RKz@k1s*S51t7F>6dojV})TZWOVO)31$s@hZUOEH2sjeGn_Z z@wq&{CZCDgpb58rU-7K4l(;*(I1^9wA%oYCARx6Me@Wy&lRR@tp*Ox08{d$0dc*!6YrnFxJvc>+Z$i^V(BSu6Umj+|q)d-b#0_Tq3!I*yeis7rZBmufzp&mvc@=ZRJWK zyZ@FCqd7n32je4S&pHoP3DqLVBGXYP!5%QE7EHTIPmzLzl1}b*Q*aj;V*MqerJ%~O|+VM|GFVN!h-Mb2W&R(|d|=$1(+&!d^~ zpI-e?P+=~plF2}pTS@ulprDR0SBV7CQH+lJnXCET$BLH2_MsE>eI*RI5e$vS+!0~b z-4UWP3JyH(7F%mwCDZ({r&~S@!FbAkuK;1KOTWf(Jk~0n1?jB+MIaCw`66AH*>wd4 z1#4~Y=Iti8q2YVS)ymG*VKZN;`V4fLNt!deeaglS!n ziY2|v#9D9sdO@Yu_x3Y**wKKi{~yGLK6=_b1nr8C+F$9t?OVIHZW*-JIz^z0(D(RZ z-CZ$NVLJ*nh$|)O2jxHrv7Y%oX0^!w1Vx!DRq(3q#Z_xktkd;OR~PpQ|CbY)@RLV; z6zZT*W3c;SW?A240X+&gM+~FA8aLEIXZsgD*_g(>_g+CJH7~W(#Z*@)*ozf$96AfZ z=_Rfbhfl9ghTRSOnRZq|tF*?^*Q>2!8K~Ragd)T#Kkw!C)jSuhs{65BjlYT2v4Oh# zEcNz8;sXO$FfsUIV@7E zPhH4SB-t5g50c!@2?`w;c+csQtbC=oKoh52LxM|4!7V7AUD8mXN1PCoWvU_jgrq;4 z<%h^Wj&=G&Zh~2PdYB$BR7Gp&I^>-*tRq9Yv1OcGzK6*9OUwHVCgxJeftV_Vu)x37 zMRT{-mG@1y;CgiyJi9_@%Zi3|M&U-Gi-@zjF5wG|#neywZeY|~x5aB^N(JM7* z@SG?IOYiM^br~HWc0TU7-Vi*H@sI1fIJ~pcaBZJ4UlEYnlwNh)^9r5G1ECu#hD593 z#Cr*`M|VpRIL*fCyebljqk2az|6RWI+j)+!nsu4~T^~=Z5Rlo5_{qM&2{`y$LIM{4UQ5v}r)b%sm-ga*&ZSv5_ zNUT}#CY+O#6WINDne@8jz}fu4=yh%qBp@I_ECs@*A~|IoxYrP!iiDe^fWV`S+Z`jL zwu>l|{s>)qWo(B(`7qr;c_hR1Dz$HcO?Kvk8-T1U35#jYNT z!NwRRo#y*|Y}Df}#``{auBGuT3I_vulO$CxS!@;+_x9*hcRkqPy?c4$06-6LPHKGboFG!=$gO9p=tNS!T$8x z7}Sk^@?-v}w`OZvpj+Ve3mY5ay#;L4F^C zrjL&E+}9IcbNG?EwDNxlxhK`}_z!AjdC{D9iCrb%DE8-b_Wob#2v@3fe8&hV;Fy@D zxF_1oQ}!4RteqvuT#2K@#7$Gm!lf*be*- z@QLB(n%eC4QVo4vgkBc*TI_&XQsI8aQV7OZa`xQ+B=HcO0BDZ50CX)TuOUZ{bvbj7 zCfHtlQIt$N@oz0LOwCYmd{nI)IY#^e}Zj^x-A z?OYpH)0uTUR}&1)LyTujsClPT5IZNvW}ef^!DSjRC#hbX7)bk0wQ5-RhawwX4MqCA z%^SU&B*?=K3)`!8|3Ira`vwnrf$Pt9i7AD%ISUJpUT%=Uurvrldv*U%Uic+YR(+3= zSXMk8`pVmCq_voIMnfUxorigs37R|np5yi+uApQLZ+&j&^`Tb?%skM=#RbL{ti%}V z^Ul7G(k0pT?@?Ku+Z)&v(ZK-To0AG(5y96>s~I1kE`{9?Gp3f@iW-l!1i~*An$)1V zUZGNzq@PdhxMP{@T1{=z2(ewis5C?VuqhK=6f~yhEPs72=ckflx+m*ON=Om)#j++D zB1>R7BCUV_qV_5Tw{-gA!oM44s-!uY6D*9g7X|Ae*tXMI6braZ3S zwhkmcx|m!Ry6=~}UEVlXP2H`nt=-+-VHlSCs%2iVnzpwol(=$bI)-LuPon^)zIQGn zu)qxr)m;^{jShU-14PYCiSb+=0@r+PHlu;Ef=bM@Np+}uxY}@4L7zYJLvgz&+LwU{IvhESV;{A7bt0dsujAk>308vp?xQNIkF#ci|#kyRWbwo z%^K5~sX8NRV9@pj*e#)rX`{7`EWtznl{&_u&e zlbsW-s2&;mP$)j*L%#Xn>lITe#>Zi6YaA?LH96=$X7D+o3xK)+XVS$9Rb6B}f9@O{ zCe8Pvz-wbVu4Vh<;HrR$QkIuy*3!p2=0w*c`A#Dr2^bhLcuuFq54z|mW()wATTLR0 zilpaTsyohGY6dU7C%iU1vk4>($4t+I8go_~l6NNadDz*eMT=ZegA#vF^cZ^hH<6hD zPyoz4uEu@7P3^72r>BkcV>rUqk+}>a7hVSW=}!S%WXTA2z+gje(c{qfcFx{8b4EU zzte%)tlz~|pM5Wq@`jhdukuLq%>t2=(>qKCb$ie9^Zz~y(zVYL9;W~7#{bu+k7P>dN zexmoVwyWz30lRP)P4N{-de5gMzZ-U)v&WG$Yh~ofnc=n#IS8_G?FAFr6oc&+_?^q-BilXt*XVaX1`#7Vx_^o(G0NfDF3JA11sg(=q0RGty% z`^=x~$@`|u8yjihbEZG}dh57u&oFV6J!?1X_2n_Am6ru0hk>vAo0sXd?`EhG#r7>N z8PLRG&e@cu(amWNut~M>Q-74z?V4i6rI(z+06PUB8rRslhDwJh4Ue+s*CQc|UET9m7OA_#$V%*=fvXT#^9=u*Z6q72(A|xG)Ep|-kqT_ z2~pZ)QaX_}FEBp_tNS=^FO9=gG}X513LqvXmheCIp|3EjTZG*>*LCR;cplAFQk}#b z)jZi!Ktsr|3W$k;1U9N4bdirg=fBDjGKgS}GZd*VmgL9!43_JgcKRHTwnuA-5<7pu zFf7*;%q@sHd-^O39xsRMLN?Eie);a7hUbS>i~AfBB~bSz=Iv0h zdHwBRtolMD?6XFG5@RRwxYMlbv|pwbR+TyhT-ec>9DU+s$BnUl)S$O9KO{zu>(v@I zn)6e{#FgC`(=hD(1NVk&FiI@`2{Cr`%`T_PEByN~w)j*sz~gY*8f@v3XgKfS{N(4- zvCN0ilQ+?rA*lzgPxxR|v_m8`WM z>gFS05UQlL$EYe^@zqyHvh~uKn_KgEP3Nl@!R&|0&XiJU-f@!Rc+v_f;0#%YPK^L= z-1}QT7{{uoqz>wuw;yDq4QST|{f|A?`fl|>(YW(=Jj)xJa*gu>P&jh*o;UntF0@-e zui7pM@S`PWBN?e6fd(Jqhx0pON5x!UMSFy#$z~|D+Owu_rBDjfEKKa2Gn|30UEyZ% zjrb~Ecwy*qr25NE!B5kT4GTVt{NDQd3i6*n%@C6)c;JciGUTpl)HL`gdT$ul;^dn8 zPv?N!NCGJU8xQwYtOKv;k9b1-abtCkn#e^g*_p~dLju4#$?Yz7VQx-=?S_0e-N&{- z89%4{@c~1uNxMeC-jz7>ZnnPJcJS%$TDv?*RK%!3y}MpVRprT`e8#kC=hdf#=9b3U z6@zGXXNXMmFCS*@IGmBE?oU_zzM&)-R2CeRxBt|>8Op$GVCo%MSka76u%*DIG)mFG z#W>f`0MrXYf|PWvY;4LL%$Xjh@AMXnNk7W-q_8|u`QzEt^)&`ZcB;eVbmk)cL;*rR zH^)bt^wHQReL$q@W4oUFsf394MQd?IN@11A(W1HEbl%>|#=hwBcnz(bMzVulzO))u=*Po43eSc=l$L%}?NBA8a5VB6 zgo=Lu*wXmN8v4mS0ETH<9d-xZE>1$hG7q{kgchEtx!tvqJ6W`=dC2ZuLbS{+<>qQG z%(S3TTDU!npI?aNWv)s?Gk2`er-*|Q8S;4^WOlnZKvADua$YzDLbpkBa?c$el=rokI9M ztH}f`N?@&B2)myX0X;a?YdQja2<@jn=~4G2#pebkxxLnAx~5t$dW3}fqk3=9FneI& zLwK-Q(T9nDSy!h@VHY!*(0oSJz=agu759H{yU9_II#aBz@UZ*2j>vIg16NBIqjXHN zebevS1;UB8*`%wbqdmPr?=)2i7xL{FY$D=IL`|o|p=ai3aJX;%iu%S?9Oq!1)-&KIaF&@YSGk zqRiDTe^uzuSCR(H{*CvNnN+|@PtCF;Ek-G2rk*g^9?{`X>D6CTQjHI!7@tMB*>tC; z1v0xkYzNl;_x??u&@B?CW&iNH`=TPZ=zcAA!T!v0Xyi2CfBh1gRM8!9a7$)4mZmv5 z@wBd5%PvjZ%r8dS5Jyz_*WaH-!|j}})`R*fV~d^1)^8QKy*!)xXGTLg699ItyxeO0 zKEO6=9qqRNCfKTjWm@#)u;-=B^wuFK z?9Gwm!|RRW&+>|)%HgMLa0|KWAkD{oZ>R=4!Yu+Cgk=6RT5CM9Ewd_`=aUK#bIW=5 z@RG1`S-$mq%k^c}w##KvGn1H%ln%KP8w7B2Fcsa8Rv>Cv^w**)Dc_y(Q&$B|@q-g?@@bbc-(3@53-2`w4w_fIH#y}i#9+@* zUG$xc?fpS71T(dhMeOC&KtX!TFZ{cyf+OYT#p{jj+d6$s4yFKz0o8a`~ zI!B|qjqNNgR-C`97N&!0Dhj%6AWsK{6p!z`Tpm3B6ht_PTU8kRL0szJFUSZjhm?Ar z9$$~2@DPriM`E~ebz!M*#FFf zfGH6-_M62BvtP%22~9nT|zTN=c2a3@rjF0Byp<(VDLS85iyux>@$ zDd^E&=3Ji<5p7|K=@=+{E9|26uZpO zeJ?;BR}-S$H#P2`R57gL{Yi;4KI){YkBO|9W}!r++lefO5)T1F=HGSghD%}oHJ{PxZK zeJ`0bYMXKO1}fw2SyJO2c|W%}iJq?E6d|>zbWPqKZTBn72}n8SO$18)*JjD}uvJ`k z6P>3jB`!5jV&auGa__ZwA^cNsDjj}m95Vk2hcW1^cHZFhv9I^xDWCL|4H)sF(*6q; z*S+RfQ?pm8;g>m5?vKM&8#j(XV`*fMJ3}W-VR@sXmuC;sn+oz-grPhhG`cNs+_9J{ zrzG!s7243>=z3@sZ<^*SAM~30Vq@k{_O8s^%4;O&>=@njQ&e8aZ?92?3pJNC1{_72 z$b5P4dj$F=$o>7y!LmkMTqiT8IsWVD|ML8wRg4aM%FBZ1%kG4F1-|Sq*{KPSJ#w{E+p_g@iNnKuk{e*GD#Bk2l@UQZb#g&zv^ju=lbPz z!mQQP;q0C{RUrFM$#Nu{P>G!T;Wrp4V6}21qHe8y9cyT8k3t-)-q+y=+!na{M#w3X zt)TE}P%vwLC}N|=!hRFvZKh2k6xL$2xu*ZOBV0SGZoQTNQhw8js>^5VSGg6AV^1*Y%Sj8_(a0q*nB@u-Ag(z@;W+LtOh{T>mi5w1>A-92)O^- zd%|HF)@7q$p1SCh6O>Z8+2u@WVLa~<7Wty}n-tPot@C^P!^!w{UxG@LW5}cVSv!#M zMq=~45nof2|09G+RQ4Oe5K#o5oG^IKK6d#RLvQ?3@xb$RyQVIT z#ETJ?&e&yjR%jH{t25nrLobSF>NpYqk}akr>!W5_BmEu7>m$9=0u95kDtDR9>)g7J zJP=3;laP@=qKttmYi>V}iGKJ(I8u;9CBv#=$wj2}K@aUe<`+TRG!U>x^@f4O4 z35w^*LCOEZroxdm`kP+h$l-3!+quK-^zCE`e>kb>U)!t6(LI~Ly8v1Nfbu(ep)KMx z2oD7_%|I1T&s3553zqDsa7kd|&#AE6rm5OI8=*Xt*P^1L{+Nzl4%oKlW@aV>)=>*9 zHyOIt_&0AnXN04DEzk12J7)CZ7KiIg@4)wg05`yV!eQ#BSK#KY$5*h ztY${3t6`TDdi_B8psg2?Qj3mZnNO#aW>lH+vb`s@(1*HF0t;ux;1@Mu^d3|*e1{k6 z70F}sk^3xUuc2Kl^u|O&1okBAd8D!&j_uhn+F{d6YFYAI;8Rkcp@8u7!{EuytKB~B zRDJiugyjVXb0$2;{(Pk-d`>^ejUsA)@&6f7Mxq{FlipBDG4d_P*68!rA0{}t)z8@JHJNeYfqX+iY8t1RP z>iU~6xnkd`%58T%;sshco;BLCW+aT5!9m7j$S{hsnLYt)k|pU#spLR!|9o}s)Wp%A z(#B?eu~s?XTOJzh87vuRc469vPOBBW0)OH0GWfuW(^R4Fd1&Ypbg z@bc{Rn_vI3dTdXhIzF<#m=D~!O8t({e|debZ`-DEQ)|ma`hmB&T%S30^6bHHBBTiPlI%_bZ!q1R*U}NdN5g(ia~(eQJK@@@;zo)R*RtJbC2Na`rVh z?;RcO*|BYVK9{S9GqW?ZGjo~qOU=H)Lg2FGmX6|?>hk*WaVqq0-;_1XI&3vsF*(D6 zW65n6U}7KvOIR0B%wU^tGa$BL7LZ^Gw#0@=T2c&4AQBAAOqK`?c3pRNzP4}waiGhX zdwN7}t*zB+?RI;G%oc{>h7B8Xx!gCt@r@{o^7;I;u@;d46caIv)Og;~3c_XvYt10` zJkN0yGn-Y-B+RUoGRDMlQm-#(i@k4n=a0Q&$8Q3t0C(K;_(Pw*w;gIBO>CAuC!6tu z4%$ggnE*csn$3nW`m)O|b3L!sZYinQSY{gp8Kd>7)2Cal&~x1|ilme-lr?rm;Z_L2 zY)MEV#M098$jIp6@L;RmmQpga*4lL(&vU1yX4+xMt5`Lu03S(Nt5s|DmX|C4*8lk9 z@t!PzFF$$UsYg$oI(_JxYsUZ_IeKdE?n@J(-dc)v+>`0mz}&(-$qZ7bEP6gkW)eat zF#xTN=Xooa;d7k`O5tuco7Gw`E-n&L5CrL&U469Cu@>mae`)TZrWYi`Yhq*vIu9;9 znIJm=9ieh^ni)=j!Wg>UA7F-%Fn~}{!3%?z7*GVSqXcb)L=uMptkuHt+3Jq=#tVHH zHpW5_NwRkZ9YEOyCJ6gniaZNIh?cdi-`|PEIwY zpurwrP{*Mt(RR4kj}tq!5L#v>#aMqJ1hnB43~0kbbZ+2|>}WQm!f1wV`X+=6kDom( zvoZXPo*~Z>N(p1kb52%Cdck{{*lNemlaK(6hEfgy*MY0PRRb$);AFrCwVC4pf&%cY zD~~OtgG>*sMt$xq(D^a_RqCU`_UVu{yte-fJM$7%*_lBF&RljHN*8YM!ULU=B&lLdX=Br4#2w;Y>NEnGl z0^(LXiKDh4WV3#$RA^L}i+z2bQvzDm#p>4aO+Dp}6H^oGhD$!;#oDRf!tlDmi!>mO zRHQ@~33;9mSVgSU$N{pG=%cRt?iwp^ZLC42wecScnpkwaYhj20A=pZ5Rj06@vZt)} z19{}=)B}%JlceRjJ~I++Q#8*Vo;PKBCD5DB5JAxKyuNO{sZe)#m_#anl7 zS_j~%sdMM+@$~~)Fp}5=`N3ktb7LXByq}LGaTrIr`~U!u6DLNwz91PTEIhy3Y*pH( zCy-ZOea+(BLQkmxpxJI^19xn^v}4yuPk$MJ%7{Y0;*16`P|n|Y<26Mn071b&bNVQ- zzPFUW;bqsT$do<*;PlL?GiQ4ShAP#XuUtjSCOW-IN31nTnGf=g>na6fjnPWD#Aegx zjc419rB=H?6F^EBSc_s`zmpkR%Cx*Xwrv}H+jn1m`PTIS zrW>_$Q`I;cE$4@>y*76-g0Ygr!2C?R)d+X(>3_-Y^-IRYMmkD)LhRi%c<9{pawYa1 zA%)$OZv0wB?BHiIA-7#LVwTwGjQ zEadanTAk=_WYN*qCnB9BXD251?An#dWTt0kf=pmT%hrOZ-EJx6WV2ao&8gF;jkTWd zhi%hUDFh30U9TOs?)b_#F5kWF`1#4B$0y2#p(rwsJa$;yX0t_4?mt2#_&n8<=TT==J~H2|v=Tfmw(wT{D(gS@ z<7dD5H=V5ae3N})0aLbT!}8QqarGAc1EJ=nzu6T?&&{>OCY@5pB_V&9_owG8UyQnjx0k0JKO=Cceo!e>z@TWytsR zOF4;?VqaD$JaO!)#}6ER=WE|Qv|;@a)<;&~d(ZyI4$nEh=Qu8R>`1I-V>w|VNC<5b z*LP-SW*&Ip{z4&hQQ#X>FPptLXjl4l2}0q zNmMHI)+&=v?LTY(89XUTaw{z$C#{Lc0Up){4r)!lX6N{PNfu^bM zpFFm%e_;2;dvXxfuzh6u%)ztA1`7Gj+jk6&jrfLUm#c?Pob~;@X4!7H3j-xT%hlxw zfPmwZ1K{O5_8gz7Xuy#|Nf*F|jU($ej@+^Tz-T6*?nQas#*LeSPk;8*U?JNwu|`oz zAFu*q*M@%8Up{|_Jbpah(bM#bnHw(INx;l<<$))rD@}Uh!17Bj?Ok6gv;f%T8~dmB zKT*x*imr?SEH|Q4$IfJ$C1!=uImDDUE036_u!Gm#A?s+<0wjH?S&$vEm7Kv+;K@V9Uoe{kW*x4KuX1I zm6TrKKXK?#EsB~=W2GeJ`o3on8fz?z4nvi+H+lF zG%Tc&%xnxhjxxr~&dd}Fg_O(J(Z?fVYmG5NN5oBMp6kSgmRf|uGrgbg|~fmpX>X*Jsa2xA@xI#oD`G{42(Vg#DtDG zD3r*t_uqfacl<(exXBSL0}fjPS%x6)5@S;(bp`|N=JL9&>t1%t58wUyzxtiu`JG?= z)nCo!a$y+qx0A*vBG2<4ee}^k`IA3k<{y0LyFIr6;IgZ4{-=-q@treRpTXrlhz-nt z3fU?t;0pZ9IXqYafQzqr)!F0m6*s)Ge`M4A)TzgA{i(fg_<+@s(ec{G@&7_D5JGuT zx`&Qm7aJGr>{SD(YLy%V(-68)cKzP<}){L!sQ47P9IF*-WF zw6xgeO;g%`nKp@9W1yUFu9KL!BdZUA4&S%KLuSGCqzPcAy7a`sqp!WDF%lHd)S93B z;$7j4_DW@;I#gsp5J_z;+q6v;(sdk%YK_{#1BZKi3iGq`U^_QIm+$Rww#~$u^PcZX zWsGgZns)Wz+}Q<{iTVbM7hin+((?QxPaL`SnpgNi5JpF`QrnT`OwXa;*V2BMT*0Ln29N*d))`Gy+2&p6l8DmV6XwA%02yzs<22LyXx7`v` zs?cK-ohT)x>uRGpPJ~e0F>xYDVWB3^FLd(RQl39OaV8KuNBg4K&P|=kO2_kDHcjbB zumUX7cvTxAgw@s(gcAtqTN^$6_|g4`PF#KIu67(gb>LVOC$1>$KmO3gyK9Bw`2MF( zR@&!1e*m&~shQk%$9_Mbv0f$Kh zo19o0-5EwfZTT!m(_@*;=mO8pEQSEai$9_uTWbZ8O~VtBO7pWZhQU%O&-Vh~O+Q|1-Ep=R!dQd}3nx?TEwL4eW@d(Ta)q)a ziX!rZGP_6+!`NbBNI=9UVQCox?1;_*`KCvEX}iQSKf7z90EuwNmZv8+q#w{K7x{v^rNZAk@)^dCo!;t&-2>G!G_~2z3HLDaQ*kBn24vKKR7B^UFiQ zfa3}#n3Q@bq-80DPf|0hb`m*`ADbA$8yy{Yg;-ixES1Y!x9mPOb8d2aKFIbe$CJ`p z+Yp=tUZzm$asApw+qUGg>n%;4pPh4y#d2R%JF zHr621+E^P;zg==CJ{3wRNtUf(A%w%sOghbAGaF_&o);(j*zpq?*UxyFj&ZoPoU+2{ zLO(bom1~CUI*#KAB}76=%xnw@fG`YeImniwoJu{688fqfpWMc_Ff~^_e56uReIa7V zOimOHFEUITi3$NJ6j(qA%M{1H5Co2;adq2%_3jhe*dRCHxPjF+TTlm&EkzBRFXS@L zfD}ck^8KY=xt!OrZ-i?Ta#%P}Fg(!X&o6{C)6=;i*Iyi7T&^}-=Zk<&&43k*6p7i}E&MrKD;-nF_kvQW$o4i7 zL{90*sp_$#Eo-ug7b_8!OpnawViT!M|57YZACC*hDF*}EEjDef&{K$8)kpWw7(eN8 zw&U?IMl!$>@X+J!iOHzathG$lQ=ZQmNv*g&|MDBRU$Svvs@DI|BTwz!SSW2O z{@c9=-~P_;zT>lhbK=mWzxkWL`M&qPPix(Y{=fAUzLcKledHq_`TM{BI{-iOWAD{$ z!}ffunJiZpTql#nvDI)hen+=y1wBnF=W-36)mEip8R}RtlLGjye+g-MB%d1tqowVOS^HbOR1n zQaZ{>Etqn-TqcuA(I7hJB%Epn5-7beBngHlfi%$|h!{*@n|6k=!|Z|>WWa{m0AxYd zFvv>5B(-I-)(5~8gDjB;R)7ZVH{)axQFU=~V08Vj|M@-t@>jq4_GmcJX1n4T%nY`i%jNf6@zQtv;E$JjiU2I^`N@-Se&^2&tuKA~Gap~9)Gb>jQOIYF zwT*g{z$8Ssi;jn(`JNA;-j2gaJ3@BcHaqjWIFS+vlfBn$E{>}T(9DdN6_&Xxz@pI*=zO#3re>eZNlD=Yqto2+G1d;$H zB>_rFYiv7-1q&f5wx(JQ9jS`>jw4ee)00=Nw-Zf9w|mQZrIa?d zUT^UQtws>YTH`pB&*xgLC<eNxUT<(@BX=Fqxx^3 z`u{$AU*kXDcAm)=f8YmxVCU|=v(wY58cpZSAnlu4Nkrk9i{)9j+4RH(!UNEgFKpYe zKI1y9dBaGLFbUP2mQwXr3*;)tOOk}yaa7i7>${nJuQxYy-Vv@BIJJ7zS1OK-ZZO*J z+I?Bo>gmO%K;E!Q;zSBoj?NYe8#ixUnw!4&D+lsD^Sxsmw9P#Bac+ezeEo?=e823F9V-}pz)?>GlOAwP2N|_CXAz5`0upA`6tuT|+g)2OXxD^Lp z+4IWH(B^uxaKrieu;lgWu`LT@yvcFMR3vC;<;zC4-Pz?&w z(b?v?h(#tVqUIc0!ljMYDb_P}t7@4jUvpK)Yk5&El6^)M`b^EybFCn=EL0KNi5qPw z_(M)4Rn(wNqZNehuvl)L8Sv+tiJvQtBpjV;K&uVCq2o%O2+d5)5=@Q|k{WXh2j2L` z%Pt=52aH9v9_pe$FjdEGcbrcgcFU16+H12To$&r1vM*sncuU$#)ZYWvbeb^wuVvj z;rs79ckXO1ms83y##m!W3L(WxM*wrT+?s}RmZc&o#r)jN)~(wwzv+i-v4jXUM+6A= z!5Kjj&}L|6O)SAmCkCWFmyArbalNQaY>aAXj}2v|&bS=2U@Ki>0YRxX6GH-mNe~f3 z7_AGXzI-XSyi_rIr4?Urhk?U@B|_S(Z>?ctRgx%|A$6Q+z;%Qth=YtDHm2Tk-S*yr ze2d$k{@ls4Gxhb`ds_8sQm3%B+*=awdfQEN%dO9U_KOl)3Q7goElb#l*nrZmI$}%ZweA%W_p<`aHMh z)c}K;Q~J}27%5%&dtr%*te}osDW&&VNHt$u8efOET|Ha`o;)`D@V)!CY#G1q`fa}I zXaiKBKlS+oqnW-p+%yJMf#Nq#G#~%^k)QaX%Ox;5d2D=q5Xb}K?nlmk{eijh!TR^V z?HX4Gwb=aACvR^@#&t6m(tunzt=jyi?S;3z>Zbc2J@wR+$34%GtVf|{14mg-m0r>Y zSwe@;EeKKx?LtSdef{PTNb6I5P$#WWy1{z zLJFZ2S))x76T^`XK{5*)x7p~lLS611Znx^y%B+xzt#w?#r*CBH?2*SFylrW5di8N8 zmc+~yGYo@h#ifuESSy%8mMH-Yz<`8RVAleWF);|1*mhlFC4|yir|L-QZb)R~c6-By z(RCw(XC}^{JvZn1K7o}Il%8KsX~=2)m{!Xtt<;Jj=-I0#J*ex(*X`c5XLNks%*?dy znsImfCkZ5=NC-hB6e~}YACsGq!%)N*{N+>B2^hmkJ2cnt+I!>G{m;2Tzj1ix8xQVt zoPb#+QIZQhX`{HY+}~U5UEhCT|C7Qd1>fPQ)n6|5mCMaqqgJnqKCczax%1jp1*L@6 zOZlt~d}bZ`ac{F>LZK;>i%3+%P`F;Przeb!FXq1M)i(@g`!!p^orbUHf`4mcZLC$9 z*2aqrw*g4d$nfy7sZ*(6sKb;PkfYe>j?_2`sgN~+x!za`d@|hJxozFn^+U>1n3S!vjfC5Zxy2C+nGuDezq1Uk@3cKq-|`iDO7EH zXl!Vxzl?Swtno8$jzoq587CODMkxVFLTip=a(%bdY{64bMX9Z-0E1?Hrq=ZHxB!* z2Vna6v1+CIitDaCaq85MzxTagzT-=ULLsfyC?%OOJw0Wa`+9qc$XYHI3v9X7ZZ9k@ z*))J-79`l3p`qa)e((E!`4@hCxl+kqRDQVe2WC+KsyOazsAC3W}Lv*b&5n zSdbOMS{ui4Ladb{$C1`*w(Lj}FyMxb!^LXT=ys&G0kGt`z9!V>&xVfcIF3t_T;COd zkWxyQ3|W(EZyIn+AR*-H1U8`~8{_yE5rH~w^~%YS@Sq|^lt{&tuyp|^5U_^F`-%Vn zOOQ7X<1@Wst&t=d1(X0g;>VZn2%<^>5DI7}#YhS_(}op-AfzT!Vi9(2thzKWI!Mo9t-(?#iN057^lA(lk05G@Je`hF 230?2soUP=skhwOINBB>;|ugPJm0 zI1UL*B%~rKJ!?5J27q#fC$pr%#1?j`GRxLFnQS@>W6k{HWbg2XxBlR-Nx4cbj$sIv ztl*ef6I%hn49iASLYjqPOKqk$vjzlMf?-J$L$IP13&QRy=Z}>t3_wFl~%M#Xel@P`xp-m!dwdgKSN_#M$R-}BIH~b2@qoe+x=vu8dJu^*2 zQaRi~^eb(~-34t0Tg9Xx9Kh=eb*CR>sq=avDC6+b;@lGpwJVb(At`O5*=jiO9XD{i zh1q%Cw)w!ZETpy87(v4E^GmgG;m}cnT&`4|o^rW3JXNV3I(?>pU@+17ct-nv-~=Gy z7ywjk8YGd>I*Hoa%M>(QV+1)$x(X6;91}?qa5k#|mL_CFaQ!p`!341en%2e|WLg{l zLBrTIj36MB%QPDe={q?mkg2^wdglb#G8-d=0E4x4lt-Sh)}}XW+o9X7+QG?o>`auD zj?>xwjp5Y577~E5NjB%c;k8$6>Mi}haHJd*o#(&SMmKne9(>@nufKVF&VPEIc;Mf? zct^`a77O=1IEPG8lOxGE+E`erTtaB#O0yM0lrvsYS%|2WkVIxAfFXcc`4G^tg=Dg+ zWeAf2Ik8DxNj<#-k3M#i@u!yNr*`bx_?qiqUI)%6(fDXNO7yXV=Y4V=*Gm!&$qY7WfC^q^-O5TTDU=l2 z1ri|%GUNIV5NTVlFEkn)utO^L92JIPu2{bA##cFx6rd#0027H+;BK{;1<{K0tnAXt zmPl9w2h)-XUZGZEy4Vj5W~AuK~%VF|)o(FO?Y5EEb^t%U+vLJCegsS0pv z3y_kXVkQg023E&REC^Ooi&+@t309Dm!h&P1Lz9F=3`bf*631+eqXamyNl286({r4f zNJ0o<2r8bgKTlNcp1)@Fcy{RMt zWG$Ah(6o}+gv&xFF10L(bzo)lTWl8ZE(l)r1X*jMu=%u?37fL{x*7TuGNmV_+vNGo zLejtIrp46xc%n|JzEf1NsZZB)LMGxa)e-30>rra0!@9+x*Jk*H%l2;CyLU6WopS2E zkL(Lv@7l{R8pv7SZ2*{ChzmtA-s`GN9|Nb)PR^fSx_A$|C#Vv~(Re|aC?Uw@MiP-Dn_-yG6)GCb zVY3}IJUBp><1j=>PPyK&K~6Lq?WDE938e4ZZk|F2$wYCSFd($>tt$;#*mNHvNetUL zK7zrv+MKU8773!OJZXqoi$s%FmIPbDR=`+T!!}_SETx4-+adxJAi>0>S=0oR(m`52 zWftAmOATlc8J5(6(jeGIy>{aG@xDv89Y1k=X7+rmT^H86t`x8)X`3WsYaAi1U{2vc z2L!W4rwbU*$0rh?3mJ+Fg{jVZ>S99{U;z?f*_CVJmD>n+cP^<#V_9VRJFD& zh%H$XB*7p}dkAxLm8Y_OK(fFudwD#x;6CV(1Id$KaUCFe_P1Uai#``TC=YmjMe z{D&bXwxUb%H*8CNJz=6q$f(_h5MWNtnNpQHVt@t68Xy(P>hK1HiXCcGbp{) zr(3^(fVkk`q#TjYW)B@YeJ-b1$5~0%!Wd(i6K$9%)JXzp%ZW+AR)Ae46ofDg!!}_O z$P{4W7?4sqI${Pgxr|~}Yu4McEtL=?e8;mE)_@?0B!du{d=MD6t#&)eW`n?wbetH& z7Eo+qv^H^~iA2WBMM)edMoOgw9Aaak$RVO6Nmu}aSx7gDl2+vA2Sy5GlgE}@`6v@W zkf^tG-_TH{HaoSjIKFAU^1W6RN+mnT+>+8nist9%D~qkUImMYk3gNL~%_b#HF*C_H zcD8Nnk0Q*^MA=L>(J@&gI7;_J0$WHxc5NysrJ-9kzUvtsHR{cac8%}Yu?|WBaG0u1A-&R&fWRYL#_>FG5gSik2LDbOY@61k)_haiIWo`sw~&OaQmGzQ!{ZA zZr{Fbc6Rpc*-5SAJMOrX8Rc?sCdl3Uzyr-@V{x%EGdn*!yHH)KDb_u?pqWHTl2~hf zN3ag}J$AqAl}3lw_2f77_GKlBM<0DEQy3ZBu=8`DzpoxGQNl%kz*lYj3qlfyJ^lT!dG#w= zz!&d7R$Z9eIo5yOW!nLK^v=_BXHWV;04Y14%c;mDtqPe%M<@`MAgs_VHM3x_rI>_* z5Fi0EfMhs;BnXBe2!>(?tTZs8in){6H$Y4PU@bJU64nAHCFAKNvN~2$NC_~sPN;J< zHDHO-njTr^B#c*u>|&)y9Bi1oLh@`@X%?sF?Sj^Er|GL3?z07fl#&2~MFjR$9BPWowzzO?LHSS;0kJ4D+qEU91v%=={Sg zH?~zCAQ$+ePy27>ExI3VLy|d`y>r#J+N~{t6$n~H-%7JHog9T{pBY%Z{G5{S6%hFFFiOvvs~=!Nw3B(;aRMxcOo}sm9u9h9Llu z)G;s>Fsaokm~8j9j!qmaWM^AX{gc+pL$5NE<^WeMcdT z5GN#=lv2%@0n*wSlW1*Xon$#HkZUE;a-*IV)!>`kasT4t!qEv=a=X>maQn&=Hk@mOk$396 zQA!KIAq!)r@u2dt%gpUo*sR*3H&DtCfms^T1}rQS3kX0uPEg2a>THdvCt4fJQphyK z=QNqTDo5Sjl3ANXD$jNODDj&0(uwH*l0JwWk-l|ssGHxIeY3{E?>;$^VMqAEE(VT{J=YQ^aR5?j`BRey4*~(_5*);xp97K z)@l=EGOp{kThYT0+(*)JGI?lt(lJ_Jx@Yf5|G=Y1;)F6ks`#SOu_Lz96>B?eYP1nxAPkRS$0A_;n05TYE1EhkB$yL~~-EQJ(O zuuVk@EVCxI%gc*P%~nq~^SbM{32aMEZl;>3%+ENkYb|1%kX#*zi!NDdu!#^>Dalsr zB(c^gDT&x<&DID30VXM|wK_>sY$2rVa?32(GFxkO7jsz4Xrfr&c&OyG5|D(d%f{e#$f^A{-g7mbFJ51IRGyK zgaL?*011}D6377=zjpBF&1-<99Z=a};E1KFe$= zHTSu|DkNU@z3tPBS0vK!LPC(R8Ws*9fRpFWPtP?-xpOnMBgao#k&~VeMB`(FKjyAbsmxavFWa?es8j}UfA(Y?&lh3T)lO%iWehVv@8{$xAgXh2_+5odvT61f@>3 z+87or1kxjbPKjj|7$9`635b+Mkvh&w7Q_;qPRoD|aZJ|OI7#A|j3K3kuu6J@9Izlk z)+(K}!3jY+j+@rax)}pvO27LGL#}&;11qFoA{K%Kzfi${_aaN1GdupHJDOD-X~)ZyMIZSV;*i2_h)VnnBE*vc9dczVFA{m^cBfl)|!YHtUwPQtsiyN5N+O zx{+7C;)=j?w2qUw0nukUMXH^XZC4f$6ahq%AVGqNEGKL%3Bl}Es!6gqzjM@g9l0W% z4vRHgrnRvKnbyXC+(?_E4Nz~?E6bHuBpep51gHH*-O@gj>_|m1gdibOAe4p(whNg+ zLPAJ_NP~IlIGt^hK*q93V@*S3bP_wlmWz2MGE$PZkVL*xf>}plyNrvomWYJ_ zY`Uolsn}xwzD3XV^0~lR$W#a{&C#voBe^5x0T4r{8)rKT0~eVfH*t1;c4{K8MZLC| z&s;j%J1St5lidB#gHQM}j2KZuij;JU`LZN7+Gevwu#{37YnVw&l_VNKCX->X6a*$I zJ*7NrtYLPf=Vg5Y+F>Y#GN!@SEKDxF{1rD{fAwY7*uy7IKl<>)t+461BLjWwnoIHQ z^qjT!(u?<8a>ZqT|H<2m<$+gRd3_7G^964Bs4bE zNZ67W^nw#57cH!{noT0u2*EJgn%J78!vrG+Tk49;S!*pLiIen1m_&=TOB|Nj7^9UV zC19)mn;KRt5sfd&93pjl40}Y!BdlSQL*S= zeeqaE+4ZBYBN^b>&ph^U^@nv#e13RD4N zOfN+ilE@NZyUG`Atyy8LrOOBmO8I7;W;ur_ZI=?Ai<~-rY!;T8i3A{tJ2IF|7O(<* z!T*&l+fa}+kuH@yPh@pstZl_QYBpmfluMYuW$F6mi`eGO- zQh6!ukW*gksvHmjoaT=>75I}N;V9?v$M)%@diA!wJJ)SUU4a?gr6tQ3z{h%RtU;!= zu{OR1yp$^W2mn*(&qZPAXG$WmNRm#(&=CNm&X$($Jp^+>$_HKcQnz)PFHi(J!9$t? z7dG9|EgNe!k#&?vZ;CJwLMkbeBx%*EuArA(fA#uxqsmnPOsJ)jP%EKW80%0e2ar~? zl+Y$=hs{jJQ9>A!j^nNVJ8zY| za~3d&3y_&ofrM-hnnpd6JGMUcPK+R+A(*m+_d{7@L{~rd<=ALKEAx9=MXMOJfqLq#&|v>NUN4_r_Z; zA5%cW)*+Dq6KCp4gviiROL(y6fnbb6o#F|Ju|~vo%o)o0ArI5^^R?- zMA5;a#4B{%j@xgV1n!OvwmZ*D=k3EQ=t|6pIW&26&nZy38|PUWMd@dQZw#Olh?OcR_b1AMXp-&}UGpV$W6(FsX z*q9`2OPdKgWxXI@9M0y3h>5^T@Z`DE6BBbgcJ~9An_KoA@4&$mH(atFZgA?gw*NC?cIe zDwzQw<)8x0HqF5qV*nTfV_7NzVrHwPAU14`?4m_$wIC&kn04Cgl0pruV}PU}X2G2e zLjtfJ3ZOtoE0F;yI?6PiVhaI;7|;xn9%u_nqV~q|fg7&c?0K#Q6wr#I14qvtIxrEo zo7UPbTgP8|_4ZuWYlPw5U*CV`%&hCEu9`os(siR1M5L_&ptbGD1rlqmh1CFv1X0R= zqYGjotI9sKN)eo&Rk=;ec-?GHSLUCd4Y*f+U_sqN)H88BF(4tNv22V71^oZn`|mKz zuIoM!{jIh42{%@*&J8rW0T7u0#7v0^RG>sfOO{3&`FWoGN%q)s4l^Em9NvtdCF`>+ z&*!nc?z$&*;=xFS zCVHkllxc76wM={K>#%~O0|2KInaCO{#-r543=!hVFiJ)z-yCQTZ+xcV~ zT20-Qgu(z3swzYw#+z9y6+J^PU%GVLkpu63_cwPsZ2(>}047bf7!G^=Ebou=;qtzv zC`t%IV6{Vnuo;qFH*9k^?)Q5$?OAJEyAHF;Pt7oR^T;Q5Gc<*ob{uG}9(S zi}Bbpixcl9u}Q1ZBuW7hEtwbyIiwB}fjd9}l$FUW3=oAYV-N$drUf7(w=mZt;G5s{ zEuGHdOIOaWt*^~C=hThZa~vh&g-G)vw?1P;AQh;RBP&Eu#DD>cYFY_1B_*E-{U^ca z6VKUd=v_NEsTWbNY=}AX5^WBL22y&uw6Xfi`5PN6?RL`5y>pr-wuJU0j?1E8Ly_T- zZBjSHGJzW>2`jqOa!(HQ$t|HyMad{@f3$t_^vnDA?E`T2`Wi=3+U_oPj{<16=SoN8 zyex}yX>lPhMzeF>dv8AsU~O$BiX*A|8gM0;Ajo8(NL6>JP^0@h`^dYjUjod=dXX91 zD%XpdD2W^0v6omGV1cPpaX|t@>PTIHR8)3z`s==*WM`(J0Z=8f#!Tv8?|m6+LJ=V- zN6tG_6r~7k5~pn^Y~qHI7E@yf5uBdt?znbMe5gZrsvxoKXJ==lI9^>@v9Kb(EK7(+ zEN<)?jV0$st{A`hO%L6F_cAet!~B(VTie6_Ti$$-l+K)%rNwTm%YgbQ{>=}c9`rP_ z1w>fA2F98QsSlsay2O&{@`*vJ6IVbGpdx{C7g&F&KFc&^NmuO{M6%1CEO<`O5k0anyL};>zmUT2bav)SepEs6wr^acr!$K>`@!69-il0dYm`RH=h2s@)C26h@`m8LCn{7T=ra;W1${-t=t?kW|XD+_?&F^5~^7_Wd z{@{~?VfMan|F)M-pV`U5YjC^g!N~qaOFa)`S9^OLf`Mzvo%@`56oV} zw72$JroHubgPEYtQ)EbS?DpHvuZ{=nLmR6NpuRDfSf>)J29g6Ylv$@IUDJ;!Q+qFk zntMWVA*1jjQ1#5hOiXHE#Cc}DarOG$cOHB1d*8`SqGP}-c@S@o`Y)e(ac%9|c(~O} z?Fn~VBaYK3E**ww$7xJ5E^?Q(<56D+!+3G-UZ8c|y>N1H`arsW(C-^-L_C8m+pNsE zEK_6hGHPF%zUGB46r2-BYV?>_Z+$F@DpEn{PLO0rZXRz zH1lPl!f~`Q+8&uv#1Wyb5GG}oU<*Mouu`|FwIvtT_Gp!~C+!twpb@Ts;#jDxq{O`mJrfy&)2aQx=Zn zC}m?=q}fbI<6h}9FEL;R9?BA~CxyNwVil-YPymmr5$7aWzPg9~bXOBb`h^y#y?b}s zEfrCW3TIiHmZLcK9A}P%tWpG%RFH+%b<+;`Sx=OA`X#R<#HtFH_aC_D#9haa{olX& z;gyxkt!6V~Jn-NH2M;W+Z{Y0N!R4!0=jN09?p&TV7^CR6lYI~DBkUWvA**@g-Am(K zlZY6&nCB`C__EBXQX&deAYQ8!T?9j{NiQ>{8Q0SJs^?Lt_<%Z2yubjMN;p!%NB{+H z=`n#Vr=4O0dBNgm#?k-;)hp|6=#yX z%-S@%t$kpSkK8Ap**JZrxV&|-ki|xam`0KlS<(qbY3eSWHu|Q@fny@PteTQ=^Svg@ zM3u*UsCv1=-2xCPH(^mzpAN42rK$_MDS=jZHCMZOsnBRoDVl2dE>yq-4a!Ru=gXYn zn+aQMhQ00o{M(;PlZKbBF>_uFc<42^8qduveevXtXV0$mwtCH|Va3^I=cAu{aZKKc zZMNqwU%dYR{Pstq*e@=yQ)h_0-9?zU8eC-gDH6gqT&Wnr4-H#7L@v%pSfZweD^5C)LlO zAXFp{Qq_u#s8c_89WF-D-So~Kvk1k_%nAx}IO1@WSA)xNNtLFpN@`*)0ZQt~@6H{j z`m+6Pkva8)^1rVsR*Y&WVgbFBy~DyXfu*Dv3B{ODuv zeFp&XIU6c`;ia@ua%KCwXTeq=s-3>LdNVeTy8|HCh8_iaG zb>pHnt=YKyO?Nzu(r4(v%j`_I%rfz=J3HgE9MH7U65+DcR%4#I<$P{U+oRt}FSt?+ zcxhq5xzd+wq>{#sC^f5F*V^sIco-8WQ99FX%`Ezsm#zK#k2#dq_0F7q$;44IbGbQp z_~`!IVFef-OuO&@mUq20fAX29U&v9m=jObZB(_mRSurBpVC2x?=4Xh=FroI}sNf^2 z&(gZoM99xD5#U2N7@8{;RZ`25mr_NcS~^F@#wLP4tmvk3Qu6hz=%rTP^R9ba(bAFS z#j&S6E37fzd5{_kf~eYBVmEC=cQKV-T}`TZpTu$8YPzC4xPQMd%jaKu*$Xv0Glt{E zg#{(Pw0d=8W0F2)Xo$nnFq<8Dt|-kc~W)6E}N2h1>4A{lsni zpM3K4co>&?8Jn_ZAxd3$>ZB0Gt*{tXh_Cz^n0J)xq3kov07~z@_mMS|rjVIcc?Sn2 zVrH!)j|5<5TPFyI@*0NVHP5rylC`!deIbHUnrVkjl&g{@hUxW2X%w%n527+^G-;Ht zCN0j#IDhVXJfEbom2ym+0}+HF3U!Kn>c=9zsUMlRcTMWeite^sle5d)u>LTDiM%SQ zid4;~(rej2)G9O;4l~KZA)-CfHJ|_fC9C@R2cb9QC#bR=L@j z9ghkV#ULUGsb>PKmR=pDc~(S8+X6;~I2t+UnB&NjxKYXFp9?Z3tr@E&|oQMYyF=BY~CD;FN z3R3gXx;w4TCjpTvE9FEI@|~-x6A_ddT*7+=gQ12ea}NlrL6ZSW0Wm|x_j|q3a0p;L z%CB5oQ|&+X)R)`s);HgO_whRp&Ck!2z@hz%hu`yL3-=BepxJIlPLBP%^nKL!0}meS8XK zVkIx8RNbBNt=0W&Jx`-T6+;iDcYdyW@XXn*(P+4DVPRo@*^A_bYc}Wd+L*x zr0!jhy%{LH6vi~dNG>r1nEB>x*&eO0tz<*GGAbHLVmLKyn=!^4o6%hADRpI|y|8b6 zW2+qZmuB0ov@xRINXEV>j3OKL#dMo-Jm_yn(YRmw)y>VwqTTAm+*w`QAXpPA8E2T_ z38cm*S642VzBE8=n3EEO+0N29ACjF(yR&I)W-uIEYTSF`z}9A-nECyOPQ=XvaZFck zoWFSXIX~JwuzaxFUMT!1HqHAVcp#Vf$)}z-j>yC=_lcU0k0axWhfY078!q8ERdQaO zC^J|g#G-WpMwQu<2V0L=KmrwVOlp)_)5vP+^L*%9twv{t27{thWsbc@)~aFeq!&vJ z?hK19ZSKGG;C%qjUApQ9{dTjNkH;p8m^sLK!CnLNs4C(i;#55o2haE*6_}cXR|uGv zB7WR%#O>JR#?0-%ZJ4QL8<+WkW^1l}NV+;ZGcu96cJ*qx-CNpsc+?wy{*#}-zTr7p z%v_n6fiVGIv&!(KT1_7(UQeo%Hba8JomMZK7z)dY03;p~LT8O~gMzXplqM88KcnhZ z9N?fO$OTFxXt(5ks!#?6V{r&bz!IDr$g;I$m?A60V@)82$;5;}9|BaEHK<-#YT%A4 zG9&H8qXjKLsltmZ9XTI)0B8_%>C55R8p~b*T)lE(Wpkz3pb1Z~x1GOq>KZi;Ew<>6 z1B*aZ<|VagZoYeZwRiT+x;JrZC?WO|iu+0qM--&j?Ag>+TzGv<0TU6jLH9+lrV^gi zC=7weoEkhY6QQKC=*G9a7<}uv3G-_1Tco(UF z6ltRXST&}Si4=Cmc0_y`%}`n@UWrF)auK^m-Ih9NxusCG5p(W6k&wX}#36{wD`+-k z9&m8+m=v8mHp@G?tGBGnlrXXOsyqw;Pw-GrFqPo2!cb~>-(o+Oc zi|&HO43oKKGYbSO6RQ2Zg_WWxfnqc&B4MJW5OAC_JJQX{=}@gxPmBcYy5wL2C|2G6audRdG@7m*k&VX0Lax*W4;-;d%e}|K@OnZNvshMJbK58 z@MyQ~pC50mUB0^J#aE3n$b_iu>Nao$5$6E3B6#nr-iRurOi_4MQO_cb3Gl7E5L&Vz z0uw7w8A!-vbG~)M1gJ6$14ZH>#w3T^;k_pGemc=_}zE32D#9)I2b#oHVlseSaJyYo^{zkD`r zre%>8xo5NNyn&CM#D*H6hPv1R-V1Z2R1wxg3`JE;iK>oF1U6}&7XlY0ToX4`R0yF) zA#v3~tE!|V5ETi^d-Ve6e(!fbb?(@{h56*n$+N_)ipWO6pMa)S#{|PH;=T9c1*A$9 zX>ZC>cgirEs1z+mnU+P??|X_0(*ja)lE%@6v*({V^Gau~n>ON&?M?4IDL2#B`E!>H zOnYWA7lFWgF*`K8nuz!scQ67)POn$a()iXQ z0`zbXtnZXfq*kltLwcNPo1+# zJ1eBoh?FA$o4v6o9*oD)z$Z3x0Nd_6-*M04fw{G-TR;S0eUL9KFD-PM&z`;5>-A^m zXCoWAqNG|&@fG%k8hX-O!HHqlU?Rj(w7Jz^bDAWLMzfh`xviYaCUrdRYCR|R4~CtS zp!i1s8tSRJHC7?wgqcFjkpki+_)Bw5hP2FpFcB%MV`3=f1PW~#f_+@wn^MUwNRDm8?nrb-tL5wrD&N+*NzI^k+EX1@hQRY^&A z*jLkEg^$Onue#VB259ZKP#ervB-V+7l6Jk*v~xpD;NQu9d(-D| z(pv9o8(;O&eoZHCeGL?~e(5x21sOVH)g5)KBEZNBR~ChLu_0zUa$caD$>z=_k$vd?<5#a< z+1T8eo$Y{ldwbx8$i!@#O_MOQ7bmK&EG3t!w49`ogeE{FN}`w$kxP@rE31~lp+VKF z2#AbfRrO-(H>y6c!Kz^K>U?S=YoafH;faL~=H~V%&6X<*!=xfq8@NtN+~8{MTwZxw z2|!Z&=iaXEbPj&JscCiC-Qyssfg0BO>Xqx?BRbM+jN}vdF6`@$wFRWrnEJ81+Um-ry2zCr` zDUg4NL3W~y=IM{g86Be>Q8QNCsjp~ zG;K7ZII=d1RE55ls&0#FA2IbOb`gku~e<{k64q;BdFqVCjwft4njO!%H&&HrF=yFD(N2@-xqW{)ORl=1IusKlK+J{!qdq?6*(V2Gld*1gpz!})?%*@*Q_8VV!JAk=`rH}mH zm&#Jv@YJ|y=biWUCw%(Q3cqz_mL@ba(*dlwoJ>pv?Db1~Yp-S6TVLm%NyHl%4{UC1 z6h%R%$rScM{Yv9!(%m`qlf9QnmDEW-pt5(@;ZD1i#Azdntfw^d@vz?=58=ln6s7TQ zH0pu$?t5MbK*Sr42g9Cs-0jZRiHsT<63GlZz-HM>K6Xix5|t_$*t0bNd6nU4duVyK zHRsBFln=UbY7mv`Mx#-jBzajH8wCs6*epO&#b})M2g59ho7RwxeVn-daHyKZNm7a! z6N!^%ni>U>gKrIbVqz-FoS56~j&nXT35PgQB=g+^K-oU9JkEM&&Ywxsxr58cB9mrW z#wLz!EUK+Edg#HsKl<@c5BsAeHh0|KcBRB|D^An-#hJ9x%8G0_9%or$Ts4Ud*0dra ztwf>Hck_c$QWUv~*jO7PP*jyvi4n&3&O0f~+U|Bzyi+J^ymP6gM<0FQ*#5UKFLnoG z|AF8B{n0RQ#tE3c_mv$lsj6BwhS^bgWMwvi`oW}zc8zxHvQmjCi4qe>X(RE=<&F_EzduErr0IqHfPrY*C%g?^(9by|R*o#;7q@*xZ)wmN6d)X=SX;;5gK^~Qo zS};N6Ca~oAbTEcWw6-}WW18JX1&py4diLUVB5kyn3XfKI z-ot$0qt9;j`cCK%KKnx4Y#No@4lP`{e&fpJYZ|qleD<^bt^WMnY(5$UmZzw`DyoQd z%6)Oy|24o7GF6&R+7cG8-Ofy#NL)4;jM`BYSY`E)ns;Y3)i?@Z*oep^7dZHssaFP= z*$b=cRAHnl;gyKOG20LYq*cRW2u7-&^QL`?LhbBbUeEih`%0@I+M9jEcNJqMu*$;c zZ&z(9q)NgdVvj(MB4tvr)X-D)sNTCB_d!S{{;9m=YD;?+hG9~HhR^zrSq4!}q1*us zcB7|)37kjdMSSU)5LpXH6*Vl#|0;Z7;!)vAK~=_{RH$)A8ENjpWS(@x^p<)a|j{|Xa`a36_C?u)!Ab_#bU1rr=dcc*+!+9&;rwf|1P6nf^c zkEoPV*~EFk5OeiVBqYol+7cx~Sr$y(Y0m&?MtbzX>}9|GZSVP(du~4h;N@i@z~2C%Z-fBC|t#5Be0 z<<0Fh=H>bMX58A&vfV$g2BtGH@x&I9c6D#!G01xFcfYHSSM8ue3?h-OSI>X@KrR5Yh>iP1>+9lyOJ z!s41j9K~br9m6_NnCQsigA4OBXU|@`_ntey`#atZAOug*6t!DqzDzq$=Z4rdzVI zzWLPC&)c}wZFjT09P~#6m$%c@OID7NCT*rjB-A;z;(dA|O`-m%hyUVqO7%RM&=Nsa zy-eoU6Hj(B6k_IUvPtDfjLJ-cN}iBXl@ubuKu}d#VR8kd7D#|h?Yu>k@u-HsKoc|H zs?R8kB8p>i{w5eII3)(TK%f$F1zcE;+ff_=aHT5?G1erlS%_p#z=)!xaPH+ZH)o$g@kE6d2QM?fw@8#930_X_$I?h zl0-vwO-!Uj){C#MH=%T8Y%O+hG~JB^D2W6TUY=)Zl6G3POSN)PR2gOwaZcjcig@wD zhEzpE)51z1F9IbdtAGl{aV*ZO8t;vGpCpNjsKOYd!L&>TlB=o`t9UY&r$gIR>Z`zk z-a2WW!jHD&6gfR2;NXf)iD^VpfAzvLomX3Rpyx1UOqv`L*z-0z@a#u{?)-sKLWD9e1}BKYb7+=TF@!TOHjL?$g$ zy`2TC{i-{s>6TWfx60j6+fCBL@I6XWJr!4qHB?v!QAK?wVkl8~aus7ul%zp06%+ly zx4oV4_VBQued?KKzx@2#fkTfx_)YiSb?m;ojsaNh=g&OzA^{BEIX5RgK=2P_DhmLJ zlaz?G{w##=oNP~mT_MUl+ZWNT=?|4z4K%o}*Yc;Y4PzquD&ML>Vd)^=6>($~${aGC ze3*@hI3^JhX6^U3Kl%6%wb8}7aT<@48n<2OHi@aWv1{-N*P8W&}ryRxuRQbF$1 zPU{kYYcolA3>Sxq#rw`|>yG0`06@Ks60qIb<@F}d-o3TA_FAUB^+&YoGo3+mbFkl;LB%-w4>TGOmjR(+J z8*wADwk+fAUO$eLPIrM+0T$GUbm>07>O!z|0YA>;UIsZF4Zl#w%ORX6kd< zzkjyd14Ze4A?lLIfU@9W+el-;7R6Y^S{oTwg^NrCXkvMOrgJTA zsP{ktB=zL9HWrw?F+~X`SQCP7C(Nll8;Gbi4N)T#E_kT-NyCh~ssko`Z#9GrI))I` zt7^N`I`#797oK}IL2lDV)Myz3L?@$korDsr1~Q4UHbl1aB+zz;x>=uqmEo)#kH&^{ zI2s5UXIqGvBhIq?)1Uq9fy0g5aT3vXZ*yEg5#4j|i8s9d&R5Re_{gXK;9ZZsz1x`o z^k<%(8aIZKE$`-zp_Tvzs+g}(jj8fXRU;D#yr_?&xF|~zn83cFN}Vy7~Nol1DW@t=c2*9kKDnsCE+zWuAMk`e`YDiXX0`a9`j*J->IhzSHr>d5en0E3V zD|Vm|!`R#f{eumZ%TkodfQ*nU9T?PVwUtmhu(8%CaTJ(`W$8_nrYU6;7Aymb0{+r`Vg%k8Yq*g={poztKRJ{k$0JNYi$x1v`MjzlDM*e z@Pd$pOG0H?MrlMu>dQ2Z%OV3Lvh2&k7$b@@FOsBD7G)GAkxje^TLu{~rqxVTwJ35! ztQGjGq@Z9`5FC|3B0INN71v!^aWL`ZQxhs}t+YG4cSBKOrpQLdPzVmHtx|-vc9h>S z1gF|Hnj;%cFj6lbbtc#(+q72u?~3XX6_s#n2wQ8|OfD?Z1SO=Z$s~fRsE68c-wKJS z7j*$Mg9@`#m9li!aBO41dgn@4FmtP&=6SBF#z4J{v#i-_w~|Jdl_Ek)qRK(hL_406 zhM7pji!z)mz4yj&?ZNQcPyj*dwrGmL$<^fwWi)_V=T9IY(6;5Z#VotnWi%zIBLwiO zvKbIxg*JgTcp?Z?7~cEX7-OR^K65gSbz!j;#|f0LT)lev^3_WhE*`$^c2~;(^=rTN z$fNh)d(UkzpSk?mC%&AGicWh5f|`#SCQ#w^v#2*@%FKzS?d(rC(=l&# z4o|Q?eNDyKSM4Ymuc=zr$%lcVaT4eGh#>7&JInG(OBzSkmHBu)Y^9B3C+<3SZ0Yk~ z{`9?f-h1DPyXE^Id*%F#kH7G_w>|Low4uFRpfVBkF?0PSDpjU%JvNfMkA;XY1X4ib zy2Gpw9@&GK_SRm@w70%K{gR4Q)E5RCY1(KswufU8VN0a+8v7AVQLLvqFhqb53mY-y zyg(F8&=LU103q_lp-Q)m2;^O!MnSwR+ry2t5qFzyuVceek!P{Nc5i*Vzt!$gvmLce zYcw3ODokF87`ENk!Q2URnrFV#Tuf{uFSFP-#g`>|4jO4hl$4S~IgOei>qS60Q%I!s z0z)tg?~n^Jh{n{+B+r~Th#QS&yV15xJ_&jUBam@XY!7+>qJ`9z&iR5ItBaGlE>kS< z&imLzK#Ng8L|n<%cvl)5vQy%_?mBVx+D4g|{jKfJ>{1n}qIC#Rpu`Zs7JztCHLR37 zpGGEx0voE>7vb#J9mcubO6VKL=OxJq8da9j3W^#=J8{ybfV&B5H?tk#km^o)aY!2F zFfk43HM%2K*E&Qc9C%)#5Mx-$C|5+D0`B0^gKz)lhk+QtFaL-CboR=nd+vYzx4!$~ zE7w*!UHcWc3Ayy_3)40f-IA#SrAtE#a4N|IrS0(~8NMp^L6hc{Bb>ydQb%{ zl!=(w1hIswaPAw;gov~8D6&@6?F`&&SMDA2aoWk4)OyMsGN5Z^d$3dv%}*W58KOZ+ z0Sz9{V5TZE3KOO)1^7$p7IoE5wu@9g&QOpCgli9g$|IUg-DB}|?@_g^^bw+F58-`*T@wY_flzmI6T=jQ zG*IIl!~;R`2v=l8+DIFl8(UD!bURs|mqpoZHq_;#alhGYtgdeugEUQh{Y}GBo|lHL zII&R_Srf-GF;CoLDta(elTioM>aN_KTiym$z_bScx*gbrh&Z?r8m{{D%4VF&GDi$I zEt4a9l^eHCaMZ9-O}c&t1xrJg3PmJU6=f)UB`*N6SHQ+);Xm{E3(x|LvMjwTyf3?* zHb=2Yo)yJsKL5o(_}u3KYdMOe1fGaZ?Sv;&CKO6a#HK7=eGdeJZq+hOw{%pCujs3# zDc7G_k(%f^$rt+?s{kS*Fx}c*N2i8VG9HiiEzi$%8!I=i7x`FsCISKwvs!CJ-O9@K z?i+9W?)Q9m0e9-+C1d&f9{Y|=2WM_v&C0BT&?*m}>NE+X3h@%s-bjUrD-#fbA=~UW zhkm?hl4%)hIMw!AroFY-GVQIe*CJ3g2sFeT4t_T5rIelMkDFj6ttFwmb)rg4lq1S* z3{DGjj?{tz>nxZ<3mZa2PydDdD$Ok&B-oM949A8dQIM*ksE(sj z1ruUL?A3cEhr(!+s2ekLWC@WWL}8p6d#9rknT4??iK57tZhfnCnKNV?u{IjHpeO1r zr55p-^UpJ8j~sp-w9hsLqVZ^*nA+_n0IqbF;q$0SB1I4ZPez-Q88;@nXDDDSGckK5nAn&&>2GEI&FwNT zHr6hQ%4iIQkCG^gO>bQM&hLM!+m8O^_kWKCHn-NCWY#c5iIrFs9y@ljT7?@`t_m7j zrdqWyUE{K-8)qY^J$~Yj!-o%hFJZ9j#WP2-Z4j$507`gR8+JEBer_I z@o2=xEH5s!8)@JpQ7x3I&G|%3R!zj!goZ1~c?{E)OT$yAF7k!(r8rVxA6F$bWFsR(RM{`WK5ZQ0Ul7* zLZt*`FzkO;NB^YjFgUaO=>4 zL*dV7=k|4Hv&H39GVjG?ez>r>P~`b?WB+V7O{{68vCD=VgL2?fUzT|`O41}r?cqZU z?M_sdxOjEt`n9#C!wZidz8_G_Hja#U1wr!MUAj0FsTf~c$(aIu4yalVQ`hATaGliY zZ&|gH5}87&6vv{fLdG)SMM@2T=c^=DRaaJ#kan=mAp9bNc<~~&-5;jCTUNF>Yi+fE#hnXX;Fwy?M`H#>9w z!sXFu5G7o=@#`PHzt`V}O1C>Z&I%jF29e9lII>&Y+uPec+9802H&vJ<0@O>b=yKd@Ht{p1rzTmZiEe(nAIp5C1Ex-)JIIr6fsF1!x|n~rrH3mfrzRBp;{G5M5;S) zbQGDY(KJGoKr9(Y3=qS!jUvMqCE2JUD$J$C4&=ScuqaJrn`xuWa};Q`x@95W!I((2 zD&+~nDypp2d!m+YUib;zN&#aLQJNx`)ze6t*v~`Ft+;2w>H|CBzIC8dox_aj(U#IeP$5HIWhnZ_$WR^9FSzNxhviVED z^uf2j^${lX=}&#xi#>bSrOsUR%(Lgz%|}rQbt*!HKvJ#WSyo>v3^4;nYu`d5R;5G7 z50&gj$eR-8bQ9^Pr@fYGZ|${Ad+Y1cFI9V|h-XHbyTKrXwwSDXAyP}a6P>OTZbL{^ z@D-*3rhpo$LWnpNk_x6e>|}DJ!K*?Y8+dW!+?_rD%I!yv5V7;3DvNWAX<`*B7!QVP zNgS1B?u)V+$4S~@8(GtALl#~!&cgvpI?MH__Dt}v{Bq@ zbc1%>as!A|6o`R_Y5|fkYh;wHO3oRc1J6b%BX)wqgG6IOM2HCNwGlvCMLvXQ64_BfA-EJ>%)ze)jYBh+c`tU{A}aumGl4Z7cU5D)R_7B=bt&c zc&NYS=Vup1!+=}~0tO3_20RTCya9Jy-Mm)A%iT2@c?R|Sqi_4RcQ4O2e&gT&l8sYq zjfg0SVK~GPkph||u`ygv^i?VoLiHjW8ynyLzVCSZ{r3a-olpG!i_bkhJGW4K3oz4U zzOPj+zSFc;QYCB5jq6*zezE`d*Nf?@4)ZlV2{&ysm^ikwe|_O_G2n=p7Vw%jBUFMdrZaV+Z!% z?!1JTB1(sDzuSv{<(21sS&T-5-eC4{TQ1yKKl#G5EMkZzBY(wiBN^IhnFtJu(xx6g zauC3mzIg7;h1K3l)0ai5B&3!&8*RV+Z4WJ{GfutnCbE99Q8wM+^!1HXr_UTcw0zH< zhg!Z&eHxY0)r}`kKYr(l;|oWlT)cr8$EqANt-Ji?7oRT+XN(agaPXL(s90o*!a5O^ z&~8Ni=E;6!74TVBsA!TV)vl-lQWe_WEbSP3aJ5C&W&TY%pP;In^4SZx9aKdfp_a;H zmwrR1R6Z&qB0HXaM5tWOJXDDF;E~&IJ9g*gi?0|`$A+Z*!Eb+r2tWUCFF*LeZEv{y z$S0n#pMLzMyz~#;d+dAO@%po?Tf=erz|kd4c6s|aS#E( z0nf9l>d94`#Lb$S;2Ix1X1q8m$CK+2dx@2e5DOVCQUyRBunH1HLStd(%8#xBpI!xy zV9MnWwR0dVaYgMTLu{0S|0l>8FopW zn&L&gi)~V8(uU_U!lEJHofLq}OXo`k7$)d-CNB4^@b$M6C}dwl16&+#Rh|%ME4dZw zc|_24a*ew{b{Uc@z)U8b2oywJpb5xo39*P1@giy}Mu0%!S;V-=Q7j~CZEJQrA3XEQ z%NAu6QAre3hE+!>Bp`5-w!4(OPkiDzA&%n?EI#w>i)B8VZ5(Ph8(BWm!p(H&%hEHm zb55M|ZmjAl?&MkF%Rw5at|4P#d?uU$Ix>^+B$yyc#UV1f^0c$sEe<+iu> z)?UlBxBiHmCIyl4z{<+HI0jo0!-yHBssmUmZgIt3Au%SqKIM8WlGq5U0HfA)tiPQbtq|iKUnc zimg&tm;`7DW*IYt$S@H&mjdKt8#%bN+wcN_BaR5HFoXzLf%v2QA4%D-U3qTt(Azc! ztHYrl+JDEFUpUw5%pW>1&$hVz*y6>D7w22;hMg55_{c^mH80%&QOsmm1R4X}?+qV+ z;gxj0v$VW8*G!resd!ZnIG_NLq73d&6&Iy0UuxEq0A5ju63`*zn1qOlqtPH=T$tN; z;NaTj>zqTVB!*DM-2#Lpgaw%@yii@5HXE%r!3KgKs3w_&b3N!S6ryFRgHo)bYoi(B zNa4Qan;$*ei9YrGmxR{aowl)!W@1*eKE<8ej@}-(nzPG??%03$!kMdAFArkdEXq>g zYgGUnaF81)1mYns$k0r9;z=0GuDVu9xe>J zc;JEi?!5P{n|)(gl#1{nQkcmAo_2f)usf>+n97ZwG#x~tWb{f*Ce&pFArN_#2k*H1 z^s`U?($D|BGbf+E|Zj^SA%V z_NbrR+ou1UuKfoN{m7sF8*hEbcU-;r(%5r1K?6gtspp(22k_1}-m^ZWC%*6{3j&Zv z_PH0&K(iG7=wdf%&0k(!Us)MF|I#G_TdS%$fmn^Fq@bt-DPR-hMPXw=oI0py2@n}i zVJQlzI#C$5r7x)*O*-wgbZJCPHcTFxN+rkviK_8xoymk61gq07E!b)NvCAf6+Jn3D zlL_sEt1!G^!{Hes!Y){D7$aIJ3T06jv5&lZMu@g!5L`hjCNV8>W3OV^s5lMnPv8L% ztBIDg#y7jJ?6*oU zUL7Z-U{TTlf{_C&TjyLPo3nFEVxn9|x81&YzungxWbkQ`X?yMtC;rmqO%pZ6gQ);X ztDUx+)?X^sM z>yN%=Vw6Q0#a2N@nG^X?a8;1PE-HoYI3`#7@D%f8ViX2aWmQtS*`Zk~$E|c&ZW5c8 zF*ZulG(r`WGaQsMF>A|mF9z}OycFG8Bl?o7LVeQj-XWAnm=3)bMk^3i=u?!^~Q-S@!VuRH!a z5e17=2O1kz@xnGK2@EC1*!h{+_RMVO(uIo$=4L@;ZA9b(*F|p*^m{dtvU-mgo~d9q zHcC{e%!_P1eD^yZdE{vKgTvmL7hZ06+i9BQPE?2|8So?_6{PZLmdabMGO8feTPT=`SX4yZb`B+8 zK5(czGZV*gg{DkVP+kQg0FYoftg1`Pi)+K;gP(a}FzSnq@4n~mW_uP>QNK`r)y3DT zdABn2_IA6`n)6bJL6JcP#G2jj)T<4k>JJ)C;D8m0$o0E+xgQk{I7rE zdsU>7#>bDJ$n*SbQASK(kT^*$U%GVh{HcHXkN(oPf9o6ezx^!@;Du+N__=@Z697zO zsX2F8eJLW&dnPv4U>6_g)|XbNlqTN!KrXU|nR%BtG671??O1e7+DOA}40|tLc4;uI zF%k?=c7GxW6mp;bFzahngZxpCxbv1e2PordPF?L%Gi_T-^+v#u8r!Zz!l)*r>MpOr$z8z! zWIP@w38!f~&c><|IL<~QQ#2IFA_bJaZ)Bv}B#D(^#6Up-wI&fQL7thqGfAq=!C)w* zW?BEh(y=$)eRp`S-1)U}dFkra>sK#(KQ_!F#VFf4a_I28-|ZEZ5Yz(rGe&*S; zSJsHz-A;46w@pUh@%FdfedIQvBoV5Ef-9(aZ|$wUmT7N&eU`C1a1gO_evVZ65tL)>)mnIEf{)N(@P$r}`aLdapnhMC$> zqk&8aL!`k40f2ZA#g-e4M5~Hc0T1!cl}gaN9B}aP z!pkq79FKd1)EWa-6ATu-fEn;adhpQUPk#L4zwmGV^~%c1f6e{&-9PXr-v599JEHc| zQVROwa5(CAy8u4-g=e09=1Yy3fk)=%(s4dW;}mRAH7*o*Vj6tFFtOuSf+{i8Of~a6 z@sCVIpf~~Bi0TqTL{vdR_wiaaC{-TBB0?&;mo3LpYtAMqbCvBI>UE~w!7S|@O9h(oOz`u5kXd1>ljis=qnD_|30=BiVABsRuIjbHv3e_ur&dg!5_{^_5N z<2b7lQT`Asj$|LA}DTkrXUPkGUQ^>cq8z|lv3=#fA1&%Mjkm-;np z&G*(H+jNYGP0TiV=7WFp{1gAzzx}y?aNmQEB#jg@2AR@1Hl{N(8}^RFP5Y)d-4l3n z*?4TDXl{0%%5kgN1>n6;8%d+tbShq5g`|Q2wQM400O}<8(x@u=VAewQTqVQ^b13GC zU<^Yc-rvgXT7&)kG@Y>~mfiG?w}`>`!(F1v8KjmOf}sWy5e!MrT4>E#BM=7-qj*r{ zH6mygyB&N}b{e^fcMFJEAeC)BGc!+IE-J|q5m(Vrx9W*i^=7~fQ*PqH1yd(s(^W&p z#D=|BFG9epiKeQWHWDwzl`B`%MjFRf!~vwFR*Z2;ZVDfH1DAS=9Ca5misg98(?e z9gYwp5K8Yo(=h8d+nu?1;nd}G{o$bWMX7mA3KIivlx=Tqu6yTfWTkYLxyW^*%O~u*M}txzAaQR}v_b0tM8AK*$tEHHAhKDKJ$M0tH|= zGNv0*B!~zW5Wp$Mj)r5nQk@9LNkd_rst5_3fX8`}72b>rv1OXhIW-&oRWAn)EFEt* z&s{u!DI1OFX6D4n=~J%^dIfW|vA+J&$!AZTxPvK6;&`pM>Rq%vcbDa8W$nghZ|lKh z_p$0LXU<*U+?t)8Yo$#9;=QO^Yn%^arq>!dYh_Je|)yjee&)d-2kB54``|-f`P)haSA=4ge=#IqTfm zwpx`3xDvpsCE%(iIT##Q0D_2+C^4HVrE}u#20(ojC3&vB{wQQa0dUR@218eR?07lv zt|Fk!)M_=8Bsf-=Gcz*}zy85?C%yQ@?_L;>)3#T^U@*wC%&@63uDXMrq=l-+N!)I? zj4@S_L#)BH10mv*P!aR=Rka^Y4bN*%j*?P6q+kXct0INlaf!&jef$30pZjwk``E`m`N>Z!Fa(}`<|)K;4}8}@bj2X= zuTE=7_SQG}b{{q89{TS8ef8Yq{neMBefqNxe)Ic=YZrsJdy=Ff1^dNUF5Y(H=zG59 zO)tIlLJ1_XvBu_3SqzK;5T{ApXn@&-_-UY`oq-}_EfIjSC=F4~QQdK=lnE-R6W5a} zG*_nbSHo0JCnY}JG~AdLoBd%?!PnfbcAR5^i=lv&nOK~VvA(h-AmWHsbMFi@S#nO{ zS+%w+uTh{d*(30d0|t|-js zNt)v<7bTDt5erUiQ$UeTMrBXofg)A`*pL7?b^26)b0cAbD~K4rAc;3tugxTOW9w?G z*=)D>XGO8Sv3bt}_r7vv^U_tZjTt9HA2O_}ut7y_yt@Z7?XA6*X>Wbq4pFF=pn;#8 zo3qB0-h-gtdm&Wf_}W6b+P`m(7M~K=5`m&9mXe&K{=m(2VlbImkQYjP!v;l5EdNeDGt^W4K%h&&ppZ{3^Kl-CT`jbETlUbHI=l)PP8Z#$Ja_-!@zw>wg z&WAqq|NMo&{6GGQANmoec4l^IbF=sFe(gi=dFR{S`RM&uH@AN6vtPJ+b*KW99m2G`7~}McHX4cO7hz0Ymb_L6MEebF*{%_wOg7EGwcY zLa+=IZ>UEPz7?_8mHO=+dQ20UWsL9Vv=pG#YvDi6~9eX0s`Bv)AyA8#ngv-@ml9 zbmhtw02R9}T{dxRv~jV@Mg8Mmk>wj3*)a2m7SqVG7X=U*>W}^ERzCG3qnX&bPDE3I zH-Q+o*)O&SW$6__Gck)ZaT*&hY7Gs>Zgs0DoLECkGfB5)cc_9ly^Q)9yDhsolax*- zFYo$BmKAbzxe-k3|F~8$SWlXB-F>ecuD+aQV;dy^M0}c~ zzvmtc6lLi}m@OMyjW8GrD!nITD$9X-t|8(%Ob4LM>_vF0HA02pKvh-57!#y8-g_ct zrpfKc!PTD_2u|_d`|8l~qT-dU3Ejw)$@op}(`y9{1+Au3eFfe6R5Z+hzO~AkD$2xB+AMvk(9(|+l_*JyGIynmlc<(B1~I9IoHr#vG>YPYH5+S)NfiPmRi-M* zK_rN)cBeN?8bX~!I89~Gg-kKUO?Q^6O0Z}Y4Qa)-J*HA6KUJybOB&udV_3x3LmC3S z$H+^bB<0h`9~rJadh> ziE^M`PQGyR{s-b=KN}45cG|r6?t7nn;_0FoB}rlppFRDuX1#;o|6O@jvX0BqTItRD z+V++8t>JJ8KuRNt9eOuwtun}0H$n0fAhbrVki~_imG!(B7f@1T z8LT(QHRz72bQhLT{p!6ia}ivW4FM=!;nfjYYZ5?N%**Fa^@q<{G_&z|b>+rF7Z`&p|s&zu&%pF}BhI?ju-kjOUT zxXA{9G)$BNOrAlELLgOKDHJeJ>qQKCaBXXWn9!!`qr`wkWjaiN2a3|ULb(++o#tgZ z%=0{r5*xuL*7l9n^F@&{MUgRS5)nBSuOd-opi*Sz z%Jr+~&Si}zJ^b*)M-Sc!F`{~Oc{xGn`ug?LXD{wsK6uBGL#NN4A8l@W_*T1P%%~^| zQOi+uGeAPRyJDEclCr`oiI68|8^vrpubkO9cj1a5CQhU*=Jp+n+w*C+8#Ql?27`CL z_gx!Xo1c5)xleucBctKq{`>F$sh|3(>({T3MkD$|4);QW(i`6Jh9CKnANkpz{n;-+ z^WqQxskvsiaHS*^-?+a18z1`pcBip98f2qvaei5Z#S4>$xJQCaiR)Wv!#>go6Ux8HvIPyEDB9655EHD)}{CZ7cFJu|hM z&7v&xeEf^Q_zNHZ_$T)5+m|+5o2xfI`}@D=)c3YFo9%X4lp^w--}#-7J@%L}>|BVX zQW3AJ)*2fbCI;!}KKJ=w{KbElW#iqDQE<37?e2oLEw4Ts4YKioCivKd9JIS-st4Jj zEoOxYLWN4pJbeXITwT-cpdm=`K#<_>u7Tk0?(Xi+Ac5c-++7EPLy*BexVr>*cjnGp z^?Y}Jz?rIZs(bfdy+j>15rb6?r*eDR+C0X`1I&q!THS;${CYWEB=sS*r(sg4y}q8E zhsR585r@Aj>z%*&av)-a=>;KfL=iEA--eGm*0aDaL56j=!Cx({O~U}Ua+nHo4Zshq1GbD z*lqHr+i4bwzW2NS#pde)N}AS?RBBeTr?v0hP@oNW;8?&-88h010pV9&c`>(n!qoT; zu2qK>mfVf{a0vdvPXDO^=0+2(thhMNpk!YmQQT0@xjY~w*LNp+(|t3?fDndO)D%%a&Jrq15;dB)Z2|DL6+qOZeDDZ5Sg+AK@Y1_B$Kn?J7} z{)=Ye+?g(blbTC!0--r!#I~jMQ!9d?;b1w%Y^TG~5l9@r3hOBec_ROC*HeB|mRZA? z9v=KVXisY}{P-*L2d#N1^wva;g&~dfQ7tqU2LH0$^v)D9EJC9j5N-^>x+_GuqTSOh zTt~tH6SwtDhf$mrr{HFs9BfE$`-04cNjIMA7)+%bmScGhjMhi%Rd6ykjKu*2(71(R zjthe%N*!)?B4R;j$}z+(`{sr`W7uxiV~5z+{r%Br{q}Wh>LPYKPd&Hw)SpmG?Q21! zY(2&RU0>mOq6+0!6N^Cd?@iw$x{XpZXUOW66G97k}{BmO-9QE4+pTo*NuH@u^ z&mKFArj7015DBZ0&8qikqbTb!2|v*#$6A_gUU%7Z=4NCZx%2bLxWw$G%+HJc{KUw} zoNA$i6BbE+71+2w7C^Vrz!pqZ59tmxJ9FmM*Vks0lM*N2z^B+L8m<9>sAYWrU2gNn ziH_ln;%Hp&?5BN_toY`4{4{NBzGJ-^T=c=oZ+)~0#Ul3$cJ{B7ldUq{c4B6j`BZKF z#GT&0h0Jp0;e{^U){-e}!LKc=XBW>k7q%^{Wr{hvy1LI@7cX6#Z|j?#zIV0_%l;m8 zFKDs97??NkAv2KM!*!_@;ira4|J$Q^flr(oE&&vNi+$0MOJFMvONo1rcHV3{@ZgFN zcwz)jz;iVw`(p8aZF`Rgg$}{bVl=X~c6vVcPdVr;@AD-5S80#|x5?afPeb4vFkm-y zsGa2TcK!i;*X{RJ=lSA&TJ5B>aJ6F~!w>o|FQF)q$H|TMKN=kmwE>lR`QF0bD|){_O|Dl1*@;X^8hE{w;)QH z2r=Zg@YIoLb`HH02HEr=B&y; zldwHS0pf7@xksZ!)T1*E>sXxK`u)#K{CSoW+D)&|V{cyP?`CMN$&Isj?Owjajoln) zyQ%&=AH18tvbL759z}{o`bTs4Tk|vaY}d8=Dqn&kmqevU9D65$R0ZTmB+PPwpdt!A zL!{baK*vH~>8MxyGQLI9@!we)tnZz5>QKm&*YY~`C5axfSV{Qd7S3za&DrFAt5+~6 z8IM``W&Fs|i<(8wj>0l8{)3?;bDGEn{Xtg)=L=}_exo&b!m(TB#mUL&EWZS&V=)-=vQYSZLBV^fjYin{&X`rT$Evg^(s5P2^o0 zty{zNgk)gz>d2^z&OaHfMSYy|N;d>(ksf&p+_=mwwOj;;4Im64ZGEq><me~_muM!oreGoa9qNO;%tlhBJ)L+E*62cRy3ox!Ungkyd~1a*-)Az zSLI-ZF5W<75NAW`Gi4W}q~dKxnqi#%-1#XsKEOgJ)na74w$zF3#+nl=QQ)G(P4KIe zy}h>Ii9!YA7$7vpRTv*vK3suw)D>IGeAt=3hLU~bgKpQHa3WYn=pZL6L(h?04}4bz z*{1-%*)c3K!$>C$>TSHO1Fs=(Ja}pG)f=tm4wQvq56!60099NKrZIMzqlZ> zEb61;{F@E*pM8#H#6v2%d%;p#sR#{`$%sB<_DHPZL%Vg}M~ztrlR54FN3speoP*`a zn32!+f}RuK9=G1e*$HCPb&km=qc?$vadLQuk6X2`H#EX8m|C9!spuQ`2Egk{@JV~1 z`|nORg4<|vt=}f5W8CZo9UwPLngyS29(64~jeNT8DkWp7qUrxlexB`bgy1W}+K%g} zru+XTaBseBJiPa^yoN-);+5yV?c_5U-8Pt*djt_hT#JhqdSJy}>c?^#<@7`{6AT{LmjT^r!ZmQcOJU z<_{+!B7^*dL^0|Cf z(I25N=gamApO0+cy@%Vp-}fthsE>U)e?Hw+5`I~8dqHRPe-R)tkWC&*6S|y+e%B-S z7VJfs@F`gbS+!binnMf)L6*DEQ|X!uJT0xNDK7Bz?Ni8!YJUc{1!Gy~6|krKYn`8Q zr*9J#3vE$%N{RRDqV;|xFV+C}BWWI(F0xh^e~i++Z$%pkc|<0C=D{-ka4n9I#W=>j zurQF)i7)u|$tfy{$l`+y=~fCWO7rR^UF0iLX{OFXN#%yiPD z>`_H^cvifV&xs=)EQ`%iwe|Nq()%M^K*PSrSjkAB6z^i;eb#*`Pf zICWd|#laX+!>V{;xuQ5Is2>lOO?d^XT4`>%(9Y#b%m({1uPgfL1@v)G-WURr*jtF( z0tAUHDQ2x1jXGLQl7DfLAMElb>i?Rf*2h`RZ=FrQ@-aM2P+8#>P4Uaq728?iCbw&3 zdrMU}m zmmKK#)SSL{RdDtZi~?miapIx8q6fZS7_(eB17|H$TZ}aHo^W9%gU27@} zFp)Ezxqvh_=ihSu$tV@kROFl-o%EcnfT!Dvj5c^)(aVi^L;gGYhWW15q<#Z%-HPo1c^u5eH%!{}!I=c> z?%q?hjA7jqas~`5@_V!B0vpfl2N!wOdsKNMFJyL|(i~3|9AHUSMBC;?)iJc%x}bE^ zJVBr92O#7D@AKy_(-J$^pC&rgY=m}X^s+m1G85xLUVBK;1ztXD6e&Uj0k)sg1V!Ac z%*y)#BoBPuZzaU;vm{6Y>G=tK8(E0UIg-h6sOKfqake*6Kifs3nh0LNCtC`au%QAY;w$(@}1dm!=E zo{k**Zq%V~&6@jKu+~nRTv=rKb=vFzS`817nTO8_uTR0SVVp60~*TajyC(BwUyB+!)i ztCYn1+AK@gZ3*7Oq94ezkM9Bcu&X%+EyN-f+u3@?Wy-vlnT)lx(7WT4 zo(QnKi6O!4Bxz5)TxhCXvR_ZVdP^D1Wqtv;i|G-_GzbKkE13pjB>bvTViMgVAKGAL z5dms4g12qc+9K?+YG;8Aj?4E9i3p4l5sabD)50~mn_A(yVp|5dtG{v%rE05aF=l(I7g?NeQgt*4g$f?na7-8&#QaNn&vABWe zq&yppxFniS5(cVMC6b;-<=Q+AH@kod66eQQHEMQy|#}j;}ba2ZSxL1cvorw#%O9k)`A6hpn(Omg<=Hq8Nbx;geh5)7p{PSj(5Q;iY0U zocfHkP+oc-t(xj=5)b<gCPGD@Dr2Qw$$(8sSu6;+T zxN@dxm^y4g6NO7j&xID{8Sm~MrSbQUR2fQa{OH3$AZOKeMuw-UML^e=HA^%3S%-~- zLqLFM^N5m=P>-d{-PX>mbG+WF>vjj~65Weqk;{`LoxNYQ#SIkhiM#{Q6Mg9GhS*x!2Lim4dZRp z{VYPy&pdjk!tEKCT>Ljegbfp0+F=Brimu9h13l8zj?O`1Ec)j+?{tQ_f?sR#S)hFs zs71%j(+#@t?biO_oe6kT{_Ng+>YP&#G&t%(lWS_0ZC%!Iu@x-V;5O!BI<(BM^14&O zu~=K%yh{9iNJ7OoGsnPt{Tq6Ja{+lyKP>*IT3?nycx)8w-*u#)rBkce>bx});7QK9 zV}fB2zklp67XNB&p;}ATZH|E*f2|)Ol@UD(TSF_0=dl8hQK+@|X&qH(d~yTV z*vD!pz&MtW6e}!NcidJn|Mqg};6lX2%ycT;>LmCzv|C;#6Nz$668E1~^+QiWwmlBY zEmY}clzW~=eL_Lk_Lo`$*>TB4;c zGCNP4Ux063G>|=~oHrU_pUu4YxYhR;$Xi7y%8_|*?qF#~dJyk7*N4*~aO3ss@&-Ei zWy-XZ^xoE89*uvsr6o6S--s5<~A`6IUMffJ?!mqFAsqO6rFS{S{ z5KSs^59$RS_#T$lp7Uhcpq8qLRH?d0ItRN2$Yvv~QK^^FocW|#b~lzm>eRCxY}=~P zi%JK-KzGJ14FVBM%gB6JCdUdX7xi>q14lWC-ci$GOQ_7X)Ny?67HxNN4ix(Q!Pw3( z;K;dbJxWi-E}$wejYGB9Xs4QN|dl%qLdHZ-_+xai!?<+T+vuBtNs-h5lnx!86wdh?qG9kBbNX z2Rpqj=4O%SqA@;h^#n}j7-(_DN{&`u7MI(=%*NQRENd`0+qk-8`WPko+M$UU`5%p2 zx9-&!m}s)~nbdU!ayi&bxR8ekj@8%oQ-48q?KzJ0bDA97wHI`sh`(VIH?=ePs1+x< z%i$s~)3=lqZU389Kq_0-CVzu6EI+7z`$zdlaR41(0v=A`S9L#5k)l+iyjB-sjV%CQl`?FJCW9p#vWDrMvx&| zFb>4aC7f2PJS#R`mnzD? zP9t62m5q(}?hitjaKe{xHkpBFTL5VD2ikRxyY+cy#;Iw1QMF@I58-E+gKE#I%oGF( zZofk2ej1%z7ryADU172Tbc15Ji%Z00!E;*M3klhP25Xe~?z-(HKd4 z)f*4a5~5|0*;uP{M|+@W)p)=*6Sf~0JuZsWR=)Dpl7reg)aGZ`lCaKzDWQows<57s zhnu_WMgZ&v6_vLDUs{T2pGWC2wG29(BEAM?)YQa7T7=zLf;IzoB+Z|&jdcA^vr^A_ zr;g)|`qD(Fq{m01Lticqg`Dm8`wJE%Ydf6H{LS0g9C$6$pTh(^^Z8bzeEZn-lZIWL z{>2omKJW-%&uPih2sDH5upzf{c#z!|NEZ0%k_R$ZCUHwRjjTf^yHS)u4aml`i{(O; zC9gb=VdGeDj&O8vSccj>(NdeGFGoa_`_h=BaTeDnJ-|*cEma1h*LaOq_LKe?2g|&3 zXc(a*mpjKCHug)QMTVr1LNVIX(8b}4or3kX{xQ4vag1^VHg)=#FeCxfuc{u(wE)6C zNrMcSph(0vYS2Ve)4Uqq#Mf-#ub`)8#2~Yq8?O$Zuiw2KkDgeBFAP4h{v@?uPx(wm z9t8h_4(ep4EryrBtD`5oRG|LzcbyiyC*4?%cE`&yJzdb+AzF$vI6I`R5+n3ZlhrJE%7nzNCi zpEwx2OsPuy+5tn3zGl3nG$UyrLuH_?8u+A(7X%-19lOCF% zGM)N%t$Zq>9K`t5;uoqS>?L6o)hk(NqL!K}?fX^`8_g**P?R{4^y|r5+^oelz`@5y zrPI-|hC@7?Lb+%p&Ve4qDsZJMj8c!K8j1x9my!Y z43GIGL==9ZF__v|y_zMfUqOrCOoWXNSrpYNVxL?#Qe=m;*)!Bww72nhUGv5s zBU-KV_MCc^mRihLRhnh9|GROFB-2C}S~uxJC1pl;gMRy0O2CJ#3&v>n2w>^BTV3=b zkU@_LBVtM5K>tZMo~7_WQJ4b~ci|EmXpnGdN2RT>))9duTleMN zzrSx5|IKsJz$@U~El@EiT7I^0b;8)!paG_2k|ik32S#cexQCN z9H^v+9rHZ4jpVYA7%fDds^U_6_BS0PLhb4UePRD$Jyc?BDu>CvMxVmvJsbuIp3=hX za~{slaaGA*A5oxh-t6J2wr_)FIU(b9bcqJ0= zR~jZ3&{N|z9?>Q@Tk=cHs>rRp>n~dn)MO6mB_$*KXg$B^%%YhQn5tk~bO(z43uM$Ab+nZ^pjBII^Qp~yf+CPq1tZS2EUPzWByOKbs(gkaa ziloT->SN#9muSTeYqkrI&tryCezWl#?MrxUqnLVJ`0g1fuwh!+M8)1IK5DIHb>QBT zrAfuBS?t)#YQKAi#6Dd*{R2R!FD5nZ$GGT{unf$|2&>zXjWHh^yoR_uAd;6FBf0LQ z%B;7x9<8L}U`*-um+k~^4X!v&?^-JzVFkj(NCkpf{pi@B0MdRP*+@RM2>A((Mst4GREm_t~2Nibmd*m;Tpyw`bQzMK2}ZwQ*k5b-zgr}WmhXa`C+ka|j# zs>2+BYJq!zQ2AFWXa*%hmuYN}*C>L;>T{Yzw%BC~^|Y)mH9fqxnCx!H;gHI#^Uhcj zMle(VoRqh^fLJ-abqEYbgKsVJ%F1!#q$$ahy*0%NE_vY(*11>YPIwL|flSUSVv#qY zY4|!p0nEVRGbH`0`L$n-%-E9x0}xD7;&0s+4I@Bcp&jRt$VouLvHdQugOY6ZH z;a|ct?eop0{4Oi6>lunrypMaW-`5JcpYM%@La!E2h*@bcqAA!#-`iB-HCEeuqrF-B z&6ku}r^xE5ZAZ%eE~ z_-ijERZf)heJamZ~7{%&nmjd>6W=OIz&6A&1Y`QQ(vsoRJvJ=9sXAlU=2tpXFTRkSo#x>liK){YA%Wt>VZywm zXey!jGR#HpS9K@42GxweoU3{k_ZdpULNWU-+0OZ1EBf;3xZ&+fQ+{N6O%9b$amLeUMuu4iEfM!yFo6LIhQXKY&Hh=hJM z7xoXDqqe&0&_GA|&6dt%rEKr@x1rBnkB=N<>*rkqZNJfHb7THbRNICs6{K=uW@c$M zYv}YHXTW82OH@$F{`#2mBf07x9UrzQk@1P>n#@ReI{C#fT1&#DTUZT>TyvQSS=Ztko2~EtF^WpRLI9IjI=hmr&jH8jM|B<&jxeu*v zaX~gGB-|~$baRUylw5W`e7(1Cfx|D$pxP~{bT$Mj6d<_4I%k%)IsS8G;;uxZ{(vk7 zf6*C1Xwc^A80eDfPr*)i<61=w2aEHaZb@5b`Ox!Vr?o07mN1K@3V9f|rz|{svq`5kYYSJZh;y6?IV(eq zRuEINc?k{sXfV#8czC+Hdgo8FK5B*`ds%Knb5w5la^LQ9e%8d&AkmKAD&G|up^&dHCjGX$4D{wgUI&kr(6fU2vfBOp&#L?2d%}z9maZG8*HB=y@9v+4qJ@vLI$HnK znwJv{KOuF{nm@Y>J3`E946sI9yP^H!5()j3BMi#^Wk z4vr^Orp0=vS1Y8+@Q%^L&|#iRK{(xQZ+rFp8Ch#z3i$8m`y24_E*?xv;%|C3+3B~P zTeR=HA9z;8BP+bPkgY!{ak@--jMSALXjW=y*DlZ!_}07mnE2u68%R$`?sq8gp?x!8 zGvFcM)QrUMdW0q|Ev@rG1!rBgtg)*ZajQg*f#l^8?|mHo8#mGI`_cpR0j@Z7wGtXy zXUB7D7SGIA>qBQ%^C_OhzVl(a#ON^x1%~=Kc5NZwyl`f0fN&SuR@^Vnav(P?6D5&P zkbuKibpNp)$dS|qlmPp5HGJvML!V=)`-Mcuaopo^;O0%`oTSa}o&+*_B!J$+42pw{ zqUW;8E9Gn)Hy76O*et`%@ejc{XP@!_hDO{%3}MB?Yl@R zr?H)F^+`lb7pH_vBJrTcJve~pXlj6gZim${!_g$d@sEfRF~8i>e5Akue(?swT=&0; zr{|rv-UFZDer0Et%^v4clM*e77O#$!ea@X_Co!#4m+Dg2~amzrsTi!Kp9*D4H>Zev$+iUS8wFK2{fo9?u;u1YC4>#?Ptc&KVT|rA ziIr?$e_NsvGKdH~Y3XvJc6fiTeg8x6!EazkVJEX)Yta6woAd3e&kMko9b`>0`Dwxf zd?B#$nnmJ&9EPWuBrG@)CF?F1mPfKWBgN*6Tk%!zMac^K=+ z8)G?K>yUajcsQ4^sabSP@JA!;&}g(hka z#(tQPLhsskuqg}Jp2N$1nt^(em)J~rm#conh$Kr7!V4?NNW!Q{_}~Y^og}CZ{w~`O zvmsgmi10#&BM*u4Ej;XxEP7hX*T*)7oXe3PGHrrpvixvD~wUj(Em>&szV;z!=mCCEx(d1?h7tgG#o`u z=CPudDZH6O>-`4qgdZmET_u5>K2FL*8Xn2>i1R{it$x6)z{Ueq4xrEfFvDc@6c2d< zBN^9?Sp@eo08q?Y$*jwNWdkH5ms@{qEuVgp%hET5&RLfPLTHuz_^2CC6J8r$n*mBf zPydc)%apQtMa0QfaR#)$Cs?+h{WJm^m0LrW$V$hmc7^X8q~g!*-sdKTUl_o^qr)!h zAeD2Cs~MKg1DdXvCGhLkau5Nrt~87T^#Z|jj~CJNs<7{3S)KvpX_NQnFsFfrbwwiPVKhX$33B+$c);)anISIHS@p0Wd zFUlc#S)d>R`rhr_O~ZMO4_DQIO!4$r6dhV@fTtwi?ymCuURuB|Ptj%E;5vd*S^0he zguDLuOq#ax*(v6bv0?9QI!*-w(g=n!-A|eM0@!#bdNE%;^Mtr|#M&P|eD5ZOlN+rb zoO`Tcvj=%p(i@f*lpM8)IXN1^bSte|Ykk_+_zWw<`-c<%2qR4~LxG4&??ew^NqB!2 zpM9C(cUxfg(Ya_7ct1w-zt#4dpFh~)|xjO*qdnUPO0E@%X2IlbOHm}MvuAaTeb7`xz?)}Kh%F}pM|;c4{HorAad za^d$t7~UOyK=NTIN3qI1tatAd3)q!uKY|aJSV{%8yIpWHs{Zn9VSMKLT_u%gX8+>t zT`CKtShcPa+>|J)pD~o!>2J}Le-*qZ_O~1b13QP`?YbG82DL?9l1Gz=#R%-n8<{M1 zj>hv@X%FrK^q+^2Tzj!{8BtgXiQGY^@9iG>8zj2UFNbjj}Q0`cw z`MZ!w$FyC8r4@88mv1c*6?G%k)Nx$R?}l~aiY_Ku$6Q$$~hb&eUi!f$iiNg4&` zFId-+B(|!)~w5?pVmazihcRC z@pcs|)lQ=ro)ANr6#`exX{tkz&$u5)KcJb1fV~tHnGhONPeC1f+fJ*a36QiCTj~Oo zBP!Xp1-x40MHMXgUX8O>!kf^hf0U$*P$i}679+#@5eu_=c=*>A<9g$|Y0*%0{#q?w zFoOzJ>*JH#pFT)AuIQmhyHD-U6mwtL?(pNwg7*MP@#)-*U2&Y;VTQvkwfN4{CgIC} zW=8FA3+Q=wTRiKRArG&6MnpnF0S5-?ai}dJEQl;?tUtFpA9RWeqMpLSI<(ve2YuJ$ zz9&(R_&u$yot7#P2B(87;2t^4I}5-3h!RgxXPGRsDh)uwQm4f48(hJrQMA_v!vyu) zjeF#1rj$@UW$=Lav;yycw#z}Ag_-b;9824iC4k+_{^(y9Mh*PJ-#lII?2AM~RfA^F z0vr|2R%_N`dBHn7h3S;$sydt818+n`gVz$~KZoQ1EkmEZyG0`su+X<}Zw2T9<R-;;GGn6h7PT;>r3Pq3w~U!v;WdJSL35MBfTvQuD+%0WXeB7xYD z)W8ujF=tq#7wfKu!-;eJ6OU+=WzPUG$BQ3&Lh^`ii(R99dTj-uYxI6Uw_|s4-kh)xpOiQc}4N zMHZG}z88_2Qv9IYr5qHZ$%SwCPo2{=&KTJ2t@7-xQ9c-E*-8LoOB=St5Lm@L-lIQd zXNSL~j!GChwmj775L%WRi!1$0#JOFyDYqW4c7J~Q`h_^}btdhC*Y{OC)m)s}j{DDg zoCg#>DJXyK36%=ZLf`bBmrF-ubJ+Z|Cuh_d;KJwLE|JQq+iVh$;`KJ{?69mDYS$E= zwXi^7uB*41q}Curi&mc4Jix-BE|Y>foF`F&KNlk(5k`RK>&;NMJt|W{=~6mfMu+;9 zBI&?{d^JcW1j{(vqo-ncXD6iGi7N}l$S^j;RjrU^p%We?$#0si7VOK+V8OBe6_aI5 z!jQ>}svL`Pj!wJSs+#Qb{q=HbNn1m+vjghbwGt_F>@Fae^HiNdm(V(xc+JazD`8UX zzJO5~s-$G4K)WZAQN|pceIHfLr-Pg1)zqL}w_Q1haQ=y>nGP9qa!ZlMsZ@t%GMEfIUD5^$zo!9*2+Wz(Y&9 zU}Sndt?l$UIET7RRe+&f7}bG)&m)WAb2RWxJ6M3@8>B1VpWv^H9RR(Y9g`y(nM2?p z^)ntGUYe)<=7uABiUpsb;J9QF;p5Wd(#}j_t$(TobPsYn!p(n}|1+Nr$JN(&g23%) zqmzF6r+&mTpMVfIw*ZguH-R*ZFKka3Q=XGAd1!nCkqe4s*a4XNice+LeFr@fUY0BrOAc9_<_*z!{EdN~X%efYB z!gp{+S}0j`wLBTfJyuVtBeOPo16_^X6fw?;jhI5wA#NxA#(;obt&O+CuA&@;llt*1 zK#YEC(_M`cvF}#JQ{?n*_sBU**G=MfX)MC4YRey|&DVnD&XH;Ja+?5UJD-RBg}i{B zDbRy_z{TIJnl|g(y1p@zm(j?{O<_=_08eu%UK9`l<;6goPUp_^9WY>Z+AHuD5L6KU z&AZ<3yvmm6^i7HBbw_~Y=$}dXHsCSe&+AjbagCbK7eEYJ+rh8xR{BKOA2Q-1l&rMg zXq1C(m5lkncuyEu>|@x>K~WDDN;p1BVwcEV;i!qq2^imMf~5rocBj0ARZs*rYVN>VyQzl zw1o5S9cYYs6}LIhleO890`5mi60+T!8GT75G(k~`^fJA3^N9__)5SL=LEP=WhN|cVyow|# zlJrPINEmHIunGlvaJEGX`9+F`;eL*05u4yzH7U91w~_V|S?6L{r*!eHP_DtCh~PYu|H zFV}|ileVL6N*OUSL>w~Z`lFTQqB23=a`3oExCVAhsIerxTwuuIQF7UhYB<(G_T9%n z`g|yO5pXv7QNKjwx2(}5DvdFI3DebUvoOSfQv>A6|nd%fi@Xf83;)3RI6hcPU>rb z>Fu>#vm^2H9}oC$iZcE@;J>X$X-W6;YFJ7)(uAlpL{?BC2Z(t94WUY-dVC^4AYz!$ z>vXBE;n8DZ#j`zNfhRh`jad~VS)@cXHCE%T`EO=6mC74*WZ>aw4`c+rjxv0)T^gu? zOr!-0#OI`5RU84{X*tDGy5{O@l?CKA*8~mdGOFL|cXbek8|In2SF?#}L&P)cp%f)jSs2wz?s|ziq=c_oaT?KAqF! zPz3L$mo{^ujkm%xE;gKkH_5MG7~Qs7+d&*>B({tt(=3W7+{w1L(=bwJ2`RkCY^3B@ zbg+6wGyYo@YGmrl8aXtgspc{ZA=i(?)2ChemqCL1(H3G-kV;7|TU9(cEtbYiW^Q#r966}| zk!UzWn+#Tg>Mnnv(J$5uAj$Zp#@E6msWHE1{}*21`oF=!Lr<1$mq=wSHhIxCY-uEo zs&(6@aDg%61FS5{^}QMkyI2j4?^u20J!z&R`1n%^@D2Kmjc52-0OQG0kl4sSeU{cy zU73DF^HPW^LJ)qRUAc_|NTjss4rJs(t^h9q_p@%^w1mTrP%}W`LvqM_t4)404e{Z* zR{dBH{Kp=Y7x2;;b;A#;McGQ@DG%X+Nr=TB>L5g_O*el^A5YTX!S^ymyx7 zXoRk)>Oc$1er?*E3%cpUFFXqoYc z-7l3hEkyj03g2pY03S_b*~Xz#4W+8}`wg%5b$r$@!f+cuIc0L(`rV;e?9*tO4Shk> zX;D9wj|x$4j^FDD-G#^QJ`?5oY?+^t&!hGJ;l|6?oQw;hizt+=III1A@MTKbFrirH zL0c1Dh!z2_;ZZ|Ij2yz&VWb+AcUV+Zgo1)%6&nuyO}sQ%rU(>PTIyKF9C@B-ZxEP; zeO(=2QWt%4sMc^>m+fJmr9Qp0GCn zUz2KFhLsh~?zP3YEzM3BF!GC813yH;mO;Z#1t(f+d)3Iz^c#49MUkub#+>X(NBc~UoY8k1AEXW;YQ%lh1O4)G*-UYwm5pfZ zSv@*Fu#Lz>%5Te&?aX2MZxI|A4KN>%wmih^?HZQF1&L%RLY+xtz4QByu_ol?vg_&OP@Q2qw6>uclKcm&siiC}Oe zgQh`^*MMfU_|C`76TCm`vB_pEek0KW-!k-h)_z;A|GATjA8(c9Oe|;o03R#32g>3R zIQX3QIhnE|2rEAq~NgGC7SW$|EbYVcm$c72QyI zyA!@YwkRtc+Au+?<3B*N*Av!iDkSVSXSUz(LaWnz76x*Ykj0BWjjMvC0MA{ld>TH^Ip7G+ay*vWaD_`w^_DMOMk!3m+a5 z+UgrI6dq&3O$u9w#ijxEf}@feFD4kx4Udar3B^?S=%GpH7L%*4lHxcVZpoy~ z3G(nU)V7QDD5#9Fu&ktKA)}1E5t-p6CLtV)CZ~2>_-jB77+m300J{zmKdc3`pc|^^ zxRJpJ>F;#Zjuysjs-;>~O+$}{)ZI6lSV_0%+i58Ah-RcE$=uteYu2&3L#gXF?4@2( zc8>QqWUdEJ4H*;_Hxj-W8{>tCm(XF_lzbcCKMGFVXXla6wor4iuXSY@H4!+0Tr$Ij$FNVJ{9hctEqsC9AQ_MpmjWh4V_#X)$jbB6X)Nw z4E^>>s=$yPP_oyW842*VTVt}_nNw`9kJzZq%Dnu_fCV^$phx4_xx4Ln;Y=~csAm_! ziy`t%<&bKroYM8ZG9Kmll!6~cc%F%C$E}*rLt|Qa3Y&h)wxypX#Ui&94R#6NASFPA zSJ;{i*7*5%idGZ688dw-jD{|PX+&XXZjx-?xo>y?p9)%>2fz~%=9o8>ijc1f?rbTj zt1g6qg3;6xciKDY5O9v^(kKHW+mwyRtTLH@5*`*;!Hcr`{ezE19al_r4NFlU*_hk~ z8}BS`()Vvk+MEMyw-zjKFoJBMY6T@bJxo;H)m2zn*xSoP=rGC`vx-T^h53tb0Mbbm ztM$|IYTNi7aW*Ui@dZQ~J3BOX5a{4m)mWp%2#$<++|@R*;h0`)rb~tnj%_g{GN$r2 z$3dMl9~gq)Jec4M)pHiyUaC@%5xgqVMI=3oRyR%6)Y7B%EF2vr@mlGKi<7A&iG+U5 zQ$;fI;An{Z1v^i6`i5u)oUMr@f<*{jW~~dM{9#RxyJ}1iV_&pE9f1K;EK$qoU*k-U zHOrme1|_!W9~Bg0ArUbV;ZzSMxS8uDYLNw{%VRB-$WYi(!@@=NQK4fb$ZlCSXx#4K zO!Q8GVoUGB+#{-dN5%X53q>SkEJRj0Zpgf4*SvG9N=B1laew}b#q4Kp!IVHsqD<|X zznxQUcC4!_SUoAGIP=i^=|B+)DU+ZHv*{`!_M`_>Nf zV`ypY>Guw*ZxHThSUiu#2Zn9w^Cy;`b&U7ea#Zzj%)n^kVQM%utw^ZIBrqz7C3rhU zM6ksH4)h!4<9#w0ba5*x7_S^z8OaCR5HUp3utbsit1Ejx1SN4=m|k>prDjmU??m`> zMI_X#&&YH1m12#^8EHz_a}&nJMi_3~ZSd5mBKt-+*klqQcU4Lw6_5acSG$m_$v}t#&p3vV8{oaRG zyY74Pgxxp&q9?}N_KqOUC*5v-Qd;wk-`LzPnfq?TNuH1Xu6iou@Y~YT+xw^L0MO3` zE{Dxts<$H}uP$1*S59g=uc70_)3L!rz@l7D=hd?u-oB!t_x1!zv!bA{_kD1!@U&w| z%7ad3bCykQJASe1Ja5KGz5H>9{jnd(@b~FTtJRnx=Fuor*SpR?Gxlbpn;@65R8Ta^ z(C7WA>O`g&3rl3IlD30rd%Yexl_(!E>IB3+eGtDKDM3mvNt?m82>&qu67{W1N$p zVl7BB$pQTIA`t;=X0XEVd3cGPB`NhyKJr{Ul<~Ua=!t$(R}5Xq*VwaW);?yTMoB$# zKT_t~_x!?PXsQ&Z9WjRJrFi&o6ulIBl!jDeQw=Tu0$+&Q$Nv9E(>Xsx{=VTpZEo|X z&D?6UjmgI3n%&xLyEc2nW*d_>+qP}n_LXU10WlCpzSLHtItcCOAYro>`NgGXvTymHG#3x@~7l= zzO(6Rv|k!V$*tt!xLMS@S~M%O(vNFN)#^PUEZtwPChaA7HniS8{T+Mn6QW%U#OFhJ zUL+p)EPTdc$$yZrkE4J6?UgS3*Yz`;u|~pX1kP3gbJI`JB&FL#=4BVrn%Ht8IR@so zRrV6Ik!m8DRRxHluVSN9@L}~Oh}mmvKg|}BMt?$BwK7k2X<5^?ZNu&S&g?nGVB7wy zq~T`hO{(J^qIS2O#+5#t-&3rkU@zjEh=-a>^}j%oDPO973k?H&3#D&lY^w}6Qi~|s z@4g+4)SR*SQ_NQ#uXWu{o155J|CvS=7%S2{W+IM@r4A^oOXUf|uQyL+i$%YKV`fG+ z`P>CN?40Jpq6IwB8`0uAZ9`Ygs9UC`?6dt6OV;ISn-T^&KLMXmSFBKD9gY}w8p|9y z@lA0=)ya-kPq4V=;Yk_)$^xBG414-TohE6DaBF-p(C9!^kxxfE3+uPnO0BL7Gtt7A zk2}#Hl-%KAce))P2<;z-Vg~_P&1cO-wy&F>?_TylA!EG0rS73{d7Z!;$8QtM-NcJ$ zAzbEmw)jx^q+}Cz=*!s|rB#T1!l-9#glP@?cA1K`Cr{kfYj0eRX}!*J=h3qLhB}3{ z=`g9|H6iy9&D4mzIB@c8zYBqes~_SOhd>7mQ%$HMivjx3a;i#c+fk*gN@>nOc&OiW zo!FR0p((?%ZPH&ao2g`GoJ?%EoL4Ox#1LhV#j{httdI_tEfegZgwlRt#aK0S(zFE3xB>-u%L&zEP+XhNciy^oKIYWDkkmzC9(gW$!y=NW1lOKlqX1vq~F zz1^KX^0<`#brL6{sn9=*zjAh4T?Sp<#jL9sN5$ITD~~2{gKBMEUd}mKTi-4b0Y3|8 zP%cS)s^HcC;5RNmoLBQnaTy&9q%hpkJ1s4RVbNwcQl2`3j>lin)>&<}?l}RyboX=L z$u2+-`pI_pj}Z~?OvW0q7bX3r!o3QLX5{q)hlhrTBg8L3O45TjQ_!->5fT6XH8KX? zU9Uej%OF838^WkaCVn#3rjKQ3noenb$U$bZmNDcYv#$0BaH{VuJ|6B(v{=n7{+sKx zimW56@q9Q>wI>J5+l>~VEXnr8@m>tvjlBFJ7P9w`!q$@eGdu5v{snj9l)Fq$m%&<% zuOS+F65no3!unXfCPIb)S=Li_1OwJOCQ%-kA)_Q4NY6ZPJ6O?b$naY#TDZ#95mx#) zl$;sA$TN`~Z>#l=@0)GMgQM_GA?Ps(bnIzCPUS*eB@Dj&}_ z-lJmgFKM!qn8P<9!&*nzJEdZeB~zbXnD{^;>ALIg=G07Zn)sPZap&M-C>TQC~n!arBm;T7)maBLp0cY!f^~Q4D{eq@Xwg@ z;+Uwk`nNg$jqBST^nCN@8sV23h*foMdwYAsauNVIb9uQMApPhOe(lLa$a1^+IMDQc zS@6B9YiPa1^LZ}9!~2q&r(5ZDX8YN?aS?Ciqs9K_UFQ7ewL6Xk`+ zOXbI@@ADhgkTji6>nBnuJ;$**eph{-Y54}5bu+}92ssI$@mT*syW0zq!OL~533g|Z zu|$A`*-pcfnMjT&aOkDWZ*GUZeU6oE_l={qvm6QMcx7*HozP}-@y z2VS%dNWPS6U3GQ!^JFv2&l){N@8`r%OR!n3?D0*rw%|LXY0bJqx>TtL$3XQc3JfBt zVAO>6c(z|mRRGkg`NZ{&tH~Mob_JdI0((WTgroum)t=VHBaYNF1C<1cqp}gtwWg(% z+$46D)J8~GqbjB5Fw0+hiJnEb;jV%H+@BTT=@%9@HnCpE8@YT{_xSaLHiNx6-XiB) zepy5m@FtQKDq;ene`vT!(+oq-tXcg{>#u{s=8~Y-8&|I=uWuO=Cs-^Dp(z3WOT9CK zBHxKD%YUlD+fF-~{lbR?hSl{|420QSEOL0fydXWo1+<2Uv!QBiEKr&N;8I2s3p}dS z^(MPYj=<6SQ0(dQ>kLR}X~;V@AC7W&kSPG>TPVOHK>uhjf{FkN)2aD<@TlPXj!`5b zEL{pRi!$u*%NpS~l#jjd9sHcm&;OCEq}EOT1Lz4%lfZB0C<#0tMu1)^syW~$lfvd-3$%C%JG|bSbSY`$|>zeB?RAV?0E$HVTSV_9jurMr{vZ_1O znPeYSN?5*Pf;!O79j`#IGA0Yb>`${$A?|I!nb%(K390Y zR|vkB2oGl~czx2opzN3Dg$^G#x5opY^Mm!fKMn6)y6-nZy4>r}Q|Qo6XAd3TH+z)^ z0$0TfE09Sr{@QdJp$L&i6P*Y*L6g_4$vu)N_@0cU#! zf&fvLS&c65{1X^NMmt4pad{`-nas3-;1n^5przZVbrof*Nu3p5o{B}~EJeR;QR-Xt zx?&na<@v^Q7qapAZImxSYBZdopI~LqRpA$S+3$R?legxrJb5Sty`H2HNUi!x00!pY z@L4GE=S#BmkQTS~4*Jfi&KXpSePEu%;cr%@0PS^dGyxbYiQ&zewWBsXkljpyC(0D_ z>RrOfgPzkRwWg!QUECDmbR(bDr?<(Xak8bNSgPgG)Al8eoJ51GRE9mu;|ZB zv1s^~PgNBju;i}Zr|{zsIg2zxYqk)72Hp4`rZf0doX!E1D5OBBO1`9$$pplG@_7+UEslQt&VvT(#UG-x-HosxtrgC(%n zevtmta=2PuC2!K~nlRuH2<--`H>;A%VDgK!pPxK^^{=X*GGk(Lex~I31a}IzTRM!7 z{q3i`RT*o4@k8#P(C~sxEOCKke_335f0LnNbP8yzMn->_UR3eRO#uv~*l0<6gNWBo z`9887b-g%aP?EdR-}}i>S_=5ZfIu%8>MHPV`S-Rg+A(O6fO*5B8*4b|A8U0?ZC62O z6KlNsOwkR~DnG_s@{ld_(L&>BT}t*=6XB7d{5yOmKHX#(RFGGF%|@*j*@mXri~sHW zap7ZVaeVvu_(-(z*bi~|nC0A$F&oL(EWNHmfNkv$Z5Io^Z`>U(Egh~CnJA=Qn_aP6 zQ&BtEqVYkIJIRbP49krPSboPpq)R>!;gF!JI4_aW3q-mjA~@ogfN3q<8) zm35KWp^NE3IL7%abjrX4MNCc$7VaUbz)O`X~(0L17J=PLfD5DG8Tc zkwg-WB&R?U1I+VaG_M(yP7P0U1S&`LSzfY}-MC>5n2Eq1ch)p-jCOa6Y84BkoUaHS zi-?oRaKY((Cy}e!9}-vk&OBzl)OwYuYvX>|g)niAEnM29i}UIKVmn(8b3#a(kHMuh zG&F@pMV}5oJ#Ln?IeNX+u_Ijg32Byn4kh#Nvq_*Xj7=3aW5iDM=&BrEx2B?zd(=Dl zPUCbvITTRL*OO;GpojMwT#^>jXY=Vt{$4dO+P6LNgJ2?=Z$|ow$oZi|!t#9ZEia7; z)Ns;2qvZ3xxD}9e+D1N@ZXsw0bJ@EkE)}f=s(Hw*+RDjHdfHp%3Raq6ZaYpSs#l1F zUGHq)d@dD!Dq$WU8!K4)R~P1n&Jr7pAqyfxqA{1OyFsHWB$;cF6>Nl7>%g>cx5O{k z2~E)oLzw?$&t;sUV6|*U7>bljfoWa#D8y2E`Z#tRRI~}bC4lYkDz7wCK3W6_%6ap;Pn(tgf zGQ3YsWTF8&X=UTS-~t;s%fG`2T&VaIpM`FlgDL|f^GxfBb#(tHP1#bbgNby8sp;sj zsOf-YVT*Yi6cHk!&pQQXfbqMZ;$*m=;t4E7kTF?m9!2*~nhHCo6>+@wcevJ9SOaRaO|TMqq;>ByYhqYixHZ-9eNp*&$&DZ+WPBJu zeOasIsEAB7ICNmuc%7(vLRYgoG;<~z8v?Z|j|-=?oEjzaZ-203{ggZ)4 z3`#>BjlzI{iv5e36U>uQVf76e!oU9H4g7h6C|#S_^ob5z@VVE>_m%d;$j}hNlDtHJ zIA8NTo-Of(Vr5pCNwaNV^8DyR_qmK-zmkINhrV{ZgI9PRhx^IQ#${2GvZ!uI^IFGg zuNA6%j>1NPI2dR+Ma)$HMwO#wV_WSWs1o0j+F$MF@eepy2XivD%bh8vj~H!N8;`Qu zo;mqlPJeM_C=UE1ukfs?3T5xVy?#}LU_t`Wg%(LH*sH`|N`3(V?wJvT-=#;zQ5hmKSW^ZcVTC7>R&zNMymyIt!w~3TbHJ)hINGk%Z!Z zh7iqvsN9aY&`IN$sfZL;5qxLBMZW?I|5IRxijpMUTbQ_>R|n47GyvC6`>IgJrjF>+Nuo?uQ0b#y1|rJFb;t9kon<8oOr zZT`R0LHM#uN#A>JZvoV7>0f`6Bfw%^tt75ksgSW*EjR!FKDJ?O91<4i&wQ>4as`DHp<_8@$wIjF zBsO1QH4zD8=uzj+E*1}>iihYCux!6|>=|pGueFjKeidNlwjz@HoZh(QzQScq2; zRWJCw+ZI8)k2OCO*8jNg+@~D;bl$fT!nD**+ur?$N!U)4R;HFg&V38DJgFKr9w7+c z2AVv%n>MJ3!#b5pJ?EDpn+DJAS?}`)xerN#&OE9!m;9>p(w$q_Sm;7;71IT5!wH110k#QrSBxQ1QC@ckIk!uuk zXR@(06(eKNG@wDqjWRMi3$PRE?&sKs$pDx}&$Yt&zezw4#1=3%HXmq%7?*IUg&dP; zK|UxZjhq49ERu$Mrg8JDDn6Xl$g<5p8y=NdOd85Vkf-+jbp<<2)69@z* zQbVAE>5d2E3;-&bILpem`vB6{&DmM?h9r>p8mCd7I5e5s#M3M#Mr7)q&A>l%4mQC& zq9xaV7fKb4!ad=0>eC2Q8&NTj8m|uo9JC-dPGUU_))hTZNCW~VrZ3Po$`aT&a1r)E@WUhoJe&21Pr<~kP%``g_ZLn3*F;GEa(ZTjI44VdQOepq) z?vDB>pcxyLZTNFP%%zaqMHbQq*3|0i>U_B_=q7dHE05h~P1c)L=^WolTHZ1}VSJ^9 zcLcbMt|1eavM{g6b7F)4eLTx^onP2v^I`pE)|fxUzZkuFvSj^vMswY%uepmmDWUaD z{N-bNCM2oFr^gK({T9A_~^MpK;CbSP8kGVAjkKuu2(yzg5sQ z4Py=Vu_$@w?zpMjnQ4pK(dIFocRFVeKm2%H>=J%o6onca^=dH&<4F*oJtLD(5S^l4vK9si z7gKl_n*I$A6vTHc(-z~QfdGVqX$2Q~^phYs)Ona<j3UNKJbc{*nE^;@YBg2efLb0R;bJT&-K-{*ftNFpn!P(Q(cUW}LKg)^WtD$lt zE)%4&DpGoaJ;%QzhSXiv<4U^KW z6APt^5=9l@p#HP~%VA;^hTkjyRXxD$EMJrRC4o+ISe2ODUh=rrPtxB|9%f5t09B`8 zV9PAN_s8DjKL-5I#d|ZcZ}y2UdnsHn;hP#j#EmA`-oWiazgAsfyXs#LGqP(y<_{#X zR2ZXJ;ke&YRyD;QL6$m37JlrABBgWTGr>VYpDfRgOC$s)4-O7OLP8!+mv-791s#hJ zA?@(+P+L@F%Cl|e2+GZAV+Y|6akJG#-_JTvL1hOz&RTts?OmtDLS0=Vun+GJj@|+h zXUlhkJ~djU|B`^-8!sPRaPCtP2DiZrZRghv6=u<&g)fdHNcsNR9WKgmA2%|AfGKjx zO)F=FmUv(G(Mg4VmDTl!!5K*dMAU%^Kii!y3V?GLNeknu&VMxkSf&va=n35Y0=I*d z=Be{82JLB}CD z4b)^lGZ#VohsaiJ&%0y|%wnyWj+;RDDR%Ii>YoN$i-DgoHJmbnW`Bq>^`*p!S$b{@ zMV}QSsmKpYGds2pKKe2tEju5N7i%4pS0y_~LDy>?PGb=jdJhdCo_Ea#V(n9PZvCqB zg^#g9*pOP9@%B!bQ%UG7t1wwzr{dSJsEeW`tT23W0 zEk-T@-B`??-a!|HhCT?vS(zq8L5ocmr?4`7C&9v; zqgUO`g#im2g9(oOyb+-R-G_JAg8W<{*S3EbgAW?-61`1#>7+Lfsk0B;En?#@wqh<)>>CAKIiy~ zOda>Uc-7=pGeW4V!zUFj5aObxH4vEfvU&g!4s^@sn>I*03^UfMoL8U<7qZht5Wh+1 z=yKbH?gexq>qH+z=?`t>qWf$H2tOMMH@AL8Te@nR@VOWMSgw2<_Vs{Vr{8T1VtL*k zR#C}>87^YhY$0M9>P_#DrDge8_{J5g^oZvxe=D`Hw?7(Ys9*_hS!2S6$kP)GIczE@ zpMP(rpX|BkrPQU3^+-kkiHdf=NEt-9C`(JrA|)m!AhAf2IP(5yr7i$PK|(^@5azvd z(Rx%0dfeTt_Ig`q_I+&geTF1){@}H4;?ib%<=3>fKKYNOxhe|0_jVana?FDR>1IpU zLc3%oqo{*aIeHt&z68-DX&bhHq4+>;qh63*QL}X$S6p2D<^GI_l=Q=7F!4IQwmelI zT(QXcdQ8OC`rtU=vuUjR-|OS@P3dBdH!vG>*2{Y1m0zg#qr2Ri`MD^I$7wDzR_T2_ z%J>1JzBKmzLME{D&fTENr}Y!KnmRov|(m)@B6+Mi;!jT)n>8_*_B$q_V<0yRq(wJ z3n)5>*qV2g98pBY?=1e|Wwo|&zTx^2pfs*HfsP)H55N4;M5A7O9opUj=yh)(zL2gvyYhS6yvMNhgfuI`@Pk}RU7&Y zhrPKZ8c`iUQ5p*=mpeA%nwGVUwxr7q7+v(~xj-fTl-qs=@Pc-N$C>KNEW&Ah93F^1 zt7g9Nc+9~LDP&%(J1Asu-EiwGh^X?w$XUSmf66>M9U+1>jl&y@R>2lHN{Gg*_Mm}+yDg2J7U+cN@ zi75K3V6*pI1rKxdz;t)_wQ}B306t&CP)q&)J7vnxzf1LX)1z6eHhub0jAhubmv6}4 zL$pg?s1@oufB(f(e4fUn%B$X zezR(ax&630meJep!+YeWub`z8pNIfPwof3h$=nd`7&?oLz_Olw=6)RmnXQQem|jpn zDJoZ9NmY`UYJttpISJ)y`_EkB5T8FE3A2pDPW150C@tPIq_=7weYjtfIz#-U|n z?Y`_`Q}>G2omJbcm#d@2YA!a{yGhM%9G{OL~TskEwQ5k^0L!Kl}=Y4p1E7!R}nt$HXg_1 zn3^Db#7%UY4L*mB4UnZDBOJaSLW?*(La-1DxP;_*1uGWf#!i&1U{%=qF=4B4K~GCFS5 zO0QJ)P-@gZ&NO{b(bv6O@O3)e4>>EF1r&}hr_@m7fF=Z}bORO69D)c{xxN9Ip5>)% zKr|TR8^+ME(B`%C=erZ;j@RpG$iM{=gWM9`wrf1;CaRi)QvOWrc0Bo>e!9Hyxp+Te zCVBJIt#9AyL4b!x6*^R&G5FV1XYEayI1O@lyH^tSxf}r1+<@2e9t3$GC@Ys;4k&AU zU#kK%F9=wJ)$OqV79pIwOYS*) zir$~IjuT-S(6DSU=Jg@_c!^1gUj_#HlhrQfIG5ps>@KB&?gw%AlPu8g+5{#&HpjE_ ziJX7)MeFj6Ix2ti=p1PRyZX(DrwIA6N&&p6G~(V#*$JZ4+1af9T03PyY;<&UU&^l5 zoyWCpBkKaYR-1fK^Dt%XImB3aJSBU2aTMOd5c6Scg7CX5=&dXrLuJ3G&1yp_?0o*3 z>T#J#doS#5W!UOIG_Sz#YSZ|3?^)UUoSUTYE3>9R_74{mB#eWWst7*FQ+*iPp4AkP zIFY|CXFN8NPzS;>kl-Gqyn>5k+(0G8U>$o6G3`Vkun)gk`k39@uFx4hI#M>nwj#$D z6>Z#|GB>f*z|?%EQ05vXTJUMM3VQ$rWV6WT;cz_of-b}%#K+3Vw)|aQS-jAupY`G8 z4~sG8b$5dfjRMj7i$)E33d2a;l6nGyO3fNRp@q#Vk4*5n`{ugIa_cWsYTz~+-TyD(?#Rm+^-2goRMume<{pN98+DzJujvULsd-p_%kV8h7q>>m$R1f zJ2ABFl(tGPcF}0UGQ?5 zuc_q`637k6?lm2Xj;puM^#kXZelG8{vMJa_m8`l_3L+~4qNXJu=?`4-5t-G9~M7v_Y<0G6i+be>5(HikuR>KD2)?O$wC2Gr@}~w~g0C zxl|@kb*rV?4eu;^=}rdHCivDoj%&ddmBJV|Ug1{~r;fOSAzctgz&)18j3lLXGDdA? z4u%A}7Y!wa%FjldHMqm0=2dPhy42nBUPuY)9jsms;oqS2QYpKBeB7$}5(rPn&pGkL zAPF9mtKaU_t~}=am}lXgL?#kn5@mbNk>-!+j8&&I&mH}GI-)jg96OxRfTcb0acC3 zii?9&-9<_fG?A%I?v}NW~F&C=+}pFflM$ZEvwJq&!w>3ft0%WJcx2YVlb9 zHcQps4u(AttX;Vrq`X}t0?`=AdlKsI;vy*%Uj5MfH##ahdYQb&``~k$@v#KrFEckY ztxwz33T4uD(|me8a{tdfydDavb;c;Ox1XUlK{KG96=VxjChx7#a+0y&s@@a_?vuk^ zFi_*o!a`9)bqc8>S=2au4=l@OMT9+b*FSWQt4>Rr>wew7*0XgeyXr2jOfYUee44N& zI`%`PA(w=S&BvMfQM+6sho1u;9!4;Zz?ac-L^Ctit7Y3QF-P|HM`rB80wUL79as6Q z8(vt|8R>&y3rdm5O2gdW{N~+Pu4ND0Hs(~ffYH^sYuJsRuZ<@BcRapC%0=65 z?(B8T#@)H8$LrrBMZxNesW6C6oFjU{;i6tr?;I{F$thEKy^c@eUrB3(+!@jtEXwi~ z*7To_5C$tg6f?PpSCVniCK|i$`2nvOpcNf9bd7|>_wl{MBQe5#L(}$U4fK?76@20n zp*ZDvJZWX1m907$UV|4J3dj?7&_4y3;nms6q~7zPKoO4JyGzMKj-w=fmnFy(d*dea zp|{lpICj&zWF@)LQRDN=&CSij6{UMdq(lu1+EBP7VPNKPWYa1sT!PmK#k2pMtit_vuI&Pf>}3>TZ;m@W`7g_^D&%d z6OZgw!5Ag4eX2rF*N>oj9PkGG^R`f<1mT^lf*Ik376q@Wyjq@ zJOlP6i`9omZ>i52tv_}?$)p;a_uwKVmU05cFz&D$!1OB6M37RBCrO`rJrg!soXwXEGR?bq8owU1;TeC-S5c zd#YK{?njBL%Gn|-ReMktwx zCN(RDUuDxb_WdK;h?c>A%|DT(DdU!9&TvRuKolFV4Gfa5T>PcD(Rg0vd639YoK{j5 zCF_|F(-`WZKovkY`@_r0E2kx1YvoT|vaR|7W^CQPKME5*F>%{vH;V90$^?!?tnm{2 z7!!%l;Z_K#$0Mnin$L0CV`c!t=ha42W;79aixwtSRS*OrgfX|-hmT#FCc4yF2TDh$ zfJNIt)~YZ9Zu4LWNSjbmY%dn+8>0wIC$PF-EK!s<%Q<#H_`6ph^F`d2Xc;ek82*mu zeat>rIpQISiHY@AONQmf`?0aH(h35avycn{^5%D2ce&S-$;&~wqqndPR)Rtq zwuDNyO(upLv3miuo(mv0m%dLuYL0zP316TiGVGozzWjdLJDv)Nfa0oq|Eq>0Hz&#`oMp+by_1=sa@O@GuU8<{sL|q-_=7O)BHqGDDyW}Yd^Vi!> zsw4xk!Lb5g>ylQPFM*WMX@*>>Wy`T}h%j+L(#OYdYQ`+di!sC)yN3HP<-@9AVP#V; zL%{Z-rhoW?vhD^Z4x#$qsF14g!;wH$rRn-anR2KyM?gYXd>E7pGM0Un4xJa!9RwZM zm7l`o{Dn_$4Wc2WhRsVeZ*FI#LF+knt)tXm+;vj(a}l?DUA&+Q_ zRncJL7t)PzyswWrQC5Z_P2JU)JdaSo0Vxx_f)1Jl!ij~Hpz-`(K}ro(TH|UCLCwY%XHiL1fI{&{TSR_im+t;P(R!r_lEPCm)i<-Y9H2{S}WGB!7=gA z$LXnCOq?|Z8NVDyA_kN)T7S*V+aAxW+^ySgw0rjiquEl$3ieLVOEhFd6C zi*fwb1T`IWP2vu?Bp7&n%0O0SQ>=?o)+)s|8gp$s>mcEMjr53PfPk9pbBb9g>hc2pZF*$GGO}PD4WZq z?4qc4&LCO%u43aoWqkXzNl68#ui5#ensKGdXh7+GSLt*6yOHp{5d<-MK3SQl*0ReC+0o{l>H?4ju1`rEe9X6DGip3p%07=@=LPN8)Sgld1-SLk>? zA8@ha2|yW;Ut7K4uYN@kcIN%fPnzO=tW`v}CuPv|=IeF4X;?&P!>eMPTk@3qH>ALZ zhxIS0&XdZS6ITKEWFT(fGn3E@3QBI9*fm=|lI_N1XyMI7)tL|-fD@qT{4r8UB3F!6 zN`IGw_~7~?DzSc0X0eMO@c`6Locgs&jYNbEl=y|J9)Ql^0HFBu2h+P25TIU_psk;U z#6XXUSSBWgNhnf4${lF>Gcj{%K=oFa687EQJCdBvU+mK;BGp&_=vfW)i#p|aepl-j z!;2uOKcpFTRv}VqX^T^ZD8FGOwjy$^>Qi&cJwoy-;e;Z*6zYtAtOco0zh{vn6At#k zf8ZgF@|5aJI@h{HB|k4PG|!ghQXX%fs)fR95ZKfyaCv!{NN_UrE-Omd2}RuRpRhFPFod1I$a&oITWlN*XA&VGtSTzov>i3I zCn+K4$;*FoA!7eP?Kd1VLsUN%B$d8q!7~#ieU2`}#)we))Qf^Wm$~LG+`4S3s2&EV z0s9T6z%P{~F&kBDh+SN?;C8(r3`WQdL)Feu{&MX{;MdX#>zG-s>Q(Uyj@&uB%M2=G zBnd=n_GcT6vg7eYa`UrWk%IuKtBx{isEIECk!_AD=f8JLks7d7pUa+Wxi}F}ixWb1 z0+I}Pn*@YEc4O7JbTx~yT|`6ypONsVBp0B-d{b6Rxa>`VjL1Zp-mujgK-;#vd4Y+_ zj`wHHj_0|ow^P$BkK>Y@oSYk<59%ZXhmr3M>34k=kJ}c!9C~b*K=)9B=8sO%wB zri_}qTcpr+P`?&n;}zH^#pnqJ!^H4ust@;bRFCp%x^D~;%B1!ufp7|7a?uP|FyW>l;7_=&6>~j4e0g{160B+StvZ z^|b&3i`xj8!uOBWk2Q+dQ6iTKro_spj*C^UrBI5v0NUn{4p963K)d71ig0U(CY-6e zPm{;-WE{GVI{~TU`vqz9A?`w@?+U^Lsn8mcH6*Kx7yU8cvG$_-;c_w2@s?v^Q5}fa z^4?;*d`qmU)cPiluzt1mcHw^G+@QyPwU8w!8%XLscYl?$JM$oq#(Bo>itz)jjtx6% z{3;y>6e>GOHq1J%&WZ0Yrw_ypmroD}@{Ld=m_d~S)I963U|6eX6WtY6T=Nq-k+!4A z53r!z3BpxXVPVBp?{8Esn^O*D9m?rSl(YA;MO%-!eRP6TN6E=JwKb7LCjbJGnCR0S z&SLU#c%os&#fUi@72)1hLJiiO(5c7YDRGxzAU!|BW>xC>`lyh$V^yqFIQbg4j*Y9v$@==;# zuc^67Bhw*WIm3(+@Lnr-oB2&)1oQG=D(m04xIfWCPE{JFI^!z53s>wwo#h$&L z8_^3DSdCI0Lj?($k)u}Cl6dc44vEv&pJ^{_cB9gM(E-LaVkZ6a2jI+eveo|f$D9ri z(WXbH_xDE(j*t3HroWBrKSdDef@^4~i~;YSqZg=_#=_$SEIHYl4vZQLz+QgQtQL=H zMC@oW@S-X+Vu-(tHY%(i`9al|SM@zgq^Xv)dWk+j>MFM4>cFZp0?EDI+u8BEw9JA{ zqkX!c7951-8Vm|EE4CeP;L?ib|J*%p+ekpcO6R-nZLyEj(oVQVSh@GdEu(t9VPf=K zMDWi9Q7^SwOi%Hg)9-8U1DYR|S&yz49tZr2={)x5I~`}lwg}3J)PS7aUn0R4Crl1u z5va9$iU+epYH|^BDn242dvBwoqs>>&hiU^0CJJKIHpR=LovTU0A*KecfgD-a`SIb0qEC zF0v1w?_RyB(eAg5sY7F8{Ea)pQ|-kRk{ARqdi$H1np^G9vO@J9u+FpehYrpt2@~5x z{8O{IntD@KTo+9TnPX|(Qo_-WzEtlyc$TSHQjSPcx25^JJo6TFz$JvvSDw@0YxZ%`{xF2nP>(tT zTK8}}`L$Ej?z%9hS@E(mL7JvZ4|02IUTC-5IeOZj5O#jV1_?gRNtt{c9#kUx&t8$f zhcE~`KFrdd3pBY7#AMn!eFZE;7v@=OJ{>qkq7tzsMzPYXOqwI*(4*u+!PHP{WE;}- zXrv%B%lBz~F$xR2}K9Q=lui;GU&LQL$PPRe_-zemfgv zO~(=mMyvYSsoMJeQ$E+9+`P_6Y3*ls>%-`?mqlDt?3?2A{#j9XOu1ebGYvLW6k~A! z{C1oocX~QAZSY@(a(ErO-{Mk*!Y_UNcwdsz%I8NVP=mx{ZNZMd#seAS%b%OJjo4 zuOpIIZN8Zv`>+_FmpAl0q+%buSe#717=L@MplP%6@4d5R$%xh@ZOrA;PbRr)ReM+u z#*ox2F%{vwHf;t78NU{ zQ4ejAk}Jbn`$6~ssdrgyR7}l?yG@EQOX)=xxHH| z;J>*~i}>-xIQ;%%jO$Sd{dCKrJ;=jxkcFtO&2L3qL&@E zi7vCIGROG5Ef(#s1m0FxA-4HtbatQoP{<6A^xJp-OO4P?)(-ygY?s0n<4IEGgoFGo z?=Myy15ujhsk;o6S!_vO_dB@C1RoZMK)xr$?Q@rS*o~E#Ga`8>1{*Cl&m8H z&$e|4H^Mkc|NgGh_YDF>92MZ>OQnKPj;|s!MUESF_kV+ zdEE{-g?*o*T-Ti!qF*o3eXk-wUj@d`*IG87H#R(a(72&7!ncvB(Uh;q^FLDYXDQ+Av&48xeAa-o3h#;LIkX2eCF^goS+EDZP&3;wimD+ST@_j2PS?ozxf37hVq_ zVJ8ufKChZFAO?%b68%HD8|g?mgTqEgwNi7*%eWZEys7^JCiiFf-vFoQ+oQd`J;;qh zjYD|H&z$=+eL&;3|CVP~^2e=y5z`v)tn_h<(}kkz!;WKvFh`9KN6J?PA(w$6JOiQk z3}!8mhjDjC^!WQ!2>R+x?`nmDf~6Lad3pIg;6c#+Ag`w5RUGdPGTUexgdP%;3SH8Y z3MXqdJ3I_3y}rYCy!=gi8}I0dL?ms0>-BxE8E~<-a=B5?gbfcZPD!2EfGCCma^eaP zdh53-Lpp51@wmt)a2FBP17*E_$HfIP)G{hSz;Ob;bgDUEs!5#;DHpKuOIU79e->bu4=2|77!@Tz`QR(%RoTh`< zV|zu|upXWBJX{R|=}>9F%9)EclkYY*h>(zNZJnYa`s*2*lJhgUZtZ#1} z^fp#*tdg%ig8%^jBF#1X5ZCRvPiFp=-1#vgByUOu%5Z+}eM-@UGfp@RG?Q0&(;~1b zp+}vRcCxWIKap6mb$>TL5SmaW5%L`nr;p>R1`n#GS?mQk6wrB@6Tpr1qB!25f0vI! zUjZ{Je!pH-owBIsDqB%WOc+|MPpI>FtqOsJRt7I2Kw8%&W6f3jhXqvonyGZq{qfB# zZ$h}a!bI)=%`AG1m;0mwy!Av8TT?^Yu_9B?Z9uB)QVVOjC~<2Gtzik@#Ng{)yo20=}|)W%gm{^rkFKz@(cDXj_CEG``1buMLt z2UT~OV+b{1IjK`~1C_t|$M|@?CR;iHhJljIcU zQAbxhdYHf2d9L)W5RL^?W8&i?-sEaJFHQBRu@4lvTCcBKaVw2yk`P_-*V}--PA<2) zDbc#{E!7!lKG2JHL(7RrWyVnx_DsL&z5I$&uBkT^u_{Bt$+@N3iW@D2+qmksCgr`V zEugO#`#F9p2~NaHHgj0ZNALjN$xq;m6@!lvD^`^+-z@P5TM`f)3b zFbw*Oq4<&!p4GG_zuc_E?@ks83`|=dyW+$oeog&$TD-M(>;FU3S#ZS_F3ox%0~sv1 zyL)hV7~I_e!QI`1ySv^w=R0@*g}v6hx~r?6TE*_V4CVWCJ+JHV z!lxXtcT?lN{?PG-!+=9Xon6VUp?FMAITAGlw6n9b>Tz0^IzonHBPY-iL=#KQr;(ac zK^0-L-|&71C+sTrWkV7oLApco7KMf6BFgIO8Qjpp-OO02sZh7xUWLnJ?E*|tO2LMZ zm!LiB<6o)&F`wbh`k(jI4{z&ZS2nA)+aCOlTec6p?)sfL%JidK@5UQ_A{oV;3|VwG zW*yzLj9QMrWmqJLtcfp(1OUeg-h&)M$aOAy4T34Jc$S;)?HI}G{3v0WZWY2e8w}ge z_1&IYQ{kC$7E31#4`ncUvwS9gU;Qp7@3VKF@NxfM`T>#M=eA0D+Z;;F4+f~9$l$wi zRyy16x>XTseQxxV3d{_DbBeD~&*x1ws!kKUx*cE4W$bGjXIF`fPPKElVs~ z(SD0_yEeQGc<^UYnva@d^|-_&V6$a229gMd&`Duh!H6qMU1ql0BdQxbbyUFjPEd)- zMvYpLGs?hq;aN9b7odYB1|@G4sHN&mMWB>OBZ?Y^E*chC6Bg>LntjU*BWuT+KDU4p zd|L3pw@xWa>qS}#6f#1OZr%LkG`Jed#;D2*Y+3S?a?lpwRtHiPF)fq1?}>e<&M4u* zG;BfXL*o;S3?9uxeCn7h)%kNJ<#rgwMOMAPDJFd?)YRMDzBX&`eWx1?_Ye++kq=lkd3*_Svr=~-0c zWbWh$0ng3+S(ipx?FF?^7r1<#!+js7F0k*_QXw+L=66q)EUzlPoQ zdZ*wZ(jURjz$L|zte?hXag=dVYxw61ty>6UqL*t~wtSLN<8hN_Zb=Tr{EJ_m!%_V| z;jy(u(FZm;{N_oEb*V8|rmbBI-rtiX>cjib4d>g@ zj{su!?qwqzPp@*5Ol?T2vA?s}xm=Z%8^}^u1lgz>1*ohvc4^&aJBiX!t=ZFj7n;!R zmw{?MP!ivMhL9>yV&)}%WsPDn)ymEX+>R7Lv)qKpBJ!X}P8K(MXTYoA7>DPLw0G%z z3pie(Z@I`O5V$=gr&i2eVLmsw-KHO;Zq4!;m)SaZZCPRU-`N;eMaVWO?ZHelU^hJ- z&XbH2tUm5eAJ{Dp*saH&rw<t=Hci;sJUkNc8LdvA#Q=JPi% zuP0f<=b3WV(y$*t?(n_oR5wut9(|q6HNxz^O9N3jO=BX)&53)I3XP=2K!4LRITbn{ zVKgn|MEX%SFMIGEJpaX52>ag$!i|_p%}2qKfoE^b8<$V+hyu1F&Q?7b7#KW>D@I(# zT7kat45Pm40=HXY)QZ_MvsG0UUGzlH!c8rKo{&BgF8j5iF@z{tGwnzj;Niw+PO8xv zGNzoRR;CaVG&)15J__=}7>G?)$2D~X#o*0P=&Lp#ZFt3#;`)o!#$BU}?AUP@1fqki z>D8E)rafB*FB$WAjIHePY5NQ{L6wksTuyx%(o;4U@vFw$e2Sh-I&ljZcw4bz$qwsJ z^qtCehCoQx_}y-~Dr{8b|0tVQ$pNy1~dnmrVy!nkZ zDLC3GN03vNQc&xA#~bh&a&yC{QS6<80e0!B+Hr zBoB}rqPxf%k1VjTTd*xYq5hG>?`Y{>Zt4v2JG!yPbjLw`bZF6b*|2TtG zqQd%un#l-WMluQOTS=KRx0`zRrXCH(Jau(;^tSg%J6&DvFQ%yq?OEmrOe~)DO0@z250Vw_Aw^10%661eJGvlqbV@;PhmNNwI zcDwu@ng%5duC6c6u||Ky3N)CE_D7j@{lvx(!<)<2=N#AI^nMfPLkk4ZyA-B>!L6Ly zc-_84@$jaU6O*=d416mD*tr&7M^+HE@!J^M*_Zna3Ltm<<6t7TGEGUWeA-ZH|ID2C zjfNijG7-P_^?8w~>Uzd(_;{6+=yJ?-nRW{4eQr1jpuyW|kvezg?&I(OzC--+7>0xo zkdv2(*qfh%ww7<;RgmCi81>zjVb+-kYNSC0+g0+j>|HphR$p+73&w8p^B4NtIb*a{ zp<+RoAc(`H_8V5?_NINb3PZXYDOw-%%GrInD)r{`LMxTDPKrVPfL0mo)fg~7QtLpi zsG=ew!cAOR=8~R=16bDbW2MvJLX7zR@<8arOZ^O!=O<#O{+RE z_x&N{CH6xNOZJ4*mH;oCn}_2KLgc<540s(>%;A4JZ7jLj3IcgE2Jt%efm)N?E~0=%XJ>}VDt76;{KX%_ z?uD~3&ASXl!EDR}K{w2AitYub8ui3v&RSuz;%iIS02XToTLpCJFl5bPd51 zi!z0i4SCbUfdl?t)ohJ+qp6YJGBO|>$Qlt>mKhJ4oo0?i;j_4yl1V=$X7Vy(tql%l z*bkX1Se?5)^4>Aq$N~&;AJ5mN^5x_r4t|43yhV5egz@vv3nxabMTjTOcC3h;6sH6$ zS~f|oSQFlRgOHcq?P2lZNrj4v6My#i&cx>oF%w()z(C>5z(G!RC>WoBA$|8w16`E~6s68roq;11LLN~p`>g3vG*21j?| z<#_vdh(pGc4xfE?6qgFz}+2RX&j-!=^iA7;I|!7*x0z; zaHso~k8mtW6`{BKA7@;&xfmelr@=9UJJV)!klf6$7%5b|{)vRS`^n#(!I>sfNULLU zSKOlz4hPIOz;pgM^Qf|UU|~G@Xw&cR--Xw*TP)4o2sP=P=V&165*mC+K12KY?@Eep z&75p~KS>~5n4A(EiN)A}Thdie^Qzs&n|7Tfc;&1m90LD=wq(Z}`04UeNVc6-$%y`Y z-9J;C!V`zkp7YNQpZ#N)hxKa!>ujh04Mm5i#Ul&3knRTNBrk;INfR;rjAI}UTS$mMr}QAUGLRj}{a zXt<&@g#upwnJqX15ty~b9{dw3* z+`@kKT(;}rhySyH!%K?L<98uQdQez9b>rpF#kxhb6+0gB%hI6QRn*5-8WcrHz*Dc# zQ;tx;i{{6xVYOZMhwGw8$MMV8w%2FXLF7OwaJKBs+?vy%0JTCc#B%xGha3>V=0yB5 zXZLbX3}+fgBy=@t_(tgCQMGvC^|Vl(33@ygKo+{{dw1J8@tb;lQURLCZKI`80A?7> zD*T4?Rm9%dgoZ3cVQ}_ETB<8FHeMoQ%!H5mjVMv7IKJH%TL4Q^)(W5i(bNi?4QdyJ&9xHur_||5Y>Z?f)cSCo`xTAfX zeY-5i73z&M$SP&Eo*)=unW}HKVc+sq40E9jDh0+PmV=zEy@ULgEn`x|p~P40C*69} z&X>6adFT9Ica3YUk>cQ-5?d-*DZVBI_80u*;T9V(tFE47_cGJcv$0fJ0wpYb`4Nrj zPwLlu{%-|B7Jw-7Z%hVr7jGga#Y=GmxW7K3lxt8W12tr+&ZMuF`Obyv{Ib>jz0Iv^ zY`9u7kcqMp{L}pw^Q>D}QTVtTEi((*bu|EZNF2^U2k{^&gT0%F)GPt4QRrPsp28)S;OJ&taCkh zhZJ=?JI?+_;&ZoWK9jItoBfO&&D-j=8fz}IwN^Mt6-BECenlofKuP!#OGhg%^F~EP z!xeL1f`KPw%Hc`ha!mU-ph1`&&`PNsS#DQr$fPvT=!4GR zBZNUB*Zj4NS5VtOe}D_{?5^-)!i*GVFu>ESAB&mWxwW0|B@eGpeB1N(vGzz^TC3b2(hMfE=RNg9fcEzi zO-@~Mk-2zEjd`VAado#JtBmmsOdc6Kh3myN^+!`fLqWYiE_@!Z(gZIONAL9jTUX@N zL_WLq=8ei;$jq5ECMrmHZ5drH<|hG{V7LYyMRZ;GyFt3&7cNz8^2CD6eZ|t-XcDAF zWceUG3`BFA7)-{_aFh9zeE2)NC+j%K`%@EaaToIIB1IIQjFSq@zyvK2s=QptMh0q{ zT*-Km3_1=pPH`^*Dli3~baUxA(d*~0Ai2}@n!bQwLaVs(lB)@i)gS|Sx!MO$8Ay%T)ohp0-aHA`SgAg|hkJo(j4m zQ(GU}#dc!BntUA{t&ZD<(H{d;u@he>6vi1C6-4G57_aH18yfiaQ`b%yi(25j8atRx zVyv1WSP}{PBH2#FvOqwnk(g47N_j6qp1W7uT9eh_Kn$O^*TLM_n6rhTQ{TYk7{PcJ zz6@SjZ<_+vzEp90>qA*aEIh?1O(bBqFlo@Y$YZ zkyDn-u+w5t))4s;lIV<;q{8n$QV=O7b}Ko#5)$$|h$uFg!4WmjjQ+GvR&>;leabBS zWzB7pT>Sh;#tv9T_27xg^W$In~DflM)h! z?)Q#FG;}ahKPhYw!Gtmnj*Yjt*X>aKbV34x`}y;5?qdX`bb&A6973*&aqul{>1J3ItQ`1FyePV=mVkV4=u4tRY4-YxBkopD;H6!r_uN(#-XSV*rMw=RM2cs z70}Fm1K521k{S4qc-bQL!c0kpNJp3 zU)Sb*K92Q;Ze~9$l+^=nGy@P1pAih5*B}0f_5ZL&Vtt#{vPIu%s10}&BJ#T=C-%SK z``UiJ(#IM6;P>5HAyKW!wBe1!!5jx(i;LfPGTXyG8>h|nWdZ*2TJsMt8yj1_J%X~1 zI+R;HpgcSz{y_Z;5Q6okCEYm4!AIaIFj$_(JxY*SRjqjfHq=VI4ZQi(?{aa6UZ ze%jVYin!%()CKUdsIz1yJ|E{t~4f=Fme{WOE8|P{5k@jAB&97g-m!6{*ZFr1y@=@9L-;s&bjO?($vZ(Cgs>-;Ku9KTG%Dp38Kp?Z3hcwlX>Io zr8zt963D$8xmlAn;vnz;@KGrFsQ>KlV=whSkr1~o>~AjZl>lpV+;PSeuC&lp{r8oi z(C>q#*zMW>%xzSA1-xy~Z$+0n{M&11Dk2MBr!EMLi_c2wbMT)TWT@{+P;ic;tthM- zi4C*>xQ_nIo%H5Cx3;}{3Di4=zdlg7B)fJJ;gkY_KVU~-KB7Xwt_0mFZ&nG zqp_XxB=3o>V`56B;kWD@0$w(|KHdgn316OaKb``1j)m4TI5P$DwO7Mb&+cGXqbLP#?eW!c<|+@91Jw&qlH~MqzmA$ zO{P7jR-n;*HPFeV8 zas-ZaD^0Kp`-7rh>LVF#+3HCwG6$8UB!K(d%S82HTvpXc;xKC!EGbK0Dwx5^Ag@$O z2Ju@nkgPd526+2+MHVL;k&dJc0OgC!+;#1rX#A!~UbWpZwg~dE60S}14vOkm(8SfF zng~aR$5b{!WUDMID-)d;Gi&BAb7v0@Ce(N} zjtk?7O81f6A*z##0Yj8n@kb{#gN*GtplO5)1NaIuE6Zxx!z1vOFJ-VKj{hnN8}de% z@fJhfPR}1IPJ|%QJ1Nl1k7IT>&485)e!)mcy6@*zh)~K<{M%uW4f+{Qs0I!e$#C3P z_G0Y3&8kG?`4T&iJKZe+LrcR<9u`pMK~nbo_$m?6krzD`CrV(djmfDbrr~#r6!a5# zNd^XoK}T5^g8`Y}NHMs9=d@4nOqj;~Jr=(ss{X&N)lPZtl#W(PT_A$B@OUrnqN`>c zR|$F{5EsWCCzk+*q`M`71t9#wPY&V?gFyrPf-uE?Vv;F6j^J}*8#J{qj|Cb~vZk^R zde}!G-D97w;AI|*ht44x4eq8RudQB1LA61{W)9w4@+$ z{h*4?$@rS8H+`1s&5XbPGse)#nRNLfr8i&2Owh5(<~#8)Cxsq4R5Mmw-#C=nZ>ZvB%zldg8jCQRs+fP)cCj;oov z3g*Tmj6K!QaTWDeF$LoegcSG{b7K8o!J=Z!hdId}ZcdFI=uc=F-NEKV2^j@v%7 z+-biPie!#^?q(SCLGz?4or5$kfh{0-GICBsW*-r~Gh~7dAzoKo5s!oF){WngmBG6C z8I2g1EthU|`f*M|Qj*JI<8@fk|9UIvJ0O014*+08=ydflyFQF7)J@U5ELUMyjWwYM z#NVqGY}#o90zoFdN&RJLTB8LZ)g0?_UfSAs=xRq-x+-7`<3)?9o2@yr1U*3xqD}sp z?G-$(ew3$W#{!0_3rF+5dFv;Dj1kJpzu`K{lcv4(5(GOJ>~X_J+U!Zoz~!9KLaPc4 z`IPMmEUvVf`jnyoW`11Yw3RhkHlxAU;;L?BPj{yJ6Jk#5*-vff^H1j-DfMs7*3vem zq_lx!StxsGs+v+5O9?&9k;XTTOaWe!f*z)xRgKk-cTWe3vGcJ61Vcr$jlaLR^4TZ z^t1SDFd#kqf;PjB(x?>T`9cs6#1xqW}l{Rt3Pt%O*#K8pW0>mEIl-mE8L zV^o{ygsE`zqTj#Thq5eGXHKD0Lk>@A)FMcH*3Vn)4W~(1IKv|&gnz)+I!TBksR{$3 z!e9}cV+kC@5P(o3z=OFK1%K;KiYprflalqKr*od`LL?QQ{*;3+tmUSCD2gk9cI6Q~UHixVXX=F(hT7gQ=zScw)b%|D@ObjLpBSxM#caf&`i70^_dT@RNxoo5G( zw&QG{<1t*J%4+;F`6Joq#K+1-9RFG~a=Y&y!W`I2wS55~A|iHtAb(8Vbo^%?*EICI zgWx&i99JK^;=(U{HeVn~k!$RLrvS*Q>b+#+HGyX$$#dXG-qulVDnRLN3r;xEDcoW6 z&)!hCWtUGrOTHprLY_!^r5b&8b+v=f>g2=it&Yvk5Od=Uyht?5g3jhc^Nt$bo`e61 zuA;z`nqY2}39M9dRFF83gwu&Y972^FWomzQ7DX(G4+jl5h?4=E7CgIZQ#S5*(Kox^ zz9_J>fwg{C15A2|z;YO5Qw=b(ffOY1Gyq*FrErk#KMJmreLwODk+^_d*O8voXwxDK)pf~BR90dEi7Zc7m%zJB4QdBv#`K4 z{P9YUmD|bgQ04nDoZyo~kX`;W$K4PdMlFWQ?cg?gci?g7Rs=ivUD7>PsNTZ50Eh8Z z2A4i1W4)=OnDO9TP$z>y0@qO5WT$ZUpSJ9cz}b6H(Df8JUXic%*ROWQ1FBjcP92}u zwg}_-+ol&dUU+iYzD%Z*WuFdqR@{9yUfSQ9*7v7oPoe8a_Z_1QY%KjWPPy=8q}Azbl@l6J>#9)NlMI z&x<|Y3cJ-*IKLz+j^Di&-DppGlh&`QgwJV}r$#onMfv=Wjg|8~R)vH!>1+S^ z?yTU~blqka{}>pE>`E&!$L_S}KF${Zqq)kj~;W1%XWU(zwO z67AP(byWdnB+o%EUt!O|Ddvi_R%BO4bs;3QQY-B-_4y@~s8mEMQqUpbAxqm+o~FE3 z-Bl%H^!{B7);*PCjmowfi>f*!#rDwHRO@j$MY%mzZ8G`cUrA#|h@5-Wc)0{O<DCY0+o&dVSyOmacmf^dSH3#YUrlpVPSyZK1l$j+u(Zr)uhOpt$0 zR|4xINd1E4!(Url5FTgYfa)e{D^6;jD07ySr21hUz_b3(E@VmLMTE6XGMNK|_rJ@d)WxQO zLokSVz1cHITMt|`z@&mNr;Px|^R@%~jSjEL9D&jlyxd9!W$ZLQ9x7^T&1xODS$QtI z<%Ye-?g)xjheJyajO+qRMA7IZ4vfksp7 z>zz(J12mDVt1Hc75jJUNRB{q=-y9u1pRV^-TkJB^)9vl-VtOrG29teZ7#c5mGAFdV ze8tPzXXodwwAe`j-~qZ~4AFW$mH}ISpWfC#Za%irD)1;61sZg*CS>c=)88qSwba4> zbX)>F`g96blB5|lU(#Xziim?re4XE!HO+qI{Dd3(A`XK4RRl#6xkD2fe%S8_0)$&a zP^DQe6WXoz>u(R6hL!VZ-%C^t&-PB=z4Zhm9dr(7LP&AZ-} z#6EP`_dC4zy1aZIsYjj6|Kqo~oL1$K$uC$qy2p0w`&FAfJ%V(Ac?lX{q~O!)K@tC5mtxoDW#f)!*80`rA8$?o2K_)o z(|*>Kd!Th4}Z+=^j9VPub4cl3^QcUkN=f#)drlMA}lnG zsTl)?N(sRb7SHnCxMW!BZBb|_2pLgrWHa3;k|kaU=tiA8{F3S&8xDU<)EUNcO*33J$tatXV6_U` zM%Kg0!h=m=hLlBcFs|Q`GMTL9jo`bXLES++NytJC)jH)3f88vq|GXy7=Y$o0QjHV5 zI=$?+%%3uj$Pu@67riahXjr1OT7fuo;8NL zM~qCYv^i6QnGxC#0%-9v@J}9CXpyLJvRHO|k_z;fB9=yNGpu5EGCQ#+yKtw+lp-S#Z$Gcm*BS3&AAZII2%F*o!hDSso0oObEdGse1 zua${Vr#J0zLu4?3qs+TJSQ%p+Gej7?`4Dl4k@Cm6jQ|J*;Lcg~MA7*Mm>K5x1WJ%3 zd`IWep6$jg;7ykowk@oPfp}tDH{Zu0O>?zut2Q<5=RNc_L^%!B*#h(2EKpQ{CXFJ} zjqcQ5W>a$`ulJ_|{Yei(&7}bUp4QwbI+iE;Z zd9hydPv6DT<-(R(K_JWO?>f~gD3r132KOfu?5nlGj@{MSYX7H13rBaLagfn9x#s6ufYWSBNX9Ry^D@O$CF?~ujM*#`E%uK*ck?B^J+9d_yE)1w_vQPI z;O)Je!`sbSTeL#p!lc1G0)XjH-ARF4wPaZ3nHSg7iaW;IU`}SoU9aNTW5|@0`+6q- z@sNFz%cn+=3x|MfQG&*FGOX8@PY5vZF}pbE^69|SnascMczF+4WdwYN9mx&Yd^)K9 zs&{XL+`3C3sf@B(qj7<7A@uQ*vo3q_JV=Q!hV~atPGq3YT@TF5!t6Y^GJJ%)=mKTs zThie+cq18ye0R@)hfCb{(jKSh`!}>$g)wauB_G7K><2&-A5a%|t+;X17p^t>qLCIY zrKS=R!A@J;Y`u{s&6k$kFa~W4k*UOVC~t-8$5PEEGDcVtzhCl$2gzD zVNUOOcW2e&n)0ZWppvr?p~{#Pi4{h<@8^ki&`VHoK&7`iQn#!!W&*9CgCWJSyLg|d;!?)oMQoxG^B zC-Gt>O2cIPalsh`nJuU`h}v@botW%rLaf{0CyEQu3p+gb8U%bsjKC|?03ALB+ihk} zIF1c1^#18%%BYHb@7-yE6l)}QnzN``EF7NuH7yH^c0$}!+o)@8p$4>im)pLG>G~^5 z*@Y%I6%4+d%vP*vWim1uIPT$H#0ZJGp&BhDECL|BoqAYh%b^K^5?z@%wCE{~BZxg@ z%odtmI1<#EObqPVYA7;chL1{}R{jEFU0j!_KetzO8S-q57+K>zl-)0bc1>iSk;Nb} zLD%Jsy*74IichC+wziSHsKNfSk-hvhBFhQN3wLgU{wLwbY&1#E21@*1_i`EJ$}1mZ z0N=*zRf21xVDm$VE+zG={%@n+u!V(%T^J{VO}9n;6q&t#{V1Tlk$(GRBy)ke$W$QU zT$aJirLrMLV|B6XzPYvYta{Ix^6BpVg%}W+`97(;aa$u4DJk(6o;eD|MZm6Mfy$H( zY-?q=-sV!8E3@YkN`Zht-mst`Cuh6%OPLl^B4LNy34`*}NK79L1}PejO*KbsA)Jw; zWm)YXCXg7|wW-C4pPBntUVD3Iv)RY{n+&~8cZ0l+)3R;m!E^58%cjp`kOQZp)j=$y zhwt6&#rs(tqd`l79;2b#vRRamkNJrC;>PqR$+!?@VDQ`_P_)+`1}f8C$!&!MB~UBq z!bXptY~K1VrPh}c;JbsrDOIc@G~~O2%-8F_@{>m5Bz1S=F2n4BpX0ejXtT>(*$ZNh<==yT7=5f>`=k6I< zFhTL;obw~!Vt}ifreh~X*8hz-$7Zr!WQ^8u-PvsNzH?UK%z(2v;|Bq_MTKqMZsXvO z%Pm)c`%?=3s;*0HGb=A<*Z%beN0f`h$0#B3M|F%tK>xPkVP{#C^9vk5C zw(IE6<8~L5|JG~e7) zFChXNST?YK;<3TT%HQBQotSC=%UfF0|4qBnZxSl7op{52Qw;fShUx9~IH%@*;dZ(= z;o?cf!6cjAft)N1Vniiybb*)ZPC7x0`8UI(&&zMcTsi#ItBoHT_MAf!$cz#MLZemD zv5}_;PLPLfOHBE=og^_@Sg9WqX-3l=eQIhfTU6yG1peFV+ntl+Nx91WE?L;-j+VzGq%}L4U{ql0U@Y4Xvw;g&~XI8l)HqJcY!3Y(HpVtAL z_y5MKs%bD7ED9}yy2@6fmwX6dfhUcMpTWYkxj3A9yh5icW@g{Xqlx?^j2zT>B*-L> z_Bm%CBrL^ag;cK^vwlVXx>hfiC!k4d4xY(NCX4$C2lLk(q+LwM(SFhGs+OWwLXD_y z#(TM@5eHUx5tB4k!+xk#8B#{n<4`84r@_nNKmM(nk3?{cei;Mt67yp(R zj4MBt)68#IizXMN;q}rnSvSi8YT*Fd?1|NK3DJ0#!!Q+5J#(wcp|f(<9}18Ye#Te3 zVVZXXyDFn2wCY+Ps?H{JhRm`mIjcB|mUKh`GO=)(=xtwmwsygc@ETurQ*n@Ji!OW) zz{*YE{s!07)O`B<#j+Z8T8FQc(Itc1_t9}aqiF_^PXaB%)9}fJZ4cuIXN$=0bB=SC zIbW3W42SjfSDuN!rKO=u$FFIttuIf8p0g*09anrGH!h6X2!?M19)vZGP1014uE$Ws_QF`@T0x9r%fCbL@<0xPn$jb`Z~OGR&A4MFN>0F*T=u=1lG8ZK?F zZd#BfMaw>wC%dnk!iUFeQE;-5^2QcQxivj}%o@J!>vgDoMnmroUB6+_R+N6Z1ELoTYGrrdlz5r*#!mt@0Tqg3e|t8 z2__~cZ*Ol%;wGL)T!{z6m zxoq7ZliJEsUt~yYbQ687FK%0j2%pnOeKZ)yhzQC|{oWp3&?SFVI&>NRlkBRi#ahmf z*s5mIRU6{YeV&;9^SpQG634-*f^>GM=D8laeo#fj-w4_F2wJh%h9RhfT3vtNruz#+ z0nZb+x7rTZzaw38^~NehlrYGnDoCeb7QN+MuT^?1sO}bQDqR-^43`yE$n3TOk*nT% z7LomKH7C4Bkq|!aRwb)2!IuQkfzh=Xq+~^YDc2Z)roHS;rjMYBb4vF&!@4b#LToS) z1P;jy<>92_+JK)12+s)m+tyCT2v(i#E4Ip65Wgf1>%mC2wbAjc~a7h zCs#%~dn-w^DTGU8PX>ql6X*U<&vn?k=ye_$g=kS9sRvg47!Qa2Ghy~`bIzZ`@^5Fn zlv)5OrHHyY+$pjGVRlx)qfRI+-TYQ}ey@kn)|&6z-hr*oL$$-Y*Y07xvz7M^M;fE8 z0}@i&C?+@x2zOI2n6Bo)E#jvxci3KEzYrM~MhbX^x$K6I?cPcmAS7t;y5CBSTqw*( zClWfADiuNw*6VPb7PrwP#N!rZ=3iN#PaiH~%)Ey|us_$mbSN}IN6$a;V#dCghQI-K zyD*q^=lDf4{!cbSBBT-lpt3F$G~42C_i0}?At8y7qM1el0dR=Nw{`_|2BpSY{k*N)%nCbS?Y|Tyqr34DeX!tH|ni4RG@JHa-Sf6RC z^Rgb3Sme-EGigPEdF72r^)X+O6iJmOoL^Z{vT|&!HR1dcniaZNY^E5EPE&M^dKsDc zHV!3hAj*sZV@2$e6w-1sh#g))G|5lO7X%<3@A`MWG(0Jz`!{<1khCarx?5q z%0!ywP!J#wMtBM!fux88%Wu+C#H{g6i%@tG62R9FQ&xIz;vTL0cN0RhV{)#e<T3K0~FW;=X*fMb&cxOhls_ZiXUt&to| zW^4l`jLKq6_7oWLHL@8HKW1QAysT638&^wLc5y>ral_-`sMAcI;C#)05#OP$2$V8N zv~d+l&!h=h)bFU%`$U0CkCZI{4G!InH~!c6AVJF*agXz@Wh!wT8vr@+!0kPE1?;70 zLU%*F-V;0rS&$2~oTB2v&C{&E>9}@@YXO_lSzO0jUHHLNNeW|9|Kp*Qosnkb!KpH8 z>WI0v;ew0hDngR>N0DS^{Y)yPE86_k;_F45rTz(ednvf6bL)NF?(^pJwfKK@oeK}b z7-Ro~%2Yug$Nj&ACh5sFKIz)!;t(7~%l?DCJ|_OT_1y@^&0y|wk}RKU|6?#mbyWN8 z$Aj!k~-#KYAnK->9YO&lk-)XvKq7l&ODRZKvN7?FMgMLR+6a=2o0PDh7 zSp3DM*MgH#WVi41E@(MuwA~OnO8J4|(eZ~Qb;8>QNN`MC^|YcuXf_)^DC%()s;E_h zuobHuk8xh3@1G=OlvwbqY(6M&h0$P9K2KlGr0db6X5kRfu{4atEKH1aM z`vQ<097RW0tvQ)skuEdI1_DTfc7Da4u(unNG31&1*h{&s|1vi$chZqsay^zOQOX{p z(#s{b4`f6A*T+mzZckBgq8tLSSK$#QQLBJTN8^f~T_+?9PF1f~*{HLc?m2I9_Ih1D z$kA1n6|-9gV%-`tqMgW$^a`on^* zAq$Zh4k#X=gN5K`z#AD3K&V5*mWE=duH4-yzxW*sM9RuapEA^U06|2nYyTppESz_AmU89OR@Q$2OR7YZ#2h22_WV&f_ zk(*g?>VT1bqNiATL!NBKd6+5AGXoTgH1%kd{@=sYqhqgEAnj)4u{JFD*|73~Qm7#< z8P4m@lv{Pj^k7mLZIJ{NJ9h1wahk>PJ)V$?JjFhO&s{C`M|7#$uBhyF^K_ZBXwTNp zUTK3yb|#3H=Gnj!!ihRJQ2iQ#O7uTaLRmy} zg8<|`QuR@KQW$zjmUcG6(0Nd5=udMehh@f0i0ab$0MM!q3jJ9LxvKRvFY=p*P~GS# z*2MY6H;|Gw;)?MAJXF1;_s5mVm+qN!7>S?B!A<7RP%CmsDaT!1T|hqC;>4D*bV%zZ z^Cdlmt1SCfEHF4EoUMM{<&rtIUnJ$wVk?W64n8CYJ#dLeCB%P(I?#_Vmw)ztludO~ zsB^D@-ku0w03L^v-!`btU|OL8#Yo|uOasrJotfU%$Jq)GCs7*FR!(Nj+{jjZDUt?2 zVQg+*5(Yib042RN+ncFE!F>IglCA!9-AA+!9wE^h zBtT-c4Nw(AA)CLgb=CW$Lkk_4JCenz{uL_<+rTq_!Q0al2Y|(>(`4Kq=>rk|4B2vMriVyFZB6epZ`9`-oUE*v_a4uRXW8#dwGKErppRX)_SNf&#S5XX zGbH*=NBs{WzZ)gzj}m?k<>+%i>s$FnrYr`D#n=5p^nOciMG0UQEiCe1k&MwG)X#0{fi$ z!r&O-9LJ=>DN?P0D7wlrjao)ECY~4xTH(gQT4?^z@QW|`;qb~J7+}UtOt}0}L>~b! z21?6aO?z_rkQoE*#^8CAfJGj1f4PS3f!$YjHm&#N3ON&ihf z9M-LQzI>oQ6fork4yh`R-EhBF<2@%+s>pEbbms%CqSkqzBcAaczp=u(Yh8c+cZt3*>0>%zW@D!#^DwB*shBkMj6Q^k62 zH0Sz#Pw(YOcIE1+M5Yv0vF|KK6_oS-mq(?!49kD(X)1eDgBEtEW6NAe6H`v^6;g9> zvvj&#l_N=WX=wt3j)qC#(>(5e<~cw0%ceDzK=n1oH>ksrI}_An6~ih);ZI7h9!H24 zy3@oQnEK&_hO>JEY;m>S5a?h?jq>FA(iudzVakEPP#8rtsk~?E-N7PqhLUXdK^ZIH zy)DD;L?5QK6aM4*S={;fx}H|zeaL8dB+wX5-n)RGjgz;@Y8@6hC4KhfnxV=R8{(L# zPztHRTDiZ!cW!&#`i`6`Q!KY1-L@Xo>4SW_{(|1wTxvlzJSrtN4=D=YF5yR?&}gS+ zaTCen^PkH4h4oX+n@9gy7LErFy)X%!dt5YzgDP5}g3{4vbg}8N1xbfFUf-}O+I>97 zd7!Estyu}xs?jw=&Ug^ABt&lih%Oj%TZfd{xMgxVypLH3npFGMORCDsA^?1M$%&=? z$M>#+_V*!Lp@giOVb z9XJLa_S67dA0s+tOJSh%;m#)StE7Xz%fFR{)(%@E#->&Q&+RPC(B=IU(aC(pHUCHA zE^kLG!vW=`M3;8m&IpyJCThPUZM*t}fR8tq7haV@)qjaOt{#;hPs@aH0zx$)Y`4;! z4X(F+Lx0N);;fH+L#@^IV?u%|hEo|r{Bi>ydkftS{XYx=tA+**dE>-cpx-*3H5v|Q z1#4){KP0P|_C^$vd)ETil2*@o%M2 zyA0tD1cXy`Cq&?ATamisz$qs&hhyd^0VxtcSR*1u)g$1*hJhc@KkfbLpnAU z;^O;Cq?Xc!o@hVF>ZRa0;OWvar;@^cR%SQ8PV|;M{rn+!bF~?pzCTt<^#-4_!~B)W z+ll=D(exHvZFXJPcCZ$AmjY>V*W&K(9^8r+D-?H!7I)VG!2<<~yBBvT?rz1uT<>## z`2iWp$j&;~UTe-{p5h={N?98s{K?1`6%hJ54z>Txat#0XTVQIkjlc^jG5m!%jY!qI zKx)?lKt5R_gcOi=x1al`#qkH_ySm~=$d7KS7<0VlN^s?*Nr!(wCjcOa(8z;DZzlvq zkKCl^wXWAwDVr&Ag??-(ot8Ym zE{Ho6AfXYlr826DnkmiBXB>mPy8pOK111a_^Z%q;;7m>dYM+L>5U@nF=<&TxUPf*e zb-Shs0NC}n$;PuLUjCE#jCg|uw3S`((K%eTvsGcJ(EyZR%4r%J`6=f37I8cSX(;*J znt+}_4hdyN(m)ErQg=Lu@ds04z%*=6(1@WFtwC5n-$(xeL7@sB)qL`j%W)e|(sQkC zd!B59tyqAnDHBKd4*(%#@R7*9OoJhTIDwR%Ib*~RjL(uZjE;px6w@CR6y(7Bbd}cm z44e7x!k`sMN%Z!4tkvyK*Ob4{@3G?I2VFdNd;BfQ*#>_&tq_qE;o}k`q74D4#NZVG zUoags<6}@oUz!87ax+9`)IDxl%Vj=F;6(N4M{PVdde11RS?6?!)KX>}5q zJQ^0uF_dtSV2CSmN~f=Z+}J5nvj*8A9$)(1>W9XxoS5|~4S6bZY05|vdF!I~k zYRmQGan1R`L3(z!(x=Y7fyG5)z<)@Kf-dC+=iJQfe=dYM@xf238v-{+Oy2AF1KOY8 zx^-mL4cDXM$SEN(VbFKhQz7>2JS@!Lhv8vw`xPB;UVr4)idD*dF5NzTQMc8|bRRQ~ z%_(XdVE3%pPwvGAG*U$g*(asHkCe;DjSyinN69P-VGbk(s3%u6r0nigVCFEVbL|I` zRVy2)QQJYdsU)V&c!4^BxO1o))%*W4iXo)^n_E_4P4llEXJ@ySsc>C*s*Wa5yMBJA zR7*pp7H;qP$6ElqfB;EZCK|UT9+JGU_+jFZ zrZ+~3;wox>7+VEu82#Pe4B{f&p^0>mgbGZo^n=4oz1F2nkOUO=oRHDG{f z8QC4#k4z95M?B@CDT5AxE9Y;mzh?g;vPV7R`+QT#AY@TO44`^vI*n{z(VgsC1lB;w zXUdqPk;3>Xzj}&H(iKc>Mq{gvPil&&^(Ws?Syh#ctZvMJ5+0Sx@Li~c^P~m*pGR%icPopNHO6RW#470M5dC)Zg;xYs z;f5N$SVfwn6NA6lTFSn@UyBlZ(>? zZkZ9lOXWDTj3rxlxGeNGuYMI5$ui?0y{UsSiW|$FaaJypwa5W`UdXw$(}y=OFm4aK zQV9$Wi7+!WTRF(s2fhd`DOmq z1w=i)N5w9L4_}JM(m0x9Wt9Qm^f z8m+|%pPy{*)EX0rOvl_dvw%UmDpaE7z#NH<0}NuPxxPW&r)3+CVdByxGV$mQcpjzf zSNB@}DC#*wa}HyAu^s^c#2F~>n2gOf!zG%yqN#Wj(tu3VfFLiqiUOXnz|di}&p_aw z!E(g=`2WgNT<^?DSR^j^9@fekKnM}VAv&YGt^n-t%@{)^z|Y^Wuf?rnlh=k?l$*Z(;y0t-vKfdOjvebX)A>-vOb|AN2iafE2+{mJe_z#}B? z6zP5f(%YLPZn)llS$G!klA}Dc`_EJ4^*Q)krv_K_Ph?P>xNYZESCr>keHH04+p3SR zyr)1AlLyBBK$`QJBM8G$x6<=?@70k_$ISCe7&QQKF?V>&0%=k)-8f9(K5KEc0OOs-n%kSl#uDxf`vf&mNNc`UcCsVyG|)!n~UT}3C`l1 z#PtJt6gdEf!6=4RoXkhXBaz0q(INUw1`58WV5E6Kt(n<=_~*6c=i}CX&?uqFF@hG{ z*>C5;0t8v+TA3@OdRpcQuZ<3SCZ?3(Uv2l~i_P~B?gKR_O^uBW+x1gGf!H{9L8;6? zG*;r|!HY7%62);~YJT{@15?R$XA!!r|2L+7JC?x4M@H?JL#Kxt1Uhi>pI<~-y!SyH z6%@p^;RB89veZpNCq6bXzTpD|snXpQV6LSPfYGg7j zz@EJoWetP6>zf%FQ&&@|9XFsKtL*^An0X_uz0`m1c)-KUGRTUT&E3{YKBq z%geH~N+V+;S(3|aFNp33Rvace2E};k+9+F#m#NUQpY81MUItV?`Q1;%pYl10&Yypy zmY5Y=z_B#&mO6L{Yio;kB?q}6>O{-rwX?OxR@t*qZ7Ov6BrauAa z4dSGvT<|}BQ79%|j22UibNYVmEbPBQVFr2JI_*5v6)j$?GMh#Y6$|y~-)Qv@;foK6 zgw?k>0|>N)CHkX;IM9CVW=zRbRW*^y4k8trkwtii!m5DZi)WL<7at)bi#}-ZWpr%b zyF-*yAam3j&^3jLYOe8Kwjx!r{Y7!(&c5@hCg7=ni1H4J$oT3o;Ay2A6A)PTjnd~V zOqemr4IdrrLljUelNi`V{8LOw3^!$`78Uu}zfUYstwM`V_B|XxH6p-hAtyZ@h7;(5 zF+v4l#%p0=KiF!`#P_lvW*5uK&K6=Bar}lBGH@)X zi7TOdYmH@?7&@;ng0iq1*}%xk>+|8;)5Pt61tQ>io(EwY+7X|u@vkRg8m3^fsk0;W zcAA4Zs zWp!o`N@Rp17McQpK~h8hD@?*QhIJ3ZKX^Us>P2t=UE`TNt^c*JIcmRKoiKUHC;?ow zoPRKE=1WsP{Nx5fyrb-NIrwjv-@Nhb-+70(>38P=gW-RSNz>z?GQSl0L&~F*y|c&l zvVjl&-+aZh?k(t6dxpgFhwH|AaQk)a-{ZiclU2$y0WFy4=kSX~xI(YCZU`6>wA5kc zYkOGPr1><2E6L|L@dsTUsoqaDx{pG!$wP==C6x=-A9TD%EDmqN;)vMv@&O*^Yl9f~ zLO5cdY)7y@aRa7zNhvew`3=-67sXuaeJ{G|B;UtF@d?RAIqt%0{I0EZjplQmg}p3o zM`r{>?2_5=x6*OcaRWfb?^uX&P~`Q+0ks%H-qRlcx=nF&;WMpwFOP(_&fOAdNQ~?P zOZu`I85}3RSssrwecUPKnxi5oC$s)0r-`j1Mo!PH6yr+XsaFxFnrtNMOoE?OsSPHL z#xPhG7;>YC`)uT4p=hA0G}%`^07;8{gY_Zpce|3={zd z=O-&mrXzclpl}yT{sjQ+G~_4Be=D?biU{DWczLk zG1=$-+wi`$VTrJ_9h;1Zyv+9dF&fRrtt*JhZte)Qg85~azvUa;`zd*LoFeWMe^sY- zYR*LXZ+y75z)xa`UFhoMO;DoXd`>*A6czm|Bno?;Ux&k)$@+!J6bMV`01fhx?Lee* zPUN8I%}qbL_?&dRN!Dlwv*zD%ItZo<_w>rtn4#JqfH#gi|M5ss`_gLh7`4icCN!#!+lcqE$ zyTHrhYls=Y#?V;4IF~7Y{WK;uRU$3`jw!y@+8!DD&HamAX{F62rT{c!c#=tUgI70IvJqI<)JGC3FGcGJyr7K@C`PR44`954zt| zj#+Zr9P#BP&%StFA;9PJu$+(k9!(V83-Lc5jrvT0=IWpH9^wZhKCE)E0@2#0ft=dW z0o#<{s!cNAM0Ia(JxiL-vtH+mdSOFvR|~x-SN;@E31H}hwI=b{fW)sZ*O5mCHJq_*FNznglux3-`3amR0)eV zo+I{!B3O-P9_ijX1Uc)=nfi5f*hXG%9p8UD$unNhdU)(bFzI-cawujw=iU4>oqID6 zO6t6i0&%7AEiDvQ&%%miw(9YGcG68|RZ4uY;7f1z+A4@CxUt6n_pb0%3nrsDR4>y7 zq)Yt(GYQ3qxHu^G%S*!~0~S&V8U`q0bz0wqChz^=&3_WLkQUX}23pY~+Aq=iFAW7o z*{uvm&tts2&(M_31Zk%yG(yd#(=)^nT!G^J5&Yk-sI#{o-Amhes5!Yw0>v;|1kCcK zh`A2eKbkS-fMC}D8H8IsqQ*GhgUJcLh%`{mHC$!T~P3dqyL=nEv?irJ; zn$)Mw0-HQp%A3_?yWfHNg+t4w%;ThyGLRev+GA?TavF6$&Sl_e%6~T=9MWTG zQsG_LD>K-pujiFX@NLDYBhDbf(~daC$AlM`hUwQ(&cKrnC{p)0U1} z@R#WIj~$eBSbEF)y^^$W>kql5JALgBw6{?fuM8ZsC}aE0UM%wLSH%v*g}E%+$g&{#;A!u37pwmk#PVU4bA z#H9rGH9h$^t0XcvJ`dL<&Qh)wjR|E)p{0-!<7d;&$!%iZDa}!m<*8VI`O}Tyw*-qQ z{>~5}D*RJmn93WWQuEi={3+uIcPBfUJMt&jGr=+%6c}!%QBT z!VT5SQ+Nm~c^fd{S3>t;#?RS{?McQEl9KImTsX2q151s=#v%${mlh3q22=-Z_+oOp z6a}0`s`3wu?G~5yJe^NvdFw6Lm+ftNI%8-=EGzD>+AZ_dy*_!IV#|sMeRW`tw&Aa# zP$j9{{Rp$gxjCrsg9gA9Em`l0HxM>q`c>aQ)1j^ zG3mT(_J7eOAIzwD2k43XYb#Y1O)e;+$4JyB;8V!g=zy0~smbnD6fGWy>I*8@V=77Z zu0xhBe0zm04MeWTxWHPB$iZMRc*j?j8W@d?L*vk{k4Nq**P=XpO9`1OMoGK1gb4>! zH~7d6-vt^eH1C5J3KrP`J6r-;(=ZKGz&~fUT4d@SE)~zpG6E8qeO4ZPF3eSpf7kd_ zx7n24=CNQoQgjz=PqLNn9NJ(5A6%ZXF2t-*z3RXbq2Oif|{X=vjRj3 zcfWlmHH)eTq+#dUQ-!|b9JpO+XWRMM-W?xohykgaUf5jWXJ(Sc`E>yq3`ZqdGrlnI zeTZ%F+i=IeEUd==d}juEuC-ZM^-!GuGTCvX)iEJ;C3+- zHI-23K#LdVLhRxku>a`6#94j4qdAFz83)C`+O>mp*03c_#>Oi3YFv}i5KJd z*_sqLSfMjZ?kSCl0rz0=P9loTP72AJ$6lV|FIlI+&R$D!cELAlS5StJ9 zoj$saXH#?9vQ_ADuqUS(pXg7Z16=ew7)qtX4A&uv35QM5Q z@4ULVU;9TvTS#Ht00&Aw9f*pLafM#CQdvDy+CAIJDXf?Q%qO*VdgLxnpo-pUH~z;H zjs<42;I8n%YpVZ^y4W1Wc@+KRG&QBeoM>nGg$degJ0?r-IOiU7_DaaB^cM9n2!csvaK1Pi?*N{-CA!zHdEJmfhH{D`EVq9p)mJ@8`yx{AY) zLAnXVeBnu^v{Be-`aVV1_yHE@JYYbE*!{WV62scx<#jDKVK_=SM6%Z+X@^P? zHMrT?y|(^cI9u5U`vIDAs0p7pRBDcY^X*K#z%ycz%^z{!S0T^ zR)i1_7IZ)#&;~86XwdrgY~y^qbk=_3pVK@(4}!6#_!PpQ<>8yiT4o& z7z4Msg~DtI@V66PHAgO$^#&;A!d}s}i4q!X2p_QYP1C^iWH zcgFtuv9r;O)%I*oznk7%SX1?8>m?sLEYC1lqk;kGvP#Qqu4=~cUIF~A;z5IrKVW<2 zcjR;)yH@)q^=9fde=oYu+Mi&1U3k&`x52b?o^T3XajqF>-%Ly#WId*N zP9Q4Ue4BdIbCx&9gVNEGZ<1j+9RYkIrx+(rzfqapLY)lombdbRip~s9hjZq?@ zDknlT{qzCZN80!ng}y{$Z2Zb276u>=MliAry|oHoU|*pgcVQVeaiXs99Z4@zEK`y^_$ICZVL^`94+uhFef^X_1C@8Vk|wCut(k z0ri%lg!Gg_%51RDydb|lI8P$1pbm~KVrn39*lWK=-#o0N`8JS1cF`MC%O?8t)IdI> z%r)2ul48G0f)|g#jFTZgYmt&qm<)wI{3Jv)StiK^^fHP0CF;Rt&BCZLBl6To#Q8M4 zxEbuIt4bn4HUGbbCDTx8qjR)R$B0dO3rDWS$op(58tvuauVn&8mX@7a^?0yV6guAo zG9xTqki`mU5ef+n(|~18`ZWm++a5yZg|3jE;W3+gE)#3!vkwKaLXKcRXl&&Tjb4U&I`N?z^9{&+BZ| zId&O8aFz2u@B8M1bI5okMkvuIkYy6};rM1J?!zD8K)!bNzm_dOgv0^vv@`3hr0H z{zd!7O1<@$mA%n<&nDJu@tpMR4$o1y2vv0Gt|2%7xKp}D@~~xe|CZ>>j3{+QXz^ew zTmpVQN2F~AbQ&Hvyx`coM}jWtKw8Rv@cM7i`ww0>Q?ltx^*;-XsVyMd3jHoskwTM| zI`-$lBM=G1XU3RvXgZm%dS5#HW- z|Y+aVT0oPIrB+G`?9nlj_uOXVt_2KK+IgF!Fnu@rUh@O0(j#^%vDw$6t_Xv{YXav^W`-%zNjk~070;z6=vu3`&p!+)An z>bo`U_yeGwTBTEyN9-t*1{jFQ6VCC7^Y`1tDt9p^&Lpo5U_{Di^cXC zpw<4AHglo)94(2qxV)sgqhFa3=|P^EnKo{tv6OGEk(0Y$Y*+VFfkTleRvI#AywoZ~ zPq3`VUzdnq7+`*3+I)QTB(h(-oRssykY3@T6~EsC-a&~rp8vexmXQ!W>0>^=?E~J{s{w(y7r6*NPq0nt_1Y-6QHR6$MZz!=QyY=suL9v_ zQ^(0UB4#%+jDB`vicxhG;8gNBqAkc~xxg+G!gGiW!2kl(6rMVqA;L&}9B#Bp90N)! zD)52Ft!1}$%GhDYt$ibz?e2_G#X#496lKsa(8%%Pe^@X26w`{%yz(BVhw^+Y<=bwp&Qma3i^K&HF3bYpFT{SDSL>7gil+rO z!2x~F$fZGWp^Z@9`k-mjgg_)|1b7Mb{4n>Nx={FA1{8@ zZ(;TRFRd}3tB4s=qi1IPGPIDSJ`S3z9|;ZUH|XKO0j-qPXz-c|QFnX@?8((E1F?x6 zg+b2Ww2u^U4Wj6F@=eX(Na6JG|JVlcv^t3SI2pxBSO83miM2-NlGETZk0m7=u*-v* zL-)9$*p^MjYPwN!GRobNvw49)2`YmscpVI}-mXCAP}`4|Xp!)UvGj$ZIOd^&LFl#) z4(Zk$h7C0#$f!s`B#6YsN0?;8S)|$gGB~*6aEKCsxP~LlV7Z3k7E5^4ge-bwIH{lV za*`oxpa@mupZ`?n$Q0D~&qXWH>I%5p`gD9QwtEHzQX7dn1T z*#F;HrxCGXE`DKp84SXL1K?)yo8iAhFg23?B$m&KSz`i{v)Vk|H!FR&)5M|2QXZHb zIGq4jA;Uq>G8Rp<-RRm<$gQ!SlUX+=f>0Z(pl?Zi9Hku%-?ZBpK`e_{UD!y|I1sB z_yQ$F2B9c)xbxbs5O6Xw_dk%8LljL6JZ4B(em}>ET_bX;vf9V-a^`Zq(84ZnP$}!zN#A?+QftN&!uoim(ZXiu}|YD4TxmpHQ&fFaU)LEieop zrC3Sr8whP@K&?vb90&-+-;9m}53hlZVmiANIZYkl?giP%E78;DJHK%N+&!910(yCd z-{1c`+bqlFhY_HjOYPg>0MWa2xqQB7BTj%{C%*{*Oo?g2cRLeI^PayQVA=0#4^#60 zR(r9m4PSJPTK!#oO7#ryohidY-eYN3&dpWorj3d!q{c?VEMKxeJ|F=mT>yXER7Jl1 z`$IKm2y5|V;#-4@%_NZf_d=$pth;g_hTqbiPcpw8L+FUAjr1zTt7M~2z$i* zY0Fnc5UR7^DEi@~A28nvReX%b3n9wxd!_}TS_~Jy+T@B%7@(oT z*Ajd(vo0xbZ>PX6V#kGBxJ}1E`&>YU6BNl&$1WIep=^E`g%ZRztlH(#JM@DP{EOTxBK&O3T4`;{*`W$oo#_k?Yv9zN#$9W8~k){l`TQVK7XBiJ;o6T;`%d!_x z2V{G|^~zS7W?CqW+7aYe+&)9-;@I$}ZF2dm-F2{Ws^nq;RGFa; zLKwJJ26cSLxa`P@*c^;#ypixtC*Q&OgsIUq0FHr3J-k5@x^`Rn!Gi8?4Swl(%6=g-)zq#1v?L$z`sUz6} z8=AxO$&0Kvp?lN5>kQO(;cT-mO0DGsLl_l(G@`hJTy$S6A!85&6pj$%WLQL_O~vsHWNNxFY~wD_8Om4dyKB> zFyXY0Ylzk84`Ha=S5vJRct8{Etif#X!Sk4^ZBRRwH2IJVaxOztLi>*pib0Pas`~j) z3L0)?=D;5G`2jI*`@2{GGJ2F9zqGnkH2ENonYGhwP#x=!YUsQ`ED%pRddOf!OnLl- zUjAdA39m9pkqqo zsA9k-<>b|eC^9$TSub!q;zMQZdE4gl@tM!g<*kkLYLjC`5e1+A0$h+DGrYklb2tPE zM>;go)JrA%$5A#v4x%Z%!i8M>_{Up`UUFv-oUh~*NH9)8^)Yry8jIoU^AvmeyG&_8 z6$>@gy=BKyW>&lBz&L(d+sEb^`8EmsI*&~cYs2biOcxWyDp_dZUx4F0( z41t%h#ZJ*+Rj=mCx%O+%?=mN1JI!Dv5?nEa^|(LM>@{b!Hzt1>P`7S9KHYy9-D9rU zQUV_dx|?6HOG1oT1_u)7{RK>_wdiaH&@vo4XiOkF+w=k)UmY$JX+qsvGy?0&MbG6-k9G1KZ3ws}=eB-#kbvu8AjxQKn#3mU0Dy+eJG9A#H zbOV?Qlq;oU4FXY2%gfYTd{;^TLv7;sl03QMwh3Z4ICo;8gz?vH6mE3Hl*6UyuYa?U$8{|nzx z#My(6p+4~rN69(`TTDz0P7jYswgC#Pf(r5oudOe%Jk%@q&IwDte=j^~28Wortu%|M z; z2v~5;^uu;F;6O<(VRc3o1asP)55yw#b5nCi(4hR|?+4g1$>y4@X#^55X#jls{@?$d z%VlhAwj3VX$BQ--4lj4Mqp==0W5`-8PdCX1XBoCT=k?!YIu7n~%s4utE=AJ0P5EAM znFINrNQ<@S@Nm-p4un>+nXim_f&3>#6ggqhwlQ=2md3xWgy!yQ<)t$Wt3OI0Hpca($)R-}bPuYKuiRf{8Mo}V z?Av%7I(T4Ip3m>fr0p>xdzhKyw`+MgM~iW!)TGqO5hN7tE1~mx zN$8yFK!FIk$m-)#4e(A;!9b{w@b|`Gg=8R}VF@>~w0beOK()1fItw*Q!zUpM31DOD zv13r^)_e5GhC;022$ox?r1M8vn62;TFB*g;%(L@p4VD54%T2OjbmoHDMt|X^LH-|3 z#&4HtQm7pP@J;j{9Z=PBCmf%b^}+W8&RanG097D?**j^k=p8}o@VdA-OU>wg>;`5! z+=+aDbU_tFQ@GJ93#a`EA5`Qr!$)0{m#o32fZZUN4e)hOR&%LZ!^MDUw}lm#`Y*#V zr=dIfkABq2&9m`>9h#jEZ&ku6UY%ck-x(gxf@(FYdETkXsT03LX~Tk}kY!S?KJFj* z^e)Kg+*~{-WB$)>?nfvnZI}8Jl~Oi7E>mPHJRc(f!4#1I0Bo%b3jJ!FnIRPs`zeRa z(c)Mj{=6m7c8ea>0-G>FYsAxNfp|sC1zC*}ONI(tuJM2w0YHVbnT?(Olq_^w^i{`^ z0K4Nyt_&6lPn_9cFLPPs@U-O@n*tq=^vFY3%ojpxa=USJfs3iFcRpcXJTikv2G3w9vU6`w<9Nz zLOVUfr5XBj)G}QeLReoHMT%snga{x=0J`HBxNg_l9pcXo|Nn4F7B3XUVo1Y&hqJHU z#euPC=ieifHo=v;WMys>-PeGQYNe4i|CKgO=ckkRqE`i?ug|cZ^6Q23+tx}P&$<77 z+s0i}bHB@TuN?|@!v1&X|2RAM9rvfpcYSnkyGiG-5R-tLVY=v)Xe;}KR954vUiMTa z;_v;#8N~TNJ)B#1NmXZautaWeo&DI}{=7JQtucLOHEB1$wUaOp^ozj6z`#_FSA`cV zfX>}(;&IK$-ce3RRxU7)KJZT}(zy;Q*o#?O$LTSq2nY(o-VHjM%JQRdk+>+?oKV8d z+WJmt^15B4?q;sW-(%lxa`*bX?HJxCd1812dwcQP2bf;@*OkJ?y%>l>Xq(7l_5x|+5HIXL~NSTj1V8Fqu^ zEnE9x?$qI5fgh(7rbtvw0h)x6D@Q~y8f#d}SiLt6ulFJl$G=~o2gR_P*B_)AEc~ir1^gZTtv6G?kzy)fH7SiRW z>=om$8PYf($iQ=j-py)wd(*A$e;Iq|t0}eZC*m-edhBdv%OnVg{|PLqP;a~A8~t;M z3+p`h78C!{H|lQgU6A}E+w)An#n+QqD?)bQ-MjGPv+_VHF#}oJ+5u~~jM`GEuIQ2h zVST#BaTa)6M_dYVSCN9UIs4tG&|0IY3D{;GC zvUj2g0H&IMDMtIz;BCPPA&<*9FAzWYJQrL|-k+^}+=9?4Pw^P=1;jtoWP8}}EcpAh zp{+?M+id-|ry)r*X+OtveP#WwNiqU6%q&v0xXyHXXX%&AHVjGrxQP{@=l0udcLcO= zGMiwpSxrior`KYByL>h=Q9yG1r)1yR9q{dRCFjer-csG)`bySW0mBc%Cwg#!-IsNC zEWb=2uAs;B5kcSkQ*;r;Xi~{of1v};NV1D-Gq2rd#NGcby4%P$&(oA77x7Tzn2`^L zNxb%;u{EX=F(1Ig*^7TMeP=zN2{83_FyD<%Q5AaFnRhhg%HZZgFq=7%PW*&rI@6Ms z%4XuUQBcFYDy*c#bdT1p4`5%%sq2TC6^#_k~9IbUB#^`nD<57H#(APpe zo?OXc8UKg9l9J4ay^oTRmKiw$Owz#8rOC=7ms9z^K`2I3is5HJy>x%wup2L=;~*HC_cZVM zLpANQiQ8O#?EUGve+?fFtjLwJ=7r1Tk&)(%H1hq7to>*(s*Ydwq6N_s@IImEPi84z z77%zJ9?nxC?H2=`j@JNp%>Ee?^D#8ES2QfqkkC?qBYRhDSv6rG{>d~+%jWC)__zO) z-f=f)O7RtdOANe=j&@Whf&_yQ-R!HQ*|Vl8kaR0G?W@OIuPix8^H9?6ERhpR;+Zhf zwKQ@KW>X%!k4Hj(HPR`Ej+NCgVJRb;jggq7+0$lCgIJtq@RFn>Ygx`^!jZ)AQthqX z8MRRTbV)|%x|;S@v$%*wU^sTA22}PB{k5!*zL;xK7@G z$i%c?I*W&*wJxlCApm^uJI?)1@ZMIZrmnbZS|2JZtDPpTV^KPMHbMqfEj7X9yrn;w z4S`{i^7dBVrT=d3Y_1=KaP=+p(BZvvb(sl^=tp+lhJ%=PYoR?JMAe^yTV24Q?~i4e5d@kGE)IjyDQR%}N4^)fGIM4@mi%?h~Fmg?TyC6?Ri0%e7}! zZi0es@#IVPB!-47^S8`$4@=v!sbT|2FXuXFLaqdzxdJ$*?)N*|IGY6Zy_m}6tw?qSZ4kE z_4IZT^hdz)#TRN#8g>w5JYB7S(j3Ptg@t|{e!OdLH=lYE zdFbhcVYM=qDTdYk&ND8DYR|y|PdUmqY@?L0&(!8u)zII5+xptv?mH#>X7MKKzx@XW zjaB8%lEODDNr3Bk>S}6g!i3xo9q=IwE`vvn(P&t06pn+saj-R;Q4)!)-rQt7Ge6^o zK=2kbYjEqsSqcaNeN^QsOjZXDime**Mc_@(S<~IQ!BRc37*n9Rdfxh%pVP!Y;r4$W zY4oxY0q{BDNNKG@*`w7RM(_}s@&_uRQ&DxkyfZ7y{=>_!1wq^Mi!nO4sbQWc{OjwP zpyvE`-=*(Z{?1u-b-=lc1Lb07^*}vzWcm_7pd7UZUj6Tz1x1wz)=5O661XU2WcDdC zBHTjQoH1MXbGp9=DP`{Txr8{1qrQvQ1*jyMx(W^on_S|19r_9xfVD>d?iaCZDSU*} zqlE!T!y^Bn%7Poss|QLg3(8-7n~=N>)3VsTx1!1vN(%Q|cQwEzJfb{2?GD3BKq_}^LRuOFDUT$bI;jl<4$%kljz0GBJ30AtqRVqZ2C;7HdV)#Cq_Z_ zOfAbR=&=Cm_%x+TZY_qpt}SI{`$v^EPEBmVRLH@9VNe;^tK&Scez(}f<=~*JV0+tt zo~$O!u=#{Io7`>Kf0t}S`1s1Ltsx9AWSdQ>?emrv#OPrHbiX*ts{CLa%|RcIb?U{4 zTot!_CwO+|J${TS@-|k}ah=vA+Ah5GZ~t{S$*;XV;GsF7`KAiv2@9jq-2s~;RoS7- zfEI{1IKOOZ3T|$;dFyx)Coc6BP3SUD&;WDu@;3Rucu%_hM~Ajnlyb8b1t6#P8yGUC z)Gx(qxbF-lgvAhQhmgWyYv51qzzi&E2WKaI-Z%7-*uVvXtG-KE49L9m^C0Yx#FQ6V zsNd2ucRcZua{v6@Q#ZiG^Yv;}*9Wl8qt{V?x1lk>)FOy|ECUE}Y&HX_#<1NcvGU->e9 zf1Is|e7mM}(4WuBV8{yi7l-j*!*TCOxzcLy>MoE?FHeinFLT{kA9v3&;@=CO`n!z|DDcz<+%nlv5SJU>=gMm4 zw!T~ztmUmd3)YxCkiGqK^9cCXN}ktNVP&R!)c*GD3ntw7>VMz)I#DV-IMV!jn1lzT zt4)!9W@h>gvz*IQd}?wa5%IaN^L%+}fEgv~Hrrm$O9Ed1Kbqb#AkY8*|30(1xN6z9 zZQHhO*TV8LS1sFGZrN?w#~(pCl& z!ULuBDp5w8JD<=~up);#G)8=Md_&$Ty6Wt-sDoeUBITECWP~hEFGW4p5O^H)XlOhb zcoACoA-#&;*8*Tb>$dh_Q2Sn*W8`{qvx!~xVqF@`p0?dX?IrNEJz!m<-+O^l=isb3 zV*1aDEglsUvV2%J2A;l#{=jYWtn*7@$nvmfVoF2F4^dvClaowqKRU2y&Cz5f446SSoRExb?*kWZ&iGZ`LFdaVr`wPLVu zFZ9z7*r%^7%Hf%G=3d;F?!ouksBia z*8(0>rjFysR)w@Z13B^?3)-IJU6|T1AiwOeU+KR3-*>B)=XcmQ*{wWv=UKe@a3ksL z|6FMNkz@VE*Xt{M&XEi>Zk>Inr#twYP}`%qF+Y#Kc954qtAno4bzXc%-a?SYFTbsa zhKH}OtMvZP8|&bPI-<8K@N%!6Y5Ir$joySwGqYlTB5nlhu;&I063*oNg6j`p=xRQa z(2d8oAPm#+DC}?J;lX1?)hAXe9`>0@Tk2w^vZYdIyIP9EajjVfWc}n>_46k2Mf3L^s>}_`u*dulE8@5=Z=Jq^2ffGCnY@@ncCvA zUd!U@?Cj=d-k1f1s68dwB3^4cDd`gJh$}p+6;(;Nq|WD_q{37;LO4+KqF|t4Zf>qj zd1~YEuiB&6JXR`MvzEQg4luLc_C-YO<i$7Ap+rhaw6U*(%(D@f-_YM zcEfl=w{eAU%KJ34HbT|{Etfve4rb!O!M>Y+FCP?c`{KUoZ3h z2b_f$lijn+2ql2n(_FeY_~3y&mvQqXV#OMDQsCxnkn&VtP#U8D%Hrvpv!0-CoKvs^ zP5vVIiGTp0+>CE+0oAM>L+vz&ZYBWC;^S$q^R-j0hS%GH&w*>%o%VjPHjA;7O8d?l z$Ft;X`G@WgAQw7Sm)C7)`miM2diyZ)jjP5+H5^i{I@|bZqD-5)>n67axjMt@#CWMJmh!gACD^|z18ONolC0p>wN+ z)Rn9IuGi_%A+hbQAw zShX^%`0(Uk%E@?1Wkm!IiEq#nw_5cex%v*8j<(cbg_=Zo@b&aP|AR6yaTVj40_W*? zx@D=E&`qp;EVlc-v%m=r&?qPV+WYGnz))3l2mFgRFa}ODC)A1 z#iVqv6ZKdR^=~kGUXC@w$ zfiIw+Dm2I_D1jfh`O10<@I5tkNZ_W4i=Vj(vK z1-V+wIerol$ol3XR86+dsY>CoB(;fYAfo%@GCt3iZMl`62j9;TckZ7Mj9xFV9{yV) zw1OiyWrN${VZxA9#gZmPUofOobZ3HOU}xt(8T2I91xip03UI4sXloPzn5)GyU&6#9 ztY`>GkJcPT%xy`w_K)A&zdYuk7iJ~@<%5bO{@(W?`Wf&>S*svFo7Y+CrZz-KzT>5F zGg->SH!mz2u?OrdR75VafntKl<|W6IDj{l|UJ) z0WkIHx!eNu9pG}x_r6q+yoykOy2a(6#?6YvQt@) zJRB??@c*p!JSW9&Jq|ArznxtiUc{vN%#A}fo$;{Dsk+3c8LY0{^zTca>fw5q(Q%&I zbiDjXxO5=MqLJb@>iS7}1RPv&b_Iri^P|nY2u~*X;7xQ2Gph<A{>E&ihyQOpU`BIRzo=m3A}my6=d)gNhRV8%$boXUnR z0%0~$+_J}Wpts+V70y;k;;TlJ$|s61xyvKxA0#b(G{0{_D4CF9cc-S~f{F=(ZkCl~ z3HA5XFE3t87Ledk+J9})D*XG`^KW~q60OS({+5&jgo2Hu1p6MlUIl@X*OadOYbn(2 zWYen}ZE!+pB8Vd9fPqA=f(QPlrUqY{xJski(Z`y4+)Kht7qfdI+?s~E3dKy^#r9ud!Z+9+N7V)6@^G|cEf65$Y>l6 zG~>;-qR_6U#dSl3X<9Q%Y{N>-TuIMen)&dBKKMQ9xBbldnce-@KOf-_ zGSFG6AAMzBy+@w(J$IoAdsne-0S}ci{rcEEPTP&Y-P&CWq@#&lypKI?C0%lT`72!p!99Z zeX`^pNje5d0sH;VUW{-*86O$33%+UPJgT*O-cUa4I4=lw*T%t03Q4tpFboEt-SkXe zZgkw}e@A)eo=;0kt?%ATU`GBzXtO)3{xvI2O_rM$c|bB2r^dK92taK`vutFAk4k@o zz3AO*J+5eoR7Zd;6pN`blUj1!Tc#;7|HPjFWmXd}nSy<_u0g1;mf$tN_^rpil$=<| zb-$tpEkE$LWl1ywwEt=V2!S!y(rLLIUDeQ1zGBcIB?mup(zI(nSj0h2TFTVGTTjA; zh?>@)yJfGvpbiRPC@7q*wOsHZrMTZ}`b~KDud3~&=L~j+FDxhb9fNu(OP|{7?7HZ z)oI~CDzs#_AuZm_9b}xko7^oJ}kA3(M%xFw{HNbQrGpk0@sxICwgthFT|g#0lR*W5(9k{ zO-z4Um3B+3=e*tZ^0GvO&ityPRNA2mIR-kTKFEWxO{=DajkW3uHI z_Z^bl_{9D&)FWFH+X>< z{23H**t<)%e^XQT{(5(JSE`s(+i_C)3d}nH6o@l2GS&s%15kqJ!~aC&nwm0Sd28#V z>%9>Ge2WZ9$bY@mMe*Z%6C9BPzfBDQWxh#c+ZBOxY1z7qDOn7XW$$FveN=1;O^B18 zp6SH3YM?(`IqxmK{$59Ab-?l!Zo4maR!-Zau(!m)VA0BUJeOFakMk+T1oy|s<_qeq z_c(WSE)e7)gZe!GG?Iu||K{h>`S^46pn$c92JT;7Kyq)el=w&Eqwl2{bPyVPp>_FB z#`Cqu*1V){AS043#L_e=y*g#oYPvhaoIC;Jqb-QDkR z?u$I2b_0yi{OjgeZboO~3GAN0srWD`@!Z^V&YjE+PAw!;cm)dwyi^Dos^oFi`(k95 zfUi*qyH+)L;K6qP25le1AT`F*)|eE#-%%XG!z^68^-Tox0bIoQOd=GsLvECFnP9D;vKiB@#rXn?0X~_HxY4#G<&w&%>YxMqZ&!mYBuL@VkTkc^ zIFZ0ucu0x1rUFc`c`mXD7P0ST zXDp~F*i4)A2777JW%PC6vw?%rr@W`83vXZR-5l;agFy95`@DwzC4K20fB7xKy7hg2 zIlRJ-*%VpWO2*TT9>=#5Wuy6(v+j>d@lbm18V68Fjel9A?8qMhqZM@U+r`dfaA4Wx zxVg~Yw$JlBxJO>g*HUpk(@n`ZZ^f5_8fLU(Msacq#c4(b0>rfX=Z?7d?Abk-h>8v= zq!;|!o1gjRy^T4rn@*b_e?6WpYx3p(^!4S%t=#|+9f}zCI&heUPv5Am)Rgqqxsv2c z=4izw6ktg+=;wX#%=G^g3qf3DYt>TAR5`=@}j`h-lO0#?8s%w@G9qra;JztA{Lg z-5d9BW~AUXf*wPL={$5s$UYcc0~QvRD>%3$t4!kK+a13k&&djLd-Byd63MU!j5K7SEr;-{E7|zW$DO<16ToT4Ykn5Nm4l zewfq*qOySd<(|uW-C=yC!y6IABwTb)z`H7u~3e281fKkF3UU*kA@ zb%Xu22p)B~c@fyzIvGzN0_u>t+zkW4Pi}tX^1Bg`9tp^}#Q>-K5|B_H{al zpvSXJ1xlsApF{R23bHF8=DWT|$-;XOj^L@rCk**Mi*g=@;PXB_!dhv4%_3TIH>?|< z=4o|_k?6UUra=FDZwPV$K^kuxq`~4xWf?BH8ZKQ(={@hh`7G=FwC@ZHSInV=AR7)* z2nn}=PK*yVBO#@P$N#)1@4LyH!?#9|&S1Ehq=eaL=)L8?s9Odc&k&$jf7rFP>}h`c zfk_9SUuEB;RA{O<({vaoc-16L0c#C{$j^IP2=QUnguknaR%{0MA5ucCrG~k(hh#3H zrv{wiEODBWUtA5N>drqPMa+^xYH}KwGI(E4yv<(o>roL$_|tzLXV1 zRK9nkRroQFGw?hIJ5l@x$Eb zpnRAf3SL5-P>O?>plAQ)LOg6p8g;5!)AwMw`4hfWobQ2hp!L?a#B(6Cev_-@Fkapx ze$M;v>zhD-Dtc1J${;BSm1r8{*-(12DKV4oeJT3~_1UkoZ?})#$6yo9N*8*2ub`XL{=Opv3W~6(9!uK=nzs z7VB36PG6;PTCy4~;I>dJ#6E#f6{9Jsq%3^uGCzG#0~PV2~!4C z2tc?8^boj*OJNT?t1ub$?Xd&Hu^~s%z=#2}XtNb$rPNPB)tCxCyE{+-dvmj6*mz6W z@31nmAxmz1%#tV?_-Ee!T7>QZKRdk@K2ANqfe$0-)4eFHZh+qRl@4oQ5%U+_XK$f4 zbNvElzLYuoQe||Vs0zlf$4J((f6<%?bk=cXAb@2}aPcMRVTg#ir~37UA2!32!a$m% zn2?w0B(#m|hf+DVXMU1T9)<^1fe^STO!(zrJF)-yi}w3o*iekE5`XqD=jLWx%K`oT z-hh#vb?3JD8r@VrPGiKcB02Af?iY|;dr?^tscO5+qx*Lr)As@v<~gZ$c@8|r0dTJL zDIz8ig<5E-Vu%Qoue3p3gAN`we}dqIcR zm@vR2PA$ii+MyuGjyb6K*tyo+IL}3?n2tmNv9JoZSdR2;bUx&JPwRqIpn1&aPGY%h zU_;KoNqL{G;zEmVoQdB5$XKL`X|Bj(obN*$hQ&t5Ede8|WSD)c5 zy5xcEO8UD){lk4V!d~l8?r^8THu=J%eff|o&-IvHS-%q&^l*<##5bFd49O<-Y0L-8 zbu;bAf5>bF&uNki<$K(T3jI<8+U(23I7=GhkOY}7Q$`U>GNw$S2rs+7Lh0<>(D>Jx zfqtD31tfD*!U=k3{=};5@s;8f*~;SpkK}LMpfGfIH9{+NcsaydX++IT(qnqk?FI1D zw)4mHF4*T%mwS`n!S^5x3PwU_9uqk}V-5iu-)YQm?%5_d_+#BOo*=_o$bTx-LyXgM z-QQagvd^9Q;;rozt?r+kj?r_Hc;Ks_b-usr(JG~FCkf93d+85{@rppH3Hq()(Lr~n z3mf|z>C*lLKO^7rr+)bk&^E5V)aT@~-CA7Ck=PRBkB1KMQZVIW;yDHr;PA%KY~4FxL4w*OO=SSO4}E zysc0`XF{_n^jcai+=R#Hx~()2py0<%v>(W9-EUKuGZy-<-w8b^D(My`HEVP54Q8OQ zQs(9WOk86#lPkC42Vg)R0Y;M3s4n%`(?7+_6uFj5)?nzpfd}O^0rx{Q$1m#6>+|d_)qcF%cZb-B z(~MH#_l>}#nh7IUBYvQIwIaY{uX}(wc)XB!eYMx~a(>PDmz%8rRZrbza&5r)N{zPw zn<|4nWe7e_8_ebFWA29I2luA?;Y-PJr-b_-Fdrs#q?kQ-ya%Y4QC#~&S<|h@Cqh+BM+Od~(ox*#V>+x5) z>lb6gt;_}c)*ARjyV}tMax{cD!7o9`o<^Qp7_U1Zrf@S)H}^P^4%?7dst z?%F$@Upif3p>%@96!)yVreVYb)2dLL!Z&v2lQ%+flA`ZeXun!(4r0eC|Q8(Q!!}&Cun6 zH&;<{YKjP3>4*QY6sY5U)1P1sH@D5y(ck;%hB6kSZ_1>7jiW`Y;i zYJGlJy?p$*&dVGBgNNDC_}t~JQAfK|W7x&~_-0_;v=1u7Nc564W~q=!PX$?$0!cYip}D2X#e+Yw>_tiM+y8TMxGwq%SV69h|mw^kWQ|CF?i0g@1`IiY&%7mG4_29~XPb@wCw0B`K;LrbvGA66`nx4>v3H>5QBTiXwqykhA8V;eq}foa_#V$! znh#xB3(yjVA>+quPtR%g_q?@4mnc))|7`+xGJL|XcQF3nr-8Dz_xt?EfRAr*!taqN zD6~g8+z%dHuU_00B58DYC(6Qi$J{?2rZcMjfCazs@ayQ`S@6e=js4;$NU1XVCdrz^ zU@6j{UKCNktW-}XgarkXkhbn|t7@OWx!VVyZyKf$e;i&!uei9{yQp>V=0l7_x(6gp zo}P-Mf90^PS<_z|4L^|M-SO}H90C!MI&0^QU#YqdFGhmcYzL7_b^!&gqE`9KJvj>= zzJy-#o50_4rNwv>>XZ{3j3z5O1ndWW0ee}vbB1t{wK3rx}V)X9=$N|H^BPvvMd{5#}AYfot@@%uat zXiG%QmovUY)Wmps zH2LivJM_WcupmHyDOfzq@29WR{qd4JK_k}`H-=XnXLFd^y+d_MROja5# zfx%rniIxm|oeb%6!}r{9tZ!k&p`#>RedXXuL+B4? z|B~-H`;1UG<>ml6?asZTuxN>!X2qlKx0X6)mkC_(ZREql^nH~Uh=t(x>S6<`s6E|* zEpGg$>`zG0AiVhuYfSu{{kfWJK4=k9jyhZ$U&G8E?Q-J!@$} z!H&=Kfxwz;Clo2T8aH3$%nnuP>XJXp)OMt6k(siA_B`mO_u;wLg-De@#z58CXn{pY z-S?ULi%M*neguRoj}2_82;Xn}b67X9a#+v1fbVJjG1#1L)E z!?7U3L-gc?t5a88Byn*)Jxk{*&iTE<2^*+&w2M_BH3|Q5mR(p_!HbVud_EJGLaf;5--UgHi>-St`}XnQ0O4}rXz+BtFzDTym`O`PeKr0L!N+n< z)1S>~MYXVL1$@Qr4ZbQm;wmvz?5d={&$_&Hp6U>O8})sCxgkg|>2-JCg6nBGRd-&l zFX!}`5O#KUj$2E_?3twa!5wh1quk~6ZY=CP1iUc|TjvJEBkDfxWW;BaAeA5RPkj#- zV%4@@6^(1r1D`ZcgH%T7g#%0u1C6(Mc~tdQ^LRMB+$^?79?$XC+lfF|6#ZRl70nJS zY+H|)KwWxD&EN0%*3L$cjn>A%49*|I#EcE-ehZE2cTnG}4fDTkcb&0cZ9MN|XI}qR zJ~qa84!Rn>ATJGvkcVJV&*WQXZ+vKuC(vdl$k`;q#_is}C$7v~g5(WKOrT3E*!%)| zc!!3vEy9Km=iwV`jaF7rI$ORTEtHIXeSL-atK4|h z9cyuUvKss4N)z?k@~8qAhB^tJxx+Ftdnb^*x_CJM9&Y-t-KYVN6bh0OGrFgUT(CQ= zCYGz~KFs(J20td`mf-B%>-GQ~Br_)qkN?xZFi>@>IB3PeU&c}c5-$sejNAs=LJHkL z-)+*H0%uqP^s~j4@aU;7Eb1%Cm4LI2O?g|Jwj4fmXzGnmmqpyQfbzJ`Hm(!~NJqFz zUBwa;axRoF(l`;a^hR~#<}--on0^U^5ucgO<8eBAOGrY_%zX9O=Eh3CgY_U_n|aA+ zq=jd*Cvf+*_gMakZAW%1Mep{H1@DgROd99@T&kC~{9gsqaSB3{X^J0xDQ!X=4-<^! z6(XK(uzB>goq<19xa8M9-RH^->o^wBeLDn3(&UO!iL!iI#d|dK*$!!As$@G8evWy$ zC@0^cA%KTX(joAe(GU|0k4$YU=d5?%%MZj65y8U3;rNYjT@&oGwt3yqpkPjqFWU#% zM}}d7-p@&vO*bhQV^Cs&MTTfD88$rs25+bNh0KIxSLSC-Aq`^DXCanhlAl;=jk6RG zDzq>nq){r`a|J4k{&~dTvmMIR;yA-45{foJlp#*JOs3OGVaBcbW?Kf z6fDp#(V1f;pEZW-lhkO^EX1Zrip>>|57Syiv?h!kM&ToQf@4JCZDIBy!yzEt+uW+_ z4s@7DZx8c20!mU^nijXRJ!yZ1L`|aGLJ@vV4Z%Edl{rUK0MV$VdFsMzV3I>I%Jb8u zttSxi&+rE3o>r;#5EjqIhAGreN-#mftHAf!;Bh1(F+`wCoqqe$<$V4EpsX13CXZg= zzNsg))TvDNDd32$F{^$;C}>1kS#8j!JGhx|whxDn!V#JMfBVEWhZ&S*c8bXY!tKW- zJ%#+2-W~Tf# zR8}{ClUqe{Qm)2(#xw4UuEvQJKb|}e7V?8wa#apuZ0&)k%iqqPu3K5_7AKv&kFv|l zV3)1C;e#xeLT2!t%cV?1_gK^G-$?x?r`mGiyZ8;`j*qLuw5$iI{HQkK>|=Z;EwK}) zd-4J5*SPzxMy&cmcHapobKu?Uda*ienTz1~R1i4#`f}StuY_*|37WxX=bPi!;%Qw~ z;SShh2AXL;uD>Hihyj@daSOwygO#vPftH#;Dc0rF#yOhPsb2T+9ST?K1U|Dk(5rqv zliTv}b?OLRglV4Nn>d=~2dy$h-_^fKPT`m1Sfky%Ueota(}L%b6@jl0M7Flh7EtXK z(RN;x=oscx$e*L5qDwH3J^ZZv4y%mcE7g>Aq8WyfiblP1^!?E$F0}et)|PFWTMxTw zpyhG`2lI|(OPqdP59c_yOUmNoqd?XOMK!l31SAv{rIB%!>#i?f^Vgc1W**;2_k4g`6BI7V3QMq) zY`uohUf9c%SJd^7t%dGiRJ)#_ub(WfzBG02dH-FxFXz+@8BXDNY{`2IBMheaB0~3y zcV#Eq>VNiUL*?@%2edq2BYA8G>bWqag;}h_pdB{$3Z974lc;SeR%8JPngj~kBcMw2 zEsE5{+yhGuFH-NceJK$Izwei1J?3GGEF1_sL}XTv-A5~DochK;!cG#H3Jk=n+3ri|XO!9R#%-yH-CD$TQXz_?=&=vS6eP?EA}BDg{cG*kpYf>Ku5N0M+GPj}T)n6!NzsKKE?EQ9`tw434*F z+f)0ltnWeI&Tg-Pw@-4RhKrdI@9cagu=o14YSdnjBN%VCnD3^;NvVgP*j)=sznM+A z^b`Zb;1e`W0;Lx=moPbtpf<<#o8MW*DR-9UtE`cfLaWvShcX@d?=rWG-Rf=5#M0q_ zDz$PZ8(IcpX2m~-1^`JOzsJ3-J$OMpa=v-eBPCXHw6Q~GSdz7;tB?~#rYtj1A&zU0 z2EiMO89xHKK-EUG4Hm~~Wx1|aS$J*{--uURf=7&Y`kwx{Sgl|})L(A@9WyAYo(P(S z04+^4b1`rDZM7y$x0WbQ*)LkXd`pYwfE;|^Zb?I2>NkWa5eWq`l#rLu;>asVg3tj? zhk_C@8YB{l-e6GSled&OCR0+#(mXZN(Cf^3D*^voV#w?-9{KJ+eH=Bmrud;L>{#SP z1?bw-&*I4LjJOKOUrUlf26VWTiE+4QKO z6O6{sx>@0chc?Y#9o?cL83Fs=@W@3;cjv!`|4PT+H6rIuET-RhfQnB5>_dK0WlqMS1WYtyC2YvJ!oRvU49rzHDckaqqFcLM86$sf7qfTLw({` zV?mTc3jI~P^k=%vos9{JusRtfMA=Eha7clbH#~qWj@vn?3IbW8&*y~a;cTIM<3U79 zia?!PdO^I#u3_2gjSW;7!WZU>#QsEaPg$+#16hS;H<(S-RsMk;xx5E?dJ;%YqVgN& zIF%-5*_?#svkZ?BDOphjai50kB0_RBkcu-+Kqry_B}S>yLC!w7bGC5+#ZKpfJ}enI zsF+{U$k-g@Q#|tmL*OIH&=Hny&uD3|ti8@RbH`c_CW=KEv9fT6AuaUqzKj2{$W7Xt zRv|6sY|A?F_c@TPn^QfW;d5W$(LO@kHXx1&aOB~wGboQbTx6o%!~JkE;$;Qbd3p$~ zcH@F9a=>sxd*;LR+#ZT|LhvAYoHpb7#+oEM6SgC^11UE4oJ-4Y*?Fm2NM#?{F^z{da+ng7s!AyNCk`hOdO&E&+88fPZv99Z8d_kU{*nx*dQ1e>Ax;BDzIw?+9)1rBa9ph6HI$N$>0lEZ$T>s0LuxtS< zL1|gsat;SSfL?-50m6e`G)Tl3K}?dNf(Bar6B;*-IH`?8%N6^%#-&v$!d+6;Et^z- zf@txhqN8<4Lh9u?aKvIN)uBn{IE9mM22TkNpABG>XeXz;4s*2wZ_Z~rz#p&1?fqe#z{2lP#B+m47=tG_JG3 zDHA^C%~D{&i(rBglk4*%0GsDqs?c*yW&OJ@8e$*R#O3=y6}P|=ljgf1Y<@t9i~1?c z-f+|bjsDGeW6#yLN4EUnP2UFYEq`V$`4wLb;kr?l~d z`%|u;KRQKH_re!&n2q`X@#cS1Tq06^PBKcCNfo+7W&YRXt+z8_)Q$J};UR0^3LL{R zu=5ih^+8%1R>k(R=fD0;?}zX^Dt~YAi#o{Vf->W%kd3;Lh{*8u@e;g?+xt{)EqJP| z?(3V^1}F;lwe7H=>8>j>2jnk&ekL|v4y}o}MsB6m;$b!ME5{AC6elr?r8v)K*lHFGy-sM7ZwkO#p7LbzLFIj?C?c6dOjNSRxDcN1F_7!XKdWJ)J!!=y^UeGiVC7huP@LO+TDA5l>MXRTa+VLCif&Gif0!%<@q`WEQ08Y#rKf zV>aSyqwTvH8`bEOi(KM7YAbhjPyH|~4&6O=uw%C|Rj#kxBYe5pfiD4WA&f*$%G)_+YFbjL2o+akzyakaAbeGvNSKki>rWvivv8qHFeu>=5#zudG8S90|}L zDltq6DOyXUDLJ_s{D?%ke==*G^rcGZ->rG7O>-6Bhn6o3=*kAN1<*>+wTNxJnGeNb zs&8l)Xtwoi2$51X*yR z&!)_jwfLc7?&j)0Cuu(|=O1m$7A?V&!#;}v!qkyDcF|ajEJh#Alq(%KCC$j$Xtup2 z^4=U^NOhAhi2~r`)+{v<$AkfEqFXDU#W}Emo_T!x-#=Jrl{X+DyngilK@i) zXo?IXr4iRY_8!zfSQDGhZ@ zAxm6!FfOa>nZNw?Ku5=}Y>B$s=%@`@rKjmg&g|zj;L$a!2VW2$otqGGj#n=~l$_8^ z{NzMtTR6Iy9WKeHNyam*N^REt+}pr~K8t`kHq(9SOf3aBE%>^BWY9#q7vOq7&MG7>&UAdNa%_fhgol9(HHIN}r#G}R;o`(I)AYr$ z1VJtpVOfEw7Ds2@=N&4b`vs5I-T6PKV2CvQj38l4QbDZj{B3^urP`CGV7q+{SvjCn zaNNXdB#FVILC1?IBd(!d+@3t5@qlE+GkO#+K13{UQaL$Vbhz|iz+|9tysTIP28P*r z*xLsmJK=ZA!`O?=6Do<;FIau*-mRYFw zhj6zXW|W(kM{l$oaz+AP&_8(JW?HR%A)0 zDlF)V|7ga`ap6HGe%4`CW$>@kG-lB{)Cm+OV6#Dt5W|yFqp3(`wTSR-sYhQcD~?x{ zqr#M>q$D6eJPX3e#E4+E;>w2u(T1B+k(5@7Bnlgbo$}P~(Nd0~vo73o=)V6< z8*!QJF<+O!s7g<0NzNxG^;^%d2Fp^g3%(Msy#FBrLiyWJ#6Yj0xVZQeoCoP}OUua? zMIk^5ZR?p&`w$fN<*W{lx?_8 zU8h2ZC<$V5RpW@TqR$4U`1d?^>It*>8ofFaexsuFAZT>g;`|r2;ELLKK+v#zIBs#F zoD8%o9~$qK$JVgY7gh zGdUF&4K_g_7;TfM5!`Cudka(sJm?1oO4ok$zs5xy-2(iMkNZ02G`V0%iyHx;oRK^j z{7Bq$G`*G{_?Ugvdp9Kwi)x*EH*W9)@0Sn2`^xKt1P!~Dkc-1j3tgTKyoY^Kf46qp zyqk3Arh-O2_~~uo<7QV`PRSS<*E&B(+v;$m}|jz5(5 zscRdOptPc;)liLVJUuEox_FOIzty4rd~r>|0wfCm+qI5lMJ^Ky$h3VT{fZ8Wm$V`# zl%q`$QJ_``YnEA|BtV`ZuC#da1O4~O&kp`I0s;a!I5_)L4hfFoeK+0`4XTueSPfDM zyvPW#-?sv=SYjFYqGu#D9cfgpamtZlSs&j6gg_5B!sVy1yT@j?A za=iZv+}=paA~?z1K+wq|72zz!Ra^)>!f6}*pqNJ@@ywT~2lGT{!M^g3#*V3aOrT=U zea2{RHZdkjfR@g5o}Z=3{>aI(@BS@oJ@xWMIq=1Iz?>aTxQjhWVbw7vWuUSBgI;yS z%VZ%8(wyPbYupkM3mAj_dHuTpF_xyH^^wE`waEDBID8$Mm6g=A@9H5tHv>e-fV5X! zf>K_?v4AjqBnT!PNK{{pG4f8qKZY>m*9%RKjnX)CqW>4N7U zXD+_E1=(#Cbhl?!%qeeOrMGnfRM}rT40-hys_`mV)c(io?lbSPy*|fB!r*7=2Z1LJ zP0{a=9vXw~EaMCbP%djZjX8_ewy0fjk#N(z&O^5|t9bb|*;I5?Und(6T0B*hFkvGm%tT|gWFLxL-Zcc;Z5 zlhH@iWqUi+ajg|bR7}QJ+WOQKE{)qI{{7r$JASL?z<2`l)Hy6-~{d zjKHmg++9>c?c@-&*OcLCkOYijgC5g+0LxjrSjwFySVtBiVC*2)C~zow+DceEs^>AM z$3B?bW}w0-z}DNk>9NN6{-qYHEa1VCiZt!2k!5XbVYTPB&&2wuu;;fci{;2YG(-pp zGTkH`W6*&j#B7EU{~S`r%!-9IZins{21te>Tx3|ro|F|`XNp+5(?$zYJW@q&OLbHX zsvZLQwjT;j)I`x?_%`4OTBW)v9wJ++*^wV~27)iYr~L{o0zzPbAQy3ji44I+PsG#7 zu)4L^uHg&T)PLvIU0NX7$a?+qqdc4~?JhrP<@pq+1=;eGf7>|+hRgxaBpz|tfdllK zuo)*ku8xd~90?l~gqA5e!TyU#$+Mz7O(h;{kPzXN#on%a%%U5T6_wY7 z0&<|0H$@RU#w37l7sXuC-UZttj7Zv_uXFBv<*cwoUO+e=c$q9GE@V6M;UJ1|jD`&2 zCGDBu*%gV;c>8^S@Clkt`|Tjw^m_pA)w;AS^@w0Th%9Z|N{r}mqO`qbOk1{|$P7J_ zN`r1piUv_r$E+?(6;c98zV-jkNDCKDQO9Q?SQ0Yx|BtD+jEXW|qrQhBBxMK@1f;vW zVH~T z>3-bV7+h#WkhgL^s-Nm`g=!YyQ0=+d9k5L)~wYAkF48QYx z{)e9M)N%X+79m%({SW|Xvu(PuF)=iWvLGjeFFI{i)$$fTelFJ;p8!G`GpMDzq*|Yo zxBWIe=UH%N4WK`63m>|eG|{XPYB27))aEg`Zg%H=H^q$%g)^2aFu8hpz5F?!Mguum zIq1~-n2DQR{xz-!y$v%d{O4mqzQ=F);1>Y2~o@aU^YzXda(IH49BaJEZk)E5vz8pKWcZ3R63rbfBD_F2q<~8 zr{{i&^jX{Go;t*QWyPFxWxlD3TQ4J>B%x4#AWQA&kxNs0W~0`Tt)7>BQ30({m%>0qDW3 z{EyrCGx;JA?KLx_8u#42-x>My60AypoD%(T&y))8K2RU<+)4Cys)=KgNNoL!Sgn1T zvd_wvH1Ta^h-fomVnSJ{HP~rIR$*ick%Xrt9#OT+SEnTSwZ+ z<5TUoygj1-FR?9rQhVv|*D3vj)@?JbGlN+IzkkWLsSPxu=SIG%4X?g@iK1IMTyW&C zYY=f3c64!T`3HESB?idDLz}a9Ns0n5=j&WrY;yKu z{FmhqQh?cc{poG_#=nzYw&&q_V68EH>a3qrz7t zysF=*nMd0Y&#Rb)S0amr9Dm>1k|j++Mu%MgnDKg=a((S_jbcCVZe=JChLe%0JFdX5 zH_ex+9Vyh?s@Qw)^se2G@1FgPN>RLZMBG&NXpu zxA0et_3hu=9umGT82s?w*`m@bDH)skaWTR~><@BEmMOtY3{zo5hFV>Qm*q<|U`Yhc zZSs{(%iie!&BAr8+P3&QqvBe;X_jDvvmH=))K+8h`eP!UYbD18VX^I>YIX5L5Sm>( zGX@2flU%xljg{5W!-C^dgTvBt^66Kh)TLX8)m75-@hX3Rlfn>kv)+g$?ch2U97--& z0Pn`qbgk9-jQxryZDO`MZSoByaR=`g{8-Aw#EE=ketLFp4p#~XjEErxQjfp|g^_Fd zWFM=u)&4JL;N`bP8!oUWFdKzJ%ZPBvP|o%(T1%8u|KDJYG-)K_&MucGPy5l~*Bmzs zCURk53~wtYR(HCopjFR?WF!9IbD@>B5HPa02e?ynn4V4AFXESe#!;O=u^C-fd=+G* zc>3EE?6~IEK(m9A%`9zUT;VsLabhIuKK;qEfwI@Xt)%njlIp?dl5_%)(q0Y9?^iqe zjJc*d>c&)q^H(AAKb|j2zXFfjUBdIUJ)+p-X}1Jx5;(_0 zX{Bzm-_!BW<$DZ6qA+e%G|;=cNVvs94?0Lf1N*ZNGj%9E!B;?-Yu&HXYgR&?-q?5n z!a9@VxqO$`5$%JQEI`rKW_}W(oa?v z=bnVJ0H1!SqTsy80B9ACbCDpw{uIYUqf zN54Tp3sys=#D%ffPS38+_-+2|fpx~omBheNwfplM)31*gm}um8qg;~kDWjhK8ALwsBjr{xe+tiJ_Bg-%M zv4i?hi0~Ezo6nZ5SlTeJGMo%;?3Z%KV+fs{uAoXNkPb#5nwV!|;>E9Ky++*;#6!?~ zg%Pc#NoTZe-)<;pMj<3<_MDknGM9t4rYdD#@z>q(Q04wX34$2}LMR+Bf_$EK8Id3;)OoLG^?SN+ zT{8cqKVg)(nB8yN6I(tpF+|(!bY}s^8%T3K0w+5v6vET5!J=XoO|erwJu9xsfJ4Mj zZB*3D-u{w@h%M4!J5bm3W^5(Z4H(Ka=?6^e*v?np9kMmyW3+akpB$Rb4ywmB;!|=T zw4sq|rpdF!d?-~Te3z}=X(y@WY0H^TZILHI!(4=STE9zDfCB>u;W~q)KkrLOX~0hJ zMh~1!-2Z27Ji(B6zi2OW_%S*$MWg4 zp)Z-}z8>H8^DpchPre5cs-jf=b^kd%rB^}64rI(pFXV=I`?r|YdI>+ae!aCwINI4* zUztDXcwp0Hu~+sx$ZSh#Kn5(%XMX2pUH;RfE>;e{UL+t^!H&Bj%jV|?ERmdttzeqd zNHgS%2$8<9w+72kfb*4?mvr4}>Th~EvFJsHd(Kl&@Y(9B(6?B9>BoKFDN$QR55S|# zf*lPQ;{z{ylO4V0>)!gCABzal{b$?C_VYN_3h*gBtldmLCL0*j#g+e&nLCO6n-I0I zvGZb-sjaPz%xg1PuE=Fx$C5>-?)Uxif5ZmfmYdLPzMq5YG6^6~Kz9T|X`;WgV}Qm8 zJSz;>r-cMGqq83EUZ+-of?V*ut9E?fL#*wGyT@m*q&J>YKO>4<1HeMdhgTc-`O1&S zJn~wVWTZs+z4zCH#|#{n9WjGxDSLZ%O&rN`h#`>ZAi!h^WReFLSy8#oLEX-4UMzSY z=R*&)hm1ig@OrE1?V_<%&Cxd$p-E~oC&$Ot>WrG*I)P_#k-*%;^~Hcs{~FuzTXP|6 zvP0{ZP@j0m+1=0?R*ZyYPW={I<~#_h%~%Je?89UbBLa>r6rvQl(ru~ii?X;UcCaopsxelH>WFFmAZ|l15p607f5di z2NW|&#DQ(%N^sKwdDEZG$p5Trr1@#=25XG7G!z`+N{d1H+wHK>5F;Nnp3&+ojUW-vX%j#mfiwRmSzkwI<&<)+_Gu;W=GKtQfRVmFp_ z1vN>95=c8!)1ZO~IOE5WN{e9*E&w~PoS?B2u~c+=TalGXNmNdh z>S5QO=2{&|jZF+^fa^6hyIF*P%a6@IjidD?)7BYXygr+o4&tGxu(-Ux z<6OMHqoM^&6q2gcLxUxjW4)04{(IBy{})^yoa$N5a*&(@lScKOZE`R~gUjXNn-z9&l3NdNa;7b@Jy= z=bs!4m;aZ5)_3lxfO4}1j{3%yE~{*`mY7mh+t-TL)1${3Kpj_8|dswkMdY0M+^Dz6?w7Y zzgY<3&7x%mb>BrX!wPHoR&r!3&9VP;WeGMs)#y3$72x>&*Z-;xY|e2^ z0I&Mlaof%9Zs5OZ*IhDZW*f(=o149Auc@^nbc4E9@1ZUI7b`S!Loffw>iM%j>Hbd| z*g%$Pl$uoD<>eRlYow|J2ejUudD)_$%(lv)?yBt7f9kvWiW8kwzyqiF&&9m%BEynd z#7v{lx3lSZdF9olZerutFW;nHZcj2!tS;WuwR7hG%(yvSYcqQh{r)bDELM4WWd$%7 z1_lOdX{AE)tEqS`+)Bp3kp}zxoK0QGSt~ znkeo_D2@*Qbh`Gop1$0itsXLLs3L?2&91nek2b^iny zo()4`fxbAtYp=$SwDR+$b1cL(nPN-YArO?&vZ`B>I&|2)3?*o;ddfLVosox!N5epk z6GUG$bLeJ&y379>bOO|2+1ce+u^rE1nYCzhocWEEd3Ks>oTjqf56@Q-kb!){(E(b7 zNMW^s+p?2y0ohST+clYB#FA>Sr?Vg#AacGOf8GbQiNN#e2jt!`;*9tHC3Ided4>B6 zQlsVbbiSOM>4mC?1KWkCqt5uihmkGu&tVF^){`xK8)EOI3JY_Gn$znjr1H`bR6*pw z^w*iJSwO1jpC$+*ZRjLHArZ)q&aSURYH+p8ArU0R+jAE0SC*+4r*R+!J6@yC%q|3K zK~RDS`Wke!(BwU9i(`gKu#J6d1ifiNL<==7VGyLSA{+whH%!9aUOl#nltTq6Gy47w zGtDE6;eYMP`UfQ_KHgc{djzobfP+kH)mf8^6v)TjX(>MHkJKU{x)S`p%<3<{rv4fd zE#lpXoAf=8xO_R$ndSBIbwoFb=LIL(+dX13+$9%vQqm}mz)Ks65Bli1qE{$V;X}TFMQBp!q0TMe{^i-o;9uz2 z)78I}SDv5zUiGAFS@woa7XMdiG!tXq83d_+6F96D$pByjz;};Og2R2bqsHoqR_|sy!pqn61Mb7>1I*>n;xwy z$}}}uoU^%nfDpUsib(1n(?{7jEo4Mj8+E-0WqmwI)-qcDOM0Ft^ylBZgu0#($_d@(s9y;`GW?X zAj78d?&e8U5VONHP!^Nd;%lSCx1Cv(Rv^T%-r1(g{SjnN+} zqJ%X?dfW}MomStf4}5Jb9&cf&Akb3b(t8Vn<(iQjasH}G&6-H}Z92izmu>M`+TQy$ z&ur9c=dLx6C{y@j>V6VCPzSXn=}MJfuy=m2cwkKM_GDR$BR4J~_>4|1{x!|0n<xH(Kbefh8mm0cNP}cDA9lE$1cJ@~ZiDAKDjsD+*Zy+D^ zZbCEB*-Vk|IZdQnd6=o|5$|?oXe4`mDW$>}w#Pp+n{nMT+WN#%jt~d_7@WZ%0nAdW zJC36jnYgMSPJoxKtO^NqLPpjm-zoTqy}nVZ(d<2>u+Z z@0DWP2ZWS$<(MccYK+ozkS~y4n@5%5mQAx%8=!cG&^f<7r8VAuI1A`#uiAmQ*6N?* zr(j}V#rnX_Qbp#Ty{V0*2;Al9D&7G>&t92ZvgOi((X-Y&9H!*at1CA}nDu|6DhxcL zqJ|Imqr04-tib0xz)v@}I~LnR9Y&744nPgMo__z0nlwMX?eh0J*;UqY8!6sn)A#*e z)H6p*t3qp8AoEdgzCf(e=3{JXc7VgmLs#c^Fh%PjO>~H}T`_Kp+UU@9 zA$e%c^CAzG+yf#)i=1RwLMke!Y@iJ;4o9c4y;oR_N)0Q72LsHcDPDmhXtt)?B6xsDiUER&Yx|DIgU)O+DVNSK=nl z<3Qwb-g?`Kn^tN>mdBX*+4bvBa3kj0kOmJef9l`!78ct<2L%me5wp(TvM2I@Dzhmi z;u`A_lZ+>GC6e$)%#+mr0GZbBoX2&ItP1$zf^&d z7~jajj}tW!pS*G7_e&J0la3z(z)&H$6Nz*XD#k#EkZ-|X`rI5BJx}{Hiru1Ll>;(u z2{`|h_e2Q>{~JXKL}y(Ziu!$I3J*pNl3kX$9kNLfq^S1us%gpRLIzdg{<=ebdNGlq zL)LA-VsqTM%K9oK`h4ooXHWFU=9~!!A&7~i6@ZO6fQI~ogm9e*lTukhJ1Ona!0 z<{`SaoYW-v^!h`pa<~{E1{LaTwAxE$4`9xY^f69LyqpI^>@49QLj?MGzn_eby{cs3cSP~{GvrGa3 zP7OqjaVQx!|2UhNzBj!U+!fGMY-)0I3!^m~|NZ-S)ElOg^z`g((Wk3l1`B}Vd;Zk& zLr6dX4FniZyqDy20w=Ho@3jr$CWt&GEnS@TRP?CU?>85>dU_v>YWDy%jj#)Ua|;hB z2*?Q?j&aE^v7)kA^J!y__d!>{n(zI^_&tr;eWsj z$l%meNXjsp_aSJ~!twY|Y2W8CtT8V8nDzZC0k1W>$%hB6YX8HbKSoR66p-t1XMoVF zl^GY_Eu5eTYWhB#`3nw17%1*aLtO3fqb|JZJvR7*W(=~8tq+AZssxn!_jx+(d*ePm zH5Pc7@c=|r&dLb+OsDq}QEz{IVSt8=_NoxZi`n@>99I_OYjN}ks$f<1i6~Hn4p14r zC=Y()OcE4|D&wkzSDQ(KKt(LBGPjra9R~lm^A1EwOYwVr-0o#lLE2J$#`*G7ho@hD z$;-hX10gKB#9;Qc;eE^Ivy;s1>`#5H%XUTYShol>$*Cwt3B~Y;Elg?gwd*0xwe^_2 z;b1K)D`!^0+DY|q-+60|d9(!gKEe?c-1YVCei~NJX%L6T?ck|v$yhpJdeztO*ehO? zri$jV9119bL2gLC*jK+tmRvVw&bpIaxT=opIdCb5RCf|vJA-ki6gj@=5`tOrqBz+F zekjaftcL0_>_cs6-dGnAxfVqTfP2ZODZx7EjVi&sQmtsbGc*#rgeL#^>muJSXa3ir zUxLpWj1q^LpvuW8MN5t~TtNuZ7S(hw#=96*Go5n60T}{pidS8=ZH+zUXqPUM28@|0 z6&QhK9Xa^?db0#<9S1X2%8v`3Y%jlm4klym{7M!gOZPhEN)dg?pN#eQRkjsx8e~%5 zFOZY5kD=R7HXv%b7*^RbFj~5ccRcO5G|Ktc+HChYh$YhONF2D1C$y6JIHGTUnaf-) zoL$}$d!*%Dri$0y8GO;Lvh_Qee$<>3fIgQo*Obyb)3{Ob3J_ul{Ww99Th4iwRt_eo z$n|KdCyVaVhOY`po>mgc-0mYH4h3xU0h67Br?gSFSWPfW1q3>lQC3oL^K>7;z**7g zhTLkR;Gybb3|uJ8PIBbqxE8IU62hK;`=WSZe#_n9%*8-1vWn zcv{a6uAPNBSDv2U@V^GK{cv3N)PPH0kHW$OVut4fvwe}j(8A7qX zk*bVxfxTq?l;S!-e^`Dj=KTCERzcr=0s-{zikQz%JNs^)&G%#Q567wBsewMfE2s3H zJG#X70hFC4Y=>u*PqghxaZ2ZAf;cwdY{4HCr`QS{bVU+XJX{FBRb9c8Y&7#rPOK?D z)P2BvhhL~a#t90N&@`vqZGAKUv;$E6NPA$2IK*0cQ1%QKEDkNMNCafOpsZKvnECN= z)$Gl|9H}TRN6*miDt=4cA+wD;_7rgqd?6 zK2x;=-GGck5`#Dqh0*ifGtM7FyJr@1EBgdEV`+KVl)S^4sVb6T&-$hGmpk^j$9S z3Bp_aS6Z%r5@$1O^`>dv7%Zi^V1BbfWr{Bk{VQvn%<%y-J~?SozjQe%bhg>^8R)S* zJw1&j=ovG{#EIv~z#`^WM*+o+BK~fvk5E_xe@jP3|BQ)>OBntIuJ1OIOeHSe6?nZ5 zU%ClP6}~L0_8I!;6j&jNW5v>@lFNaDBQk|uU}Yh=5NGXFNGWcDpYKjXK>2MlIvU>O z*&tA5I|0X%n4^8wCWT;zNlu#(xukC>ttu`)52@u4j3R`}B`cN4@6KE)OkRpq6%9eS zHWv?uS2ms^*&cswh&*;bU4J=!SYLR)lrCSedmdnOq<{N05%1R<#fO{stZyvd5&sgz zd_$Q)!HEh+K#lte;;_a`k;jxtX`!X%tW~~`42R_Fv&u8DK!8gGA|hh*n#am+s?qw= z(&5AN$;k--?jMt&boL7lC&O?BQv{(#z4`b$CgGaa?C1J#K!UCEngWJx$G^I6s<>>} zPK6U5eg~c=f;?v2%ZXS$?Cf=xpbuvDvV|%O;#R&m~kH zl^%k;kaZg$1~C!94Ysivhrgbb(xpo>&1ZbwU8Yc7mRSC#jNeehtfQWHz~zg!FhOcy zMN4%!-Ch*EvkCIf9}U~#7_hBK#2l`oA2Se%F|*G7KKO2~H*s+r51~6;c*qP-Nl5_> zY04AtLr_FCCb^pgc)>2Gh#>_(q~ zBQ?U)W3p4S>@>ouL{_w|c>BO6)aiGZ2<+%J=%f7a2-8NR-}T^R;pamCbx1mQz&$dsRRrx?hako7|JbYwyB-hUsqx=-{2Tuj)t>!`WN8; z3vJ%m5~SpZYrWRL`y89q2HydRL5C%1{sUm4VLnF#=$ix?H=(eXiVt}K6g^HtZL>&~98W^X9G4a! z`Szde)xK92Bs7AVA{YjOdLh0ZN}T{@CKZ+_%MWsb^(UdL=b4|tCv_J7jhvmh;?Hj^ zjH{q4_I|{Y-47LVC!Nl4?>o>orTS}LcnHw1{3r5f?@D;kgKy8$mlsl}inF@$`fD1f z&I>kfHe6l~fWLWg-?hTrUe*tT&(&N%`!3I1#Rl^I1)c@m>B| zkcj_;9`M7}8n zAlfrLM=Ut$E*_m23$g#!UiQaq<|Kj5AZ;|}X&cUBP4@2Nh$QJZ+Z2|wBdcQ@dvK7i z$NP5AW6>c`ZdX6!AgD&U3pun2R~p5bm%cb`7S8?}J?wReF$xM|2shdUEoV5izzvx( zlU5Sr#_NCa#a|HDV^a%rNHi*rYlM3L5SSCNcF~46RqzSx1k692J&kAbJ|1t_m37Pt zsrj8Pct~cb1=mSt)8{Yc2e=t7h377kB6R0B<&tE$E?DHe^kV>M7B`sU?_Q>L-zfn3 zv^93NsPFr*zP<#Ig90Snl=_+Q`helOvQWt>K%!kTdUhEb7JJ;!Zjzc(eQpl$b~7!$ zs9mV)+HSvDZVPbP|0xELFSqA6|Eh4zT*Y`!osR;_{r#WnKL>U<0}MA2P-M-ZzzaI9 zJe9>76LH?mj_Y|n`-bT=HtAeY&AF7e-jqsvhB1f&RN-oH9k1+a69`ID%dDw(e7WbE z6tUm&4ohc1x%q4!Cj*bYvNfp9lRe*!86HH(#?)bQ-M|io z02HU5vKmEl+yuAZ2M02BzeQg@Ir?018NNxGb~mR@WF`0-Yqzq+&lvsFeN9G7D!gRo zu;PrttU*l)-&$T?Puv`3Y&*Y_tw%Up*QOEyiiU&K)8F5Ju0acQezX1Auv9AgPf+Cf z;p{C8=|gT(o!1EA&X20^+2b{82%udW`;@WLEUa+aF*IeLD&fH^Nn(eIAK@*I6%F-t zuP_1X3b(JC@o7qfM)AlJd!o~59x&9#egxXeNykK2|38S!NOvOeqD2$YQWhi9^vik* z@{$_*NF9I5%#3}(-)L<;>lFD|@GBYc%)A0&F_hFVRg)G%wU^_+OI)?t?x^dm0*cVz z|JUCwmruo?&u*#+4-L(jOD^^640LK}?3l2?sWPnnw_fSnD<{jCW4roJvphTqM2(|r z6$LZCcnV1n?JhJxB=I9W6$H^(t@pbCp4!>j+1S9x9evi@S4n9g2n~90 z@69KzoJStXU41KAjc&8kQ2SLgW?h8HZgyUdgWQY4uMtIRtb9VOVGy~&LpB&dZ>A4J z>SvG6$Rq|>(w!H{)&By311c3I9^xR{H**C-$*MCdXsh~Y;jaj*Xp5%Ix+Uq{Bo~9u z-M!4SHb;4UDOyolD8(Wn1vQ5(Lvqr9sjO^d1Pusk&PzRfzA87|_t{MCUhOX2%FZr& znEE4DI2PEdZ}fU5`%7s5J7hvyir9jb%0EB%>eaQFP=~v#b`YR1n}30yqq*|Rz`h*z zx}}q!KLMPI_M>D;9f)1%hvS`h^>{3qX!33``K9kOj1tkFE^tl}w)_lbezX2BSM*u) z-PE1I+E?4K)j_e`DA#c%A+R9(p0d42aL2Ry?9cglr(`R8vBP=cAcY;YfQ`7&aJ(n~ z{iNBnM}_@=@xFcO@1^D9+V3jdEx$GW-tP*45_^y!({C@sDJY8e8SMWPl;gIlM55#( zDzL6HBqDy@@5wdy3UA;s0&7&VK@1c^tLVI4)}d27zl1n<Nc zPAHOkceriwi8Hba?m@(8>$bYQ@6oCv;OYONj_#xb)Fz($pdNK?4 zcGf8}xIkS-_xO97Tnod7H06{t1G>lny+U4`7JP?cN(K>4sg5zZ6knr(BiGLfNxhjl z+0RdBl=c6fY@fT{X2y~iZD>*&d4ea|#ozVo}8uV9q>ard0evhHZr8Udm#^;J<#fgqH zJhEKrhx#W8qV8FtP0p!ES<}7xCYh+li<>-!491%*IcZHMx^7(CUSUdFv!sG(3WiUt zshNa1ZX^qGXVLIbDDf}qqQZ0{e9B}6K{%fEglpAsFO0de@$6v$me=J7MRgO_A_hEz zjrbh$6bL}ayFnQ;nUtCuZsBM+5ld?4K~Ta><$hTeS595y z21#0^hmEupX)tq2)R<;==UvuVli1(3slew;NB?8Jp`oEeWfu$JD*4!h9RLa60^FFW zptpuMzmKaPM%FjX_RU2H-_*JA{($V5;R}T%D-nac)nv}{U|~WRcC;y zDS_KEPV1==WE?kq$vkB8ni^Vp45@^VNA)tJM?5{}t~X7jM3r%Tq!adS@P^&@)_LPx8?lpRx=N!0j`|Vx4pyNo0qU8% zP^s+12gHN8=+Rb~?ePp(D(g3n;viFFFRxqRXpT*y#`(sEo4SLXB}|LOB>viYzbrBg z`ayLm^wUO|8%%)NK)qP)oLZ^~Z7A{`*9Vg({S21GDaFbdlO-7xH0@|0(oTGr zE&spcpkIG!04P)j6#fAZ?JcE|su>KZr42d^CS_vt>3FSdO+5y@4xgp>lMxcSVzpS+ zC0uP&lg=@)dLoxrrI$+Oer{IWCIXnRN>Vhf$do2&p<%b3NZIpoGY?s(pFeq~AIL$} ziKPl=#6*Z7#3#h@)wMPIk3P=_F`R6=4d1ny!BBijm|*`n#}LV_m6;tCraPl5wCM>2 z6isjT3ETBCHbW=Pe?Lc4mAS6&D8?onfpf zfmce7MnXNNF~-}hh@_x#-}?iE-SvB8k{W$5=KP~dF1vypgYj#oyv9~>e0sDn3sWc> zIS9e-4WE)?t;jKZ>O@Gl%WIs8U#~)pi8~n}(Ahc{B1pv8K=1MTb7^eXKFxo|sX#Me z;AP0)$IV1717y&d*NR^C!}Rs-`rXP(*Tr~P>E%m#t=C9hm(N(+@``Q-RFqq=kYtyi zHMe3Zl_H;4LMHocH)w=VJz)vNfk)h{Jxp*rH#=ukMpW0B5j_C}4iKy)ZFvGw!0Z_y zZB&H*apN@SNq#JQVh+VO$8&4A7?<-aAqN?@xZTgS}@WwAJLBOV7RM zHHV_XLPUt^%Y<+>ng*1}-CbY*UsLOuol%|Xkofe^?*6Gi>pb%tT5!ezIc+%Q)D)$U zQZGhUuJ*ifw<@l-)~^N-1%WI&XkH3!lG=X*Po<1KSwR~r@M}?9mhU?jaf(c{*-)P} zT``^9oi)vBbPm)Ms>)5vNg)TiazkI>`ddJ$4E z1yg7>C;A}UgB+A#N+}}OQTL0iGz%0Yifs!Ad2_^}d6tmEB+OddupNFNzS=;l891x8 z_rn2jl(D9YOEA0vS#epGrAQm z;?^i-f=Nf5kjy?a$Pe$6AQ{TQiQBZv$$yeIo;U(Adwk~`uU|#skQQ_?DAAq|gUu$m zMf5;8YK~=$x%=}cvj*;cn5ZQRk31@*6lOhCPK~2Z-`KQO+`I~jNsSSYUtI1&GnV+! zJ+5B4yV&=3er76)7anh-e*7ys!dx3YNv$7Gr*hkD%!nsa7*#@*XTKsrxG@1|{zI{D ziqna2ur{`2?Vne{{0ncRG-g9JziEGV7k2#NF;r4A*hh3o-RpNZ{HFl!0<;z-UT_7| zPAtbc$>HQ)f#T8%B`sA35_!+kh~n|GQ!(}N_NJp{M_>d5ESmfJHMq%0gowGWtoj%~ zU2eZME5T!^ez2musLSHjH?29%p*flSPv|OtMadonjzbBekv}v>CSfdIl{FFw&LKJw zd)(g7UR$|btq!=fyfu7^3gfzYnX|GpxENjVr(JL*Us*oaB70nz>N+kGd)&ef{MSeJ z%*W^Z2RXIl-y6px5g!OLSjHG7X-;(4MjU2mf$W@Or$LR~AC83{{VOskm?{|kgFqE? z@~BBEB{E?jm^wF&f#CDJ1q4kT#xR1JvduyeWk;L^g5hxv#i)U&2|vSHstO9JNHF`@ zqk6rj2V6VW%oQZe0h1BHz@Uwyv`|sQg>bc05d&~#-zugsYD&&f<|HSCw55JwUcv8J z`EU{`Wcc4u0zvMXR2o$aQ!P3B2K?`Z+=H{eg+;?Z9G1=R53V6M8e95S=sddL}%>A zg9}V((4=siT<}+R2INGM5WqwXm^8+UTg4he&Y}xxa-vePqDHCY2U~z~h$w#c#PN#_986jfn9g6* zqc3TstJgK^vr2Hw)5d}$3e~gE?)q`+O`xDx2sCp(`Z~Ga)#VNqbQwNG!=l({E0T~! z=LDPVQ`IzTaQIN>x@o2k{~euuf|s9j$ka1`W3gWeH9?SYULy@Qbych@flEx(u7yZo zm?D26c{2uw$lYcA#f-nA<~WoqOlmO415>>F4%{7OS#>JE66HrR6@;=Oza2^PqskMm zwQ;xT^ESvuNBRWDw@~@X&M(gzu)Wm0;q5yWKax_+%ElRk081Z>H;>hpz_f7K<-Fba zUuuuvU0hvK#k>Ir<^?nNLl@48p5L0Cp|Mpb#TXG^t z>E8%ilOhBg&2tnit*)-l&l5-nuJVuB3`LPD9p0?KMz4P?jnzrvH=#2|h)3v=mlCN+x&0W*W~ zaRq#-ew9-7AXT|T{?RxJPPH<2Owu7|U|&>%P%jD=%%)T6;p2y!0E=4?eUu8icqju`y(zv25<-{dX2ZS^-9{*X$L&UH7%=awt<-z`9CMVa9;{Q)@aSZe& z1_^sjk%DTrqOf^bL_EvRD4_b`r=1Rmasha%{OS}FZ-u5~AyZV)-WsdN1 za}yR2LBbk5^C2+7km!`}NEqT!I6nR>2AyMsV{fQ)n^GlpUw`N&ZbaxQ2R^S*WK2?L zf^$GFC=B4@BhjCnYI-{2jxR+G?QUh12FG~r98qC!f7e;TqF)@m$VVZ^mm{`N)L}aq zrfv^6m&{fBq|VRHFDhclnk*ND`JGGgP@VE~Zw_G&Abg zB6YQV6);Z32q(X*fB0N>u^<_mC<=A|ztUpvY}YsY-r6B%d9D)y2J9;S8WZ`nx!R-# zD|*c8<|CV^L)knq6dG<~XbPx;$~Y+q)1uS+l?#s`VO$)Vw!ADj-AxkRSn-Fte!T+~ zeW`U6GN%j!5jppLoVv|U@%87v*d)d`8_pkc@m#DHK^$pAyp%5gms3^CUPFsU4xn~B zLiby;uTWd_$3B)++di+YVH;deZKOKf_i7oeHC&Oe@tR^Lkj>aA0B#WHv_+F$OZ>IrpD~jd&3#iEO`iyKBPd@h5MdR3_er#JDqBKxcmt{s$1j(z-q4${D#B2-7MVX!E$n zzPXx!XVTBevc*sBbES{JQSJg7CYA`{Zu;-Q<`#8xObim8DgY|B`I(Mp82$&R}{6 zqhvR!<)*^)%8rE{{e)-;Z`I7-tt$Lx=lo)qRDdso0?uFTd7Jxgx7Tig#R?P#LJG!3 z#zTa9YDojRZzCh4wcD@bSyTIAkn0io!8FraLP;D-LAV)JW}3ITc_H9WYHV~jHU0C{0=M`Ta@tci#3mp+N(FiYPTu1QFaP#63$YmhlX4~0b>C-R|rf%P!Tp=xV zbuZ;-XJC!tP(T?~Sg@-0qvZOlXe8R2@#!XY6C0GQBxWQigQcseh&t^ru(9dvn|pdu z8XIUvOKCy*8LDr)S~_}$R1n0DNd%QMp{aJ?pmv&w_|;iY(2D=+hg?t@1`P8wwizhke1%lVDhaIDXHw%lqY>$XwWLw>71jOuz&%p&oSOv{JdFN%0_{v9+tvdn zTzlOr!c|NgW^VkOpDqo7KHw#53<;#tcov0VGq^hx$1)e&O^+j)Wk)PY5z%X%sU;YU zlp%#qk%EB^q6tMHoUlmY`NS1QLWN--Oqds45$78GP3OPej&M{-hM&&K4NWep>sybb z$zQ9U|J;I%=LV{c+y*b{V%Mk4K?A)b_gxcAB`XV6fkzKg*sB5NQzU ze0E+_zUy3~qS;gXFN+CbMX;RbtCHK>+rTXhqs5M$p0^M#^rpP?=vr-Bf|Y@v-9Ewt z_7mn#N`=ymQqHDH#`9HweAeG6BLxZl3CwNfsP`FQq>1Fou=n?Dd4eeT9L0gg= zh&*SiTD$hKR5>u?jArH#@BgrLl>trt;d*p;Dk>9@E6T%rh`g$go+EUVmO~{m1VP!pI81WErWcJ`WpxcGhgwL4+;(iP^tp}WK@xIx?0z- zQI52IX3l*PRHI@dA~rPkdoH@Rojy1J8f_Qk)f!L+jO**`O3da99;USOm_gDCfZUQN zSf?(61^aDCp0K08x%TH+Wsx?;eiWXAvL0s^^L8stRTkDZT68|zPj-_&JsvUkgmuN` zZErUL$O^j{d-|#@k*zeIuby-MR?_m*78{PvMv2mA?Yz87!T~c6hj?@u#(uh<(XQ@=P|ZkrBSBB_zwxw1)i(5 z-(3D=D!%DaL}99wqswNDFGB$UX?fEn=R(RsUaL{kpBf9nUHf+Uq_NIm(Pncl#VAv} zUWW1eAmPHE(dc-sris7`dHz1n?9}ok;Ao6l75p+^HOb1aQl4$9vqVI7Ya%2zt@j_oyfs zut{)17CRM#BWJ-clPVwNkV07$Y^OcfCJ;}w}+5{H5 zxAmp{<_q*c=I;B9_Qar0_jkGn{jtCP;#veXag5R8D`@JqKbMlLunI-_e#Xw-*1ZU1 zp2<}73N4LSRcF8j22Dw)p8g-%)LFnT|78s+?LXEx&kC`hvCaNxbOnCLFU4H(CmXpG zEuAYcz*jwRKKu}$JsP?fe&SNdc4`q%ti3Xw)LXjrU4-7f`B5<sU7#_>HD_XE`s zQyorfieDkeZSTE@@IF5S@JdKH&}>H^%Pr$lG94#N1Jr$RKS^orw3kKl0{f+J7b(zF z8>fEB)|EEaLkDkvO$9OjB(BR5a@pO)xQp@8mhhdM-dmvu^U%oifYz^`q!QV&*ox#F z^yq?IT+Ft=tEjLiS*~beHfg==uE}p)@_NLU{oOrz*D3wByX;lf{s%HSwNez|v|ewe zBGdHurlW)$Jzrh%IGk{7-ztSuH(iOztq@H|n3#8wnbUG@c|Nq{4(j`TZdlGRYb0GS z{i7vEK|v3{hWT1$>1S>c<41%Wo7r{`44e3c&@K)b<)~i%{6m$kb;&COP6G>GCbQ6K zgDn|cHIxW9v>PQeZgbx0|NHlE4)W>X$W4S$#xkIvKYI#g@;fuLBQ(yR^DycQ?es)3 z`4JFo1g+1Y56bT2gSqkm&^<%bE5-qk9kfO9;`1m2sWToll=afqIVXhSE0kx*r z%-rt5Z{x@uOkNU)kXkgH=9C_d+cZWzM8X#B+rM%RkL@xAV#->WevqSTpu^~=EiFv! z#y-^Bph*4fm!(~hrrnuN;8%&%@bBW_{RAMDjx%Mz_oGU~?egCYGK@J{otcdF{+E#5 zQdMrfww&}srhrKL-3a&sxAK&cSvSr;=beDsJB_pinS2K;{jD}CQ=4c|{6w1{d4 zN)`TFXC?kg9%xX1Ql5daAAtl7V*Ii_NJ=BE&F6Sf%u^6>`XoKLTtOTzB?l-<9K~Zpz{G$-|AN)6`4N@% zx6R_F8$V0hFaR(Fla#fv;gpD>DxDWtZz5$P zP030cJ`{{b30$0R#LaEAwzIqWvDt5n+(|Y@e7Eod0Q6Rtxxu@?ctCR;DLjVQfT*!C zdsISqLV|`}$^HRd*lUJ{riNEyUQSLGR%m6efU zI3&pXpT+@)2+_u>7L?t=(Z6CzXd?|Otulu2rc$TYWv(t6HF~!`BeAS9-VD|W<6iB6wYkv8!XV6ZoiK!*G zZttG@WMbt+eL6AUPdSg{;Br)!-r7GpjN{}&@TF&l8a+WS@XG#YU;5>UP=ow6Uty5@h)nOUKB; z6Qw(J008Q#Y5@2lfM;DnG-w{D?POVv(XPolA(gI(fyX-fa7Lg$nSi zOk#`-%ff+Zq6`DEF}iQ@f!KgQYj(W~&#~cw;f1QtJU9b0N~~S&rT>iGfN;M4lLzP0 z8#EVUCVk*`UTgGgI8JIaeJ`>!9_P}0WizX1{6G;iUd`Qqx6XH&A?c@K@!gV*xm$bf z-K8Vg8wo<}?10=ic0Ylft_^nnz~S_dF+4N)8z}dcv1;ZYbDJ)7&;M~CFG&pU%0V96 zg2>0~MXCE0NtY`QhZl&GgsUP|_!-Oru_q~h@s}iL1ybqfQU?zc?Uy*05yT9$OeV+l z+KcLud>qF>ZjnSkt`BcK_$kV&=x)t(1GQi5>gw7n`5h%KAzMVmAk00;RK5KHooRv{ zPwKV6#aA`X2Y&q^C)%WL^&`P`FH1c8dRDw$~-PP zB}EWC^x63*c^K1<a5G4L-N@WcjP>m40Q{m}@HEWUPP1SDPaj4KLGy4CX zHS>eb{mAh)LljGT-Csc-h9@UePVR)LsgF1^m|T%3R}d|GMOqZSZGeFfn9k) zh?yFTdP*$L*FaX~S4NMT-v_?n-DJj0m)poVp-=PUg&gO2b_(EyucfjoMFt98OW?gS zJ4EMW<1^JUGSD%w8`zl(|6~Tm{bE6g9?0-o))Ztf0*EA+UdTo76pK=mLi6F>eH-`j zWV44N1dEfBP%Y)V6$p9hPf_hNQi;?4(}zy#9IkB88pZ50OmHY>Fclkth>7i+plDut^f1+j=`l9XP3T2CD zA4ip>jUNtv}^xU-kP zM0nb}?&Gw17jTl?y0?3Ze7HzR;Hq>QQN6d7^y-O%!I0N86bS?ucMy9h1azl06Isjf zF2V>n95h+p@Ve2!@tb42@9}@Np%3YQLW4L=4S%{&*+5Wic%1LVj7xa_34n4HAa_1G zh`gVB1RHz1n1O!)e48}akr)eWEfA#4ow8xYzb}09y&I2H86vgq$2bz&jw@U;Q-Z7S zC7R<2@31=kzo~G%Tvdag(sv#)LLo3BNkkW_4CwHOdpR`0Wi}c%BxeG4vv3d(Aa6f6 zpqsYXwnAXEN^y*YKYFew6X4&?BiJ9dejX;+pvPs)MfOm!IHLB9F8QtLMwd=8g_VHo zXyKq0FFQM9Nk{l0`@ZX2e}?$IQ{^qgcH*Za`hZ*-9`}U=6*0sgLC78s=&2aTc8;Gv zGN%>!)}mtWaY=ZxpMqw6f8?$;Nj>^yU@FjLAW<|hh~%8;w?aZ{Ura$guc+mZL&j{e z_}OJj)5emhuX-}vw-}f%qv3;`fhPH+Nso?@+XTao*>;JmDM{bsm5ry{Y8Wx#zD;{A zPDIb)?+=o!?6ho7E!c0q6N3p=QG9jJ7h)-M=rvM}Uq|AxD;8#x6pQ2wE(AeWg5~Aq z)qZ;%?KTQUn#D7<^I@igqs}jk-RHCz>S}6K*^}CwMv%;eM(C^2xZ?^e&I-v;`OINu z9Bks#D*yAIgD*+~ju4-&1l0d-NK6SN-Kwg+tVS&TBDl(;ySsVjuN!pM$H6=MeaX^} zBQ9F{ruSD8$#lyB4l}NE9_*tzt?^Bn1>uj&dm!E0hir3kzSQ=mUte$ru5!f$NJ! zwk+hdJJX|yWJw1#i&R$<=-s2EUkVpUa%uR`Zbf=NR9ohINZGcqybA_01p0>O0xSO7pk^* zUtY}KWS)0bMhcSQzje8L^DtFNGkM_a%!r=rg!ZG;xO3cY<7xGBs90sy4<>NPfuWP= z$D1@tEA`FP8Lh^yJ2tKI$1J%9R-A17n4bOrjR(`*<6ANpvt(%0&Y!+>;T2kMu6{PZ zB`vOMuKtayHw8jA3!=n1$a8pjji;?H=D*XEcI3!To|=AGcUsXd>Rv$ZPj-KvWjw-j zIvPbE&fh4a1x*E=KN-MICE=61(ogP!MD#h|#m`T+MWJgFngo0-kOxNx1A9wjWC2Mc zW20{eAt3VRS`>6~q5es9K#`^=Ri35Y!_z+3264D^kR#FN2hG|Uy*$WCJ1kGN(Y?D( zsx+5lf>O+u>nLgls<}y^5;DNBHRzcll>6g1JG+DShPBMdl@aS?Axjps z%JA5)XlQ%Rb+(GC8}&+96_N@Hal9MIGzerh0JLvT@4u$M{vLHD8hZb#7l|IHi`fD+ zFAkp59_XYFeMcL&qjov8Z?C8aPVaPuqQ?rS&}i9WDKrP?b>v!&Piuz2C-iLnH*L8E zhh;d_WW(f}{I?nDB|P^J_impUL02H~-3oZ>gXL@7{9NjxK_|B@17C0JCdwqzD+TG% z(~^#cA(G(O>RKJ(>AQcC_1T0c@ZsGnCQBPaAcG=XWuvQuv-1V&ys?Dp=l{tV z4-D@15HS09&$B#W_^_|Px_bQ%^o`qh?C& z{q#m1b+=uv_YB{U=2H@D5|T@}yWAL7FJ17U-ZNuAk4r=`O~9715v-f86H_HpNcFws zt^NeO9|FFBfbSlW*E}TfDHd+OL-0_2{b}CkF-Bn)na0h(J)faIW4G;9FQ0Z)jJ~s+ zbvkZ%TDHMg(?nh?je4%b+p-{6BvzF>DZn*XqKtbzF+mLtBl3R2@O~g}`)K+k=`Q!8 z?O4sWMEuVMhCo`TH?a{FV`91o!+Jr|7Jz-uu^0M)nNDI;``j<{^02mdQ*tJbT zVQ7J>70NORK`r^^Th7@)N`=;L3FMd~wd@Om?sU7V$@#sv8rk99?{~z>Oi9t>qG_tsewj<(M*QP_z_~O!MY4FEBI|ka<}50!zxd94Nddxd`w>pqVs|F z?#zD<*gtx$RM~th#FnRV|8#o6^C~OtERlmzz?G2FDzg@yAyDkEU%lCiK}M{#Yp2C$ z;0It}EFklnWq((1w3gnHm2Ev!SlQvf&vlMzNng5c{r5&Y_xGnzZ{-2v{1b=A!daCt zwJdE(x{ZQwP+wErp(LWZptyKt1F`%v=~L%DamCc^_m!`9IA#5H6$16m5SI+|hf#_v zUup4IZS9Zp@*S1k1RBri<{6FecSXU7$pyCq zmM=Nrtv_^Rb%Mk}*6!xu$;lI!%hA#~n?%W@WV$6OE&xC-h3e#f(M;~1iq+>Saj?Gl zc;me8V#9x9jD+3@F|^^2(ihc1UUqiL^WeRef9zQ*x?e*cO)acR-14k9z+f9~2fphK z$DQay z6tPC)B2JATp{>p)OG=FnBzu#JW)VE6&NdeQOieaOhV(iJP`F^s-FlIMizZvRq>%MG zhMSb>9scC6Z!$WbDp^w~Kxm&lKJ$zcjNf(rh&l=&z8BPx-?qP~p-#yP?fE zT=c&GkSSqq&N3#0*GRLrGU_#Bylc~pO-EN1%kme#6_ z{`!$tZbWHN&sK%cA8W6We&jrZ^u*fDadI5cm12f1FaJ^3#M)HNnqO?OSZ2oIP1+6j z*5p{lN!k!=KiPsvAJb^%{yzdgtd!o*o*Vvmaf}}&Zc0^%h=@!*!_m56Nm0?!RaI4& zNiV-khg{?x@<@t4po)nZbiBh~1Ah)-PX%b%+w98mb1~a!S`7^)Rp&@UVSeE4rJqI} z*MD z6a-!=6*-m0t-HcW0b)LG&j8@l_bX_du#Kj>&W(nJ9aezX%~%iUvXsCV#hDBLaJj%hO9!B1|!8L3B{7`iP_3NpkN6!D)czDc`JhxSm1pSeapf$SGdPPw5)iNak zbUSRna8~Mnz#|c0TJ-}UdH3@p;*19BGf>`)9D{3U*HzoiL^IzH{+JMZyk~@Z?HqfF zd)-QOr<8Xa-)C*yj61@ANIv~Iqo)XjJf2f ztb=bxV8i^$3pTk=YNTNwNPVjmd``CFra4#I%%KDw2cls33SwIYF>y3^GW0XM<+iIz z2G%^Tf1d#f$X#+_{;jGThlxc>3HXJM8I?-M=PRC`tH}W$nbDAZ6u)aqFX!2hG%_^o zJZ)Mz1H;aWvwi+0rV?HSR99+%pfO;=2*~Lqfz9! z)t5>M-!?>6^~Qrf$oKJ~J_^3VF27~Qh!OW!FIErl{e?DWMq;=50iC1I(mf7nJ6T0s z0y&=JYtbUfoBlh0hbG4$yPi>Wc714Sd(WI&ZtppmGgV_TaRV{Yl1#0Rv*sx#G8bDLCn7NW z8`6hrDT-NGile?JEl)DWNJ1KxefC~1D31zCz*>2LCdQQ;!quM(Zq9p(-&q%-UnWGU zJFSKr&kRF;+Q~>`N~_{V;OBrp{}`{9#~SDjg}kZ@53D2AskkK~>EqDIYN0sdCA7g- zZ6PnV3%-b-noOx|NM_{UREpL8^n#aNGXgEG^BDJ*l|%6F^!{>)QpPbp&y=md2xfJS z$!n_{8%8ZXa=LRW$@awV$w}xFJPmoPU3}{>p(Ul42ju{*jWI&to>pNttpnU-{l7*s zXNMm-UWMIy9UVUOL!QFcByV17`yYZ!>EZMfuU6Fz%Zewmt1!Aos_C9k!f2?2CRvl& zaptww=$rPAQQldr?L_1WKLzNi^jEp(SIJ963UVJcyN}b~ulD(aDp8dXbrGyU7L^L2 zxaQSPEL1sc6a~YgMB(jdUHV26h>!G=G1u>-`j%T>^!Wd@$_DL^Mxsd@W2+k@zp6-h z`k2|6nOL-&^^EFZa^&w`Z7>9v^}ZsbmF-ouKc{lMPagU3Cj;7XJXBz%@+F%vZ}*=N z-?Agh=@1y;k=euhPUL&D9t90EYG2Fn2<8!OZfSTYB7iMSvB%9VRhUYpDrbOFhje_? z#&k0y#Y82uO3bo)lHL-j?-vz?`WnHKA@D0>Nzcc{H+N4f$UR>)6JyBIU0BM)@@9RG zZ~mIX=RFB*`69qOd4;pZ$Gta)iqL+4yhYw0{u-KhG6nz$%7<=XkCKmw6c9Ayt^?Yf{qi3{ z)o;8INz?5wc82{YU9M%H3{%iN#&wGu9p10#rLnTN7AoVr;yCKC@Nw{JNTe~YTecNj z(g1-u++pZUss^$MxGL#Ty+$eo=@YvtW8nMZbz4UTu4#bC!)CCV6B${T?>hjg&VW3Q zTIuc6)J%-z9JZB$HG{=IDhkIw)!WJIY4zdgieiS<%#Bp;+i}O0UC_lmo+}m_6|CfC8loy4<__+qTH*lKTQV)xzInfVum zQ*zSZ!9^y#VKqzp`s_s5fId;Xx+8~%GHvL)T=YDH0M?cO62XQ4fBCAaa&i3Y!U7qH z$m?VV6?@T&-)wP=@jZyx`|)=8c-kN6oGm2@w<6Q}(u(dkAh0szP3;w`)wK_dpz}7< zrbxe^rD>p``TjIKD-`bK@dv)5rnWBjzcVefTy+J5VQ2YFoT^Zt^dg;Hp})5Ee(_tqN~W??v4eY*5( zRe!r&X#FS3^5FN|63tdkJtL!au)jX7+~^mwUx77l<#f_Oxp86jwzV@ikt{?|fCnMq z(_!XFU4>qyo)z8cSJXF8lzUPQlfTKI{{-!82W7c zmqR~gE$0q@ESu8xWIT#i+*-_Ex;EAjN99+)eRArg2$e~2(9JkImgJ?e7^ z-bGEQl9wAfSq6t4CzG2f7#lG(u%9iV1$mHyK*tH^M-v9LzbL)?5Aa0pII0LPH<26u z(r;)aMmzIaQl3Lh@krd4)T3hC^ZCX_BoED$Vp~Jfuqflq2Ey5kIW5ewHhiI4;oKvt zyu2TRMw6z|;=d|(kpt3!IvGWyV?(8%f7#J;Uv={XSk1yp2qL8@!*9V)f#IPO7Ae|8 z#^?+svZ!cC%-kfkh*Vvj2DNeL{TFdAoO8>76-+?VbigQ1ZFt7n-&eSt`HQuU(UiEN zXeOq^8s9_1{T%Jay7TUwb#Q^=h*8EeXCe=(oR;Hv>$Vg|vW#S8Y(ZI=)SSyeAs(#2e3pAYu>0bU$sson|&r9O!_N5Q!TaNzlMg(9xdcr6ejb zdqKX-o^cA~4<+(#N`}Il?;;N;D=4BG%#O$4sJn~oGR}14+_)w3HS!!-q!`dX<~km* zY4`tz*#T?YGKT#TtYo5RWGdH!I)(KY+~t!yqb22`?;y9e|IHDx{O@kQMgQuPyFYN?B(OAQ94 z42s2bnv`L0)~uUjX+u|{is&C7HWvNQ%O((T<4R7>8X=bR^o7nN7;$yOv3f2n#Uyig)*gS;Joxk)$Fl0N@kE=T}RBYD+oW94oK z%rrzS|C9;`#*d`}jPAkUmMn={lam`KuoD$q5RQ`_$FKH7Ud-RNY2~b5m z_$HZsTK}FQ7%HTyjmaJG=ac&nN5L1KYwV4gH_e(nIFeBflpg?EOd zB^jm)w=kwOx~;BQcaRtZz5>lFS6Tct(@rDRd55BI;mGLLo?L@?!N1+BDzXC1%ax5H zJU^e;L{{Uk83$l+tgf|pm8p^#ZBK5c3m$5p*SsFInkuxtH62#7S=-fo9%{-)NSY*_ z@$F^Mr1P-!XxvC5%6F4x~I6F2SQ+On_Xz&EK_In5FIc zRttM=-J^Bp4Q?mWJH7!&zl^=1H}vqmcABpmvb1Le@rj@V=!6c-E1tKJUqb-0_vk%@ zopd%8e;flIsQ(vF(10M*&n7zH#jl>1qzy!KK@Lzx1VvB?Vh2*!H03jbxZTKB3wdO) z+t-o)VUDpy0``Y`LQJg+?3;-{PUKV~?;RxtHTkn~S0=RsZr$KNkt_VDk%33_-MxMF z+Qsg#IV+Wg>J|N~_>MgAW@!Qki8$n`KP8HOQO(|MHR*`sAm(%NhuLq6rGCpl!v%zh z>~c{s4UiqJi*X>v|7j*hlU+ZFd!W*il09_rOCUfkHH&%L^w4hks4yZ^y)tR8(9wjK z@CQ?4mgR`025QK@>v(*>(duS8otR!7&^P93;F;TV-bGQoq9Cfgf}fdl{#B7fT{ro; zc|{|^)s?off63JMLTf#C?L}cJkLb$AImK@^5XhX}7o{)k>LG*O;9a1s)U|Vye8y2} zDP0u=tjW#8J<7;#u9W_*|BzEzpNJ}%0U+dys0iF`%!SVXbGqzS+BiS=MXZ4o(>=Uj zd%SR5Y5Hd3blshn5Fu@@B>IK65nt1SVaRWv{4tv=KYRk~=9{sgX}>Y21QyOv1O`CP z;`h?|T!^WVxcJy;qh3^60jnO0#g(1CuIjE;u2q!i${mr zhy8V2UQzZvt|D+fm3+JpjS&~q=Q?_6UQ}>E7xQ9i5G|TG1pdvxH7ZQru(=qTVcdDT zt#X0t+7htcZr9>tV?PHq%-{J_L0!Ik-@ZsZPAc6={KWOAs`oD}#3eH|eeZvJJ*zrK zIQ!ev|2*m3gbOux_&m=3o8KxSKdNrT2niJQw#2^gE|}=pj;5<#5}s~3T}FlW1-LAy zc8SsnQHLrD#n24%_@SBR*euhvEsHKN>C7V6d_&z&bO7NW{7&qXbq{DSci(z=YtkB> zfth0Y->#v9S&=UTfsDB(uV(a^i11#+=JT-JHmzx~O{*)o>b@1U z2PbOlA?^cy7V2i=>t16SfTAslx%#*xZzH5fIqX9)R?3kND$#2wx1k2fRNc1xt?o_I zz3CzalzKSXf1f``S68?6YdMe9H#@Jb%ggCF*J3DWGZMedqTJ%gBy(JybpW3Tz$v;> zZJxREs~;R?IP9whNoZLM_k^zATu%+LWDC7W#ug$vV5zeK>Nnx=fciQY>|SCAlK)-U z09R`gNM1Zl+-hSJChF*fki{id&2^`xrENT9ZE$IqDa3#OCL6NECIgIRW(&k*o|IRa z7$qhlp(u5@{F8%5isCY5HPR!_e?sp2c+eTDl?AP3DJ^X)-K*3q-~DsdIohW>1tVwtgO=!{q4rX8nf_KlqzP<1@Fm3j575&X|>Jk*pnEXC=K^EeR+35!CV!FSvr z>O_F&S1g{Z_fZ@P6PpW*bn<`~pQE`sjq5J*D5FWj0H!L=3j}MSxSiky5w#Fkj-ftz zTh|dhfE^thnY5?}oNC6n6|*NqEBZg*uon8K>N`#-A{axps`{nO1)Mw=-7UCtuKk-S8W3xo99xQAx!$Np z{WkF4g-L)Dx8NlaPG>`k^1j)ZFql$ z|8U}RTtZu@J&zlGQhlW>I!(`pkB~ou#UYrV`y8$1kr0{{KiF!M#C?fiA5tox*C47t-rlClz<^ zQAcd6ZTZuuy&GJ-0#hZ8L+$37TtTqVBT4u*CLkm%3>NIK&#~5I$)<{81fa02`K>{b z(xhu1Wcjh*xB@gi73z0RKLH;*D3-_RIc1#c^ZzEjh>Efy6i&|C*%LBc`A%7~1qSlE z9ps@N@wXlq#rMY(D&hfldqGw-lDC7LfJEu{%k&{Zm+mWl{(D?KdGzAOI?BjP*8~hL z{#hC~TUj#HUKB-Z`j2Cj2d?8~!vGLUS^v)T@{qP&Td}+5?7i3-_*|q;8`f#pkIh~{ z^9`-*h@a+_&vj~?i%zu8SN`Ha-lWMOnb(`7L$8^}^TK~AmI;(J5o+03k~Rebe*eDt z5s?~&%c}5iEa}wTZESwaGTm=G59SFKcUl=2*AG)vLO59 zCtqE&{Hwz2KvubKHUOJ!U_AB7yA0V{wV=;gNc|5}dO^InuE}Kh2^gdJ&q&d2C;^`U zgDOt2XUrM;e2i#KbYu4IRZG4%%2v_haFqAT`9Z+hL0DK=Jkx`V3Pwyp0DJ+|xn#HQ z)I$Vt>fvfet{EfON^uYe_gZ(vXB~at-iAL(x;1Nr&`Z8xIg-Do!cMAeClN*rAKYNS z=k`3ok<2i9QjziB@g+W41o$Fygw6h(OwY%u!0T$-Z*JSGJ0H#_B<~OJ$4_zOKVoB- zSxvZnX8@onDg08-0yOM+)Gq-;135f3tK379w z8X?jnfGj$0Y96aa{0%z9Jyw{-Cj!5nSIPw1rGOh8{5$vQ3>Xj8mqnwNmtv3~MN?-t znUW#g^b;YX1zg@fuVNhY5u4m&cp5S+O9!**5XGGwFmYpj}%6S5KnJY8cgT<4VJAP&Bo0o`wvd=SwP15r! z9siBWzWZ-)gJh(>DcT<314h2i`Eaj+qhfLc@GUwz#~=tRS8P`Slj)lN#bj^^H}@Ll zk$u&FN82jW0ZlO_sipY3mlly-xUYpVJ)R4HUI9!RiO_tN*NZ%uXPAWuls9v%MyB|{ zs^w?Ga0iXZhiXH@Jbv%{e9%NzTL4G{k0@350^YoGc+pf2SOsRmZTcrdZe6`oK9th=$l^FjW4$kal@=l3ajxx1;WC@Zzr`GTyXJThx3Qv^I|L1%`!Zn z1;dY1H85uZn^LNo!ZMK}jRnY3P{G}Y;tAzCk6{7-QUXp4k@qo3 zukGH87033@H3!Gp`OU|(^DvudwFcah54#CJV_u*I(mxD=Z~z-OXP(GM7|46;8iO9u zr|+?GOYD(WbLjt6y52eU%eq?GzCR(85V>A?JZ9(DY zQt_qyM@-I$*U^|2B|6q_X-w9|)sT4|t~hBNC1E3%&|xJXmjzO^)Wx6~e5uMM0w68} zf#~aF3*9AFax8L;Kn%vQPu`C+|; zB+p1q?8)({_M4K{=kh*xkmiwVh2Q!);<^ggCYZ6a7ylOe3EGtMbMe&fTae3mb@Ivc z3we8)IFxsTN?uU~Wghe%=tHM+%_sT+Kxu`q@6FQsJq8OGQ`TGG`@@!*10Gr*O5;9= z&&27~N0^I=9!L!kB-k8l{EzoawVy6E`BrGCXmMMWUrlsRTzd_l9(J{pgRHDv06Uuu zDBI2Ce|iL&+)(HZAdd+y`Vwf2{f)i9b7b3Ess!AUqaRQGMd72iFr!cY37xE@W!>@tB4YhJU?o{#CYFi8W5yQrj} z|D*QBwRIm`4)j3?vJUncX?VJ=(a7j=3~X0UE1OVTPHfyp2qGpY5Vtw-b&!O|$$hQ) z0A!m#e_rzX#?g0Sq4O*X;N%2dH%4w6!=F?To4u_G#q{@UWBLckkMlu4aKJ~R>-ETV z+hXn)JRi3rq3c*in_&n6CHpl3hn?=FSkvYKzM#pI}Ps(jNFL3=n<{x)1Hxk@oekLgn zF$9Dk3%CpOM651U@j_lpTZO*F0IEB*vme&##{||6wvTygQdtsD*0bmctyJ#aY(FaZ zq;trQ%X>2V8~bJK^hu0=GEn>IWxzImwE-vv?6SGYmZ-jF^rGn4$^ zf(cmDvt<@+UMx)gf4E|aeKTH%AP6hfFx9`0ZXG^Ef}9G~m;hZuz-9}l8)ZMr>MuUm zSY5u^SGkxbqdCr(6Sy=9n$@Kg5ZNaDr49`c7n z-&KcVYxHm&l2`cogSy%!@P(JI1t@0x%;NogUR>N!>qF9G87X8(xq1qagZ9W}7s( z$ZRe^3;K%&08+^nlTIUS9V=#S4kogfMFnP^>U<~u=J+G&v&8+~+)?u$9&d1B0q^9j z_4}@G49TILL|xbmAQc=k26DZAy~-wgzwZa*r%8%8y`z^q6PfapXyoyV(}}#UO^u#+ z^X(3h^`hHVKlov-hI4@$!A+Lu8lMiEr1SlQUSpf%z&H*{SbKXtYHQ@X(6r^!pVf;U zBk5tb5yYkc@ey*rxO8=p+~aZ?q5Wd{t&p3A*;efUNk&bWMMoaZqkz|)$CP-{)l3?X zG23)o8vd$kp=B?=LGQ5e92(6hI)_&4>#{5vVAmloZI_rp{pISFD+VQAai)F}F3?<1 z?qgR5r}sUT+=!A=y@UnEjaGpO)k4Wi)83 zt1oyyXVt*3?CeKw>hkeATkXBbE>;n#CL#8Nv4YRm#~}R(2TW>j>-^f>*f-R>BVp2ZjlAtA?e_02wI(q4tIh&LmD$Vv978t204(RhF&tkT|q5_ z_y3hv>N`cN-v&2ysL0jYXG(780xnY!C!U}OV@cTI!MNltNPuJpRp=Jy#i38@#x3wO z#}21`?;=a^QP#%CprL&arn^<=Lv4xkdgl&xV*{y4Q_}gexxR*_ zp|4SR=7)i~XI$jb`=nAlR~<6=ChN1(l~rv{XLa2f!w1;{j( z+zw|{T|l62%Pho_qJkN*xOwP<@B%+Qd}{YJp3F8mTSxAQn0Ft7J=*8&-sh3_l9I)3 zcXJC(W`0W!3g!g_cD6U$uLMMz&jW}}oE_$UqpO$f{i)vGB5cM&8yV&;U-ekanX;LA z2t?BCGmq>IbMb&)#sRDt*l=gs&Z#fNZ5c47LWQ#SsEhp z486Geg3(`@{DK|FI!rM zk`xx15pK8bLk}c_hYI|e)-wLhPVZ^@f0n`nEdC)KK?ZSIkfFCF#Z|qIWI_;~&Z_SQ zM(Ibb3-ebC$IsJ!W525aV$hnARF8?G1l?o`w}-8=??haTdNS4;KU`?`u^tX|tpX)e z{BHBXM^a#;;jZGowTB=bD4AS2jgI9PVNMxlj7#7GZ;IUlE!W)m#P;@VT|nP)2QMD5 zaY3COzwVJfH9>rwS7&&0NgTae+kQ4%^tU%@z3-}XOY0wAtVmtRaTUmN%<&n z^7!B$u=)4qfi~r{DyNjWGbS*bbq;$I?NE-*(=u9DD-HO^3EZQ7Db?4sfj@X^&z}9* zHo6c4o1N_M^eSfA344CH!EUzSH{ zNb6erXJ^a%{zu;3c>E}uu26oC-160OZ|hmH`P$Ny*dGV$rUV0bE^5kI@0tKkD2~yJ zBbyF_qbYNl8%JlY9szhz^8Kf5o(Fhwhb&p^@=L51Bb>IDiK3rA9SS+3d42N}|4CD; zkV^u&NDMszRPH`W7*vh7FX7ddP#4y!Sd@hfF8^f9D&hU)@9SQZctzy?MViq11D?CD zC|$kwx+kkHNx30pi^rWN&BO>=kAwz-FDcCCMQ{@8#BOmQmMXJG>XcQ{VD<3rI! zN$&uG{W3?jOx&9A@!~O8>KCiR%~zW*Wt<2cSTT*HV+j({T`R6ba>gJrtMa4=ZOQM= zVUfUIwUUqLOzXjcxm>bc&Y_Py#?tk}4fSwMZXk!1>DBw?Vfne8uyGm8|aT_L*QGs>wLHogM(-aTS+u;=I!x|#}cv@Wb zSjKYNMQ6T=iDmSM)-|66{_!bYG7t+{Ks-;~JId44zXGA0b2ZKFrCKh&$uWIFMxpQ) z`KRC3Ob9`6$`Ocaju9s#Evd3{G>ia8O!{ZqJDRDYf*3XST@jWC|pc_|G)>`s)o_FRhuj5-Pn-DnLpwWUXP-@0OV;|cd*rvW6ndA?? zxje=}&2n<=z7wF;e}2)(E1yox?$l!R%XJl1YH`|$$H!r7uOG&S!jToFL2SA3IHyCU+aa8V@a+osR@Cgmfnj~Id8D_4-M39B z6pDo4K?U+njq`Kn+TSq$wC9bqo{g0tlhgUeSi=2gcE7lA(Qy8i>XG?U{Y~&SIF~>) z5Bu-$3)$DRn$Om~)(szqHK>5P)ly|I)_w{GeMwpDIyt-|{vL3d;~-tIQ1NxFOFH;V zN7r%QuF(N!62oeT_s|dQqHBe6CA|qMXa16Ms!%G}x&)R%Zqg6tvQ;RD=<*tyBuaZy zM}=NtZLCg~FR&lbx7=Tbps)Uu-a^IW#lc9-7P;4a?Qt|f~>VS!^Ker@t}E`iDV={-?&fy*;qavv|B0lK0DJcUcPn?N z?H{pCHGXTKpCl2Q7^ko(Rbb^0`4$B)l|P&1xloF@)$%rZxY_r9eyt1iAQD)(mJbg2 z=3chG_!ii;yNZ7^hIEN;Zaz4ux|_ZX&W#6Em>nK6If?0E6aet0(;;-@FYWY@d#aq= zNaHwdX^bL#L=UW^98YyzRHE51$L(?R zMgF6YC(bD9Ze#7h>n&`%?~p$41dhRmJIH!f<>=OT@%ZKPg8KbxQ()@;W6}LdjrS0i zJZ=waH|iFn_eq9VObq2MMuYz6FUAuKYE2g)-h^4^ISv$$UG z<^h%B7Nf7Xqg4)2vmvS{^zZ}Mc6~irZhMud1Y~ek8?WXTJ-NB4YkU2jt6FNQ{ja__ z=&io7Q+PvuwYWV^?pVBM+v92c!aXh6+BjTEQ-fjVxTE0oG!b>~L5Nz{fY3+%l zD3_D=FS#y2@13>GV*Zbw8@kGokB&XOR1Vt}w6}ROkEt(IbizcDg^8xJ|Jgo&f2iDa zy-a^(Qt_xL_=K&4EIVDqlj=ctek2GTD zx7QU|b8xdHIp$Q5E@Bx*^#A&XPNs%^;EMHf?+KlvOM5c)ku!Q_IF)bnxxZiLby$2t z1~a3Q7kz&ZhkeB=zus6>0>QybYHScvK=OAuT!q7p&p{a;Es({;x)2j)#V z5-N4e@+Ryn48$_FtAb8GHKKVB>1%uo^5okFj&!miyxT~Imhb;=zjA76 z8B~(jN5ME8-b$(R3eDe~gj~w{eqeO4dT2X%^FYGu;C6h}n#1_Iw@*90GS-$V0+I9b zwXC^u^brBZ#wo3V5SMSHX#5!#G@|(pER(58tK&`A}M)oj!YW&4oj=&k$$1vT?4fkP|r1X)QqlTwR1yYi2 zHis`^6L6bM{{SD*opI`1l6SSIPD}Ey4EG>Pt7SDY3e`h z1dZjYEd&Hvl7WH=K+kW40y8c|Z z-p#R%j#1D-`+=nQ&bJijf6#r3M)@pG?r+E5y2Vge@ZV zi_7Q6z%;c19vPI&PlK0~7f7K%)P zAT^H-q{TD->QMS4Ik2^}C(W4-Z&PL0U-Td+jkk&$etPd_-SCPjWqHIJwE^0TniZXQ>hk4b0j87So9nrZ{_g#3o`5VkV56h52e zG^tRje}M=&pArHwsf4s~VX_J>`waO*#X}Tb6CZB|>uzI-hJm1a|B*VF6T!C2z#`OP zRFz>z_bbL~NXc+w`r+r%`#+jH%_8KJ(%z7MBj<(krL= z!I9B!%O^Zv#)}CjH8i;Q?oDh~H0?_LEF1KUK-AMg!sl0X@}&%larpOu0yryFo~uxh zLb0dUB;gJz*2ubZ_;;fSC;O(HJnX=plz`WP(vbDc$nxN(EIuZU-I@R89;u>#_ggmC0Rx<;W0?N)I9K zS641kJ4ycdI%J!{mUGGqc}IcBF=pL=Cwx z#l)cxulnj+LA9|CcI$I1QFUKVyICGZ?|&@6Ws>+ON*Hg$d8nx)D642bKDeP?U(aGO z)^ObBx`?8Td#dTer3)$F{a{YhG1qkb{89cG6|s!boBNN`mrQTAnKC}vwV1VBZ9H2y zKnsymLm;~me{Ks|8ByKue|~cNS{jbCQ?dJo@6p?|>e36#ay9B@H`?p;iO{$IO~ut) zASfm1FzwOYYVyPHANIRt1Uo*Kb@;y>f7YRYAggk3ue-tJ#o|fPeG?l+I z1{G6{g5Q<8m}CX*Sj6eXu?) z)qLKcS@_vA-xm41qOHdZW}rS;&NtC49%EbZje^=FuVj!!8$lkQ4@s?-a>o?R`#dIm zMzbrB|Clku-P)>PyRbfSJL8LI@Q=;E$}+VNmXOaqrub@=E4QA4hb0r$Xm>JKN6*PI z5h-C5QmRc_WXTJ2j@40C^}b_2a#Y_buvp=FOiH@2MpVyAd0w8+s0DuR39hQT=u~S< zQ=FtQbDf4JV7x(^Xr2$`mb?kC^iWqsC+FBx7KrBGOhmDtu~70fB<@ z!28Vs?->_mrC#f05E?EF=T20MrvaEt2pNe)$#UR#?<|dCJW7+xS_#lC23Fk@{!2rd z-{cF}VRDc$1BHlpYQNc0Yc+Qfp3`RxG^aZORwqbPN@bRnYBd|5Xxxhn<6c`>-U%`;L4 zdX<*WrYJhc$a1hW?xITD0JUYd-ziRTcuzYN_xUC%YIwb}wy*q9C^hS`}tR?gKVgHrc%(e6@ z;e&f{%g`EtH5`nK=;a|+mjkxI5QTn#XY6iDxKhrincmCA<4^&43(E+XIDyD<6Z0;J z=%HA0jUl~UUJRn|5Wi0XxeIiWd4!g|M?z0G;C$w+`2wqRO+zt|L)_$4^`pnOSEYWq z>YT2kE(!||Fw`$Xw?5mLJ12)gglpSyJ|TH+*C$~IX8Rlzs)Damp5rP$D!8=H_=cGz z2g!xqGOy_S?~7MU4=pe;-3uqI3$lkZeR-dhd;os8CV;a*{EJXazuguF zH>)N8!Sa&=h)FZUkL~6g*Wy0k*&#*6^joc|x_12DSzHhJdhxpdZa~tBi%Hf=Znjb! z8wYZkH7ObI(s!SOHaAb+1Rb31avoXB0;8~6DOW!}b+U7g?wWq~f<_!LP5hY3+gOwvCT?{3$=oOf;S8jiV>Dx@B zmi`(qZlo55+ROEs{JaBYet~qC-6}1Q4$PSBP~>nWj-p2hY*&2uJ2P<`ptmG*P z9V0;YI3*f&9CP8oONpndElbzw5e)VjXo@U5T}40$E5$1pA!osQXsd|xDJE{0&Gf4el3;Z(_hn>X$&Z~k=q@n*eq8|vCx8vAH8sg&Ol?MW zKNrz&+&y^U9PDIOZS6S7eqnEFBV{=weR&kT*)XKdDE&l_C>#-bSe7USrTjmyM>8D)!KHtGkK4AW~(NGzh*dBE35VG_5rr#cTccVH9Q<6mDo|= zRpscczM-3{+P}kk+*%C=iG~2Ef5`-yt-Zp_QOQn>(IBf%Gr)hF;ft(N5C5}Th4Gq+ z8|yPw)$Z_->`zUW?|pAtL6Y_TPy6DNA3wrtXICU2fAD@utLgEXhV)slaHu>t)!D0I}b^J0d>l@hS+(A#@xZUUNW-E zSf!u)h52^8F`hgdNV)lyav24jS;1DKh?SGkt~W$d!Mh?r^tV5QAKpo_`aV?;`p9Aa%zmqP z=mkGVDkvtO$^f|QoWvjtbIrx15ORPOXAA>9Ztt)bND>sOMh0W4zc6xooi#$)_qseR zpK?{;!1DehiRZ#{o7FRF+msK$S_~8^q%8)F4GalTkR58w?Zfv^6pSvm;3C zBh3`X0e;b#WL;1caavnY8L6R&bzhD)! zq|BVA6v1c3`>%c&7@Xo)Dkw|k*EboU#*T5hgh$`wQY7wWd~R;$1jAiChFbX{e$Fck4!)5w)0xh~Q_JUl2*4wbKtn@{R(*nkz+ zOYtM@u{W@Zntqr+F(T$hsY_1)s#c--r%>3(7E^*y>?Sa+K|%Ae%5;9>SVBYSgI;Fl ziZyK*LEEZ~NAfPd5HzhmCW9e74zPJ`Ye(W}PAdEpdC41EFXRxbtkXS-QQZ2Ya~lGT zkH2PcBl&&JJ9neOVnSX@;`H@~E>28Tl;{Qp@@unE2K5s8drltnr~-2VH-!5a4&Ohn zzI=@Tdw6SQijrqHo37bt(ylBf2Dp7q7*7R(VN85;)$y-L);!k5fk`tpn4WOgPArdh z$bA+7k+DaXEf4J7!~guNGArbK_G;qME<3=PQg4lHdVh}pplgsjsP-TJS>6!fd+lGw9Bc0 z-3T{|H&>53|Bze}qf@o;)!D%@KuIe7`ja`RdJW~p4$ojtV*Wt)%bf=U3(kM|mfOx8 z{9IQ*J*%-xri(CIs}{7EUr;5?)X*_;Zkg29Sm2rO=kYrdabY$!dA=e0oP(r4HmtjW zl}ZtY0U`*xMGz!ncIYGq$*y)Y?t2jxnmsviVh8(YSw__PYAwf7LLK$Z21RrSOc*T@ zF^Y@}4{uD{%u)LFXrSLw&`FyzrpqGVCa-vSbgPl0;yjDP-l3Q5O;I*?hB~xl@cp0^ z68Ze~L99d6HD1=UL-2Sv*xH;rDqwNaOY8_F2i4f2Vd!3{_=!*J zbMAYBb?8zL zmwOYkf(x_7cA3w-Uw>0@ku4C2?~MHk?AA|(XhQZ%J-zNpz`R(7!{Fu6pilhrYI3CP z-3_Khh2W5#_Go@>GjHp%2pM2m$=_?blaf88t3y|NtX}J++L{6!c3$Xh zX4YkP%S*ExLXINxQ8n5bsFe7C&q@U5INGBY!6ojAM$^v( z{?_zo|68n`Kh~(?QGC9E2FXQNG}4JAnadok zlA9RN(NyBWPMj&PFCyprT1&gA#!$^>(kYoDlr-GYuTsF-Zn3b2@=n*}A#3N#N$TD1 z_)EmVma>aoK!DeNzaB#tECWH@F=v7g&MjN6#NQTk*Y>XDdHZ3;cw`2))!O+%Oj_oV z?Bo3A)ng-^vaq9Wb}n(vv~Bih7AJFA2Tt!w5z~Vv3v5H=`{d@5UCmx6{pXp*jQYI0 zxZp5iNzWrO%cg=QHec1z<=e}jj_A>`#HJ?oD$gpDDq?W@L87=*xzXI(3?WqcZEh^3 zB7U4IkuQ}Ip9@uOo!W35l-7=?ruygYPjCOvfqlgaE!D4oeJ^_AzcD|UYQJU@^S%8~ zhX3n7W8JSme59AXMo3BOM|t8VypE98Y+Bj;^*-gGe|cX;cSsllx{ImgFs;x0)BJo} z!_obe=@p*&lA2`YxkEKWs)(HHY(L@Ls>7t#=MN5tUZsD2PK5+ah2p%^nsT%=&PSuC zGN-PdQKlxQU7v29xUu?w_Urq#XIW#Nd$BXFclg(Vb5dJ-BX}(IXK^@F$fl|o^MGFE z#qnB$%}H;G#739z)TT&EIHvY63RnM5*r2X!uw_x*l~Cv#!!tL)2yfFMD7>)t|&y%p$1)h zIbWUFH22$$t3+(<8b6xRfe#Fc{d!SbxnWrppdsCE@$sDcN|!8MO}FV2@Ke8WPR6-D zvw-*GDHi0PE4~nGKX{tK%aGYPrjr zzq_)&g3@Yo-9^Uvq?4Jj_gO}R0E>zNkJ9+sK34>vW*VQmQop2w27ENe*K@6Nf2T^J zv|1C(a+p7r)P5VB+|HB{NwD8t7(P{$+gZPqjxI|-SU!Hf$(a|q_Ft?$j!Z+wUCv5H zwLR#f_SkZr&$K!C%1_Bqct0n*e$KCyNH=q-l&|I z^sBOOG;te57~*uTM3qvbhP6RB?M1|T_}eeoSpAeqURC+$|s~a z3!j*F)P8v3^I~hS_xC%8-5rGfyAeXRSbbPz*!bO_QEhB&ZmqxSMv-UKRwgZNOT0gR ziLn{6f<@J2P*J3egH0k}!c8W*9A;J7${(NCL@gez*cG@Bxxe;&=+cr@aCeZ*eX#!= zY9uQ2Bv36GC(Ti;M$42uD_qaUDI@x+o5Q?uEnaEKF@gb)K2)+v)uKkPW*%8H@At97 zkZBb{1x}#^47kE9JEHVtA|U|Umv{wTft{T<z|f=2 zQE9EBUms%Cj`46a{wg+OSypWMjJhEy+rQ4Pp70 zxOm4v>g@V8bn`R{Z^Ps2&guV}ileg1(`FKK7OzfZLT~zB1$hfRNkwdaB<`QO+U>dQ zl-;ST#%psmOrrVpG?F3~^o=(sBGuPXjVuMxTD}_Kz_y^=hq+4lQA=zdr$z?MiWyo$ zKgIgEkpaO^?LWHm33{rMJG12NOun87pwx}E!7#@6fftkS-R{@GE!G@PUQ;VVy6fue zk=nmUqCVGIVV-BIDv~k2Qjw2Q>@Fe00UH~uW*LDpaGaz=)i8pZN_R7N7#Y_Ox^8H` zT@IADrkMa3xeQuX)754aWbykN%t%zrT-P6O#)KfZ^jx#cl<^F`|BJpYh&}G(!huXZ zNu;^GC!z2S|yjpIXroi79}OmjmNDPnezfWea+tVcFB|D!;lh_ zzNwOw>b-je{N!?MR=m9*s8<6Uf+e3rp5IQF_>?ZdIVt}<`NsOZ|M`io#P?udyYNRD zPGa?BWNY5E_ojULO<{vw|C-6gr=F8K4uL z1vU1=?L1-IZ`}laj2}`FirpMgB3j4+$Y@)2pRyQXpjC63 ztIe*Em|B`*TonFwMqHB?m`ls7>1$LJUTOLFl&6J{U*F0b)61#B_Sv3OIgxoa&A-}e za8Pb3M_M>}lvC#(eA?Bvt05R!+uU3b;;}QiyiKW?<@C{c;8{;N+)d>MW)`RKn@)I9 zk(Br*k^@;$hnSHKKCQQRdT6Sjrf=JPEt{#3TOpx+(Kc&3)7z}S=TnfJH!aP0}OuWjHj`yX#-?=-e5c03$ zorAO+B@d3JJddFQp2TCxKTDJ}(@`Do&ySbd79%qD_q}r_Zf&0l6w%CLKRspRR!%s* z{^kRtDL1TugHQWr#zQX=EB@M$pKQ({#o}2y5y`BqVG&9QL5aw z(UB}%G*P}Cf8kth@+wa2?kx`XI~+qTeyPo!D+J-)PrX>cJI2klC((6ZQU=s#JOxj& zFJt%ru?$8}Ked;%-+h;gmTJYONs^#Y%-p;AnzMQa;KOR@Cwgz|UJ73-Pav*cSUUUB zVSKPdCIg$?qXFKbjBm#??OxLiPhZ_r$qJEHT5uIq?7H1oI)ta4Uw)=lOrJ?wHc?mn z>-7VVW*iOkJ97}8Vx_=j+)+)Oc|?x2+SfD+WjtSddHH!bmaoTBF{3H*Y8Dwx!{Nj1 z&aEkqTv4VU&SdfAmiGI1rz}*y62~?#Y$?S)_@36n#r>n~RQ!9YKietY5!dFLztL;yGax z7x3gY?)J37XsVR^Lkb3V-mgLKk$6~}$@7;*x?eK0$H77J5pSIy^ z7vX9?D#eRxN4$*zM1i<8WlY#JrZrJLIz053PG>Ak_TSpb%}HSYUbz8DO_S$pF`0bH zfGx4S8YM^-7WM2{MuIlrPu%h0eaV+Ure}K7P(9z~z+^}wr$I%Qd}J1!hl4-qfH$#< z^k_}I9Tz?M;e(wk3jEm1)f!#rH}NpKw>w|rcMzvNqvDa&q#Y2di>aOOUM>3Zi>H3&sevC#5PdMVc4C|$FF%kvv`*9%O+eV^g$!fLS)n!h~VKv5N)PZE<+~={s|KEP=PQ7XlR7_Bs4s58r5o zAklys3-lD%xcCI!cD|?kL+KgOOp_$6`1z-TMIv$#N@6i>2G2~Qi8+;L@DBOHzh2J3 zjQBptKPd@WQ0(*(5dUCB%~f|wrh>}foI5`nzop4_b792O9RYd$?VjUF8^ z)2DF^+7I`sNT~2dH?{1I3A0|7#n#GkJTcu?x1v^#jET!J$19ibQs)D!SQ&dIk<$VN zXWNni&D*vU)TVICeZ3ciF+q5+ORsRRih}P4NXeuhUUEYHL)mX*7dm?{Z0|E*ZyPS=D*RlKzZylk1?v#GD8n=)=BvUGA(7QP8RbU;q~lB7+l? zo8zY$q&1;YFiPYgLKlNves6bJdmPv;MJ7G-DCpqUtg1jf^Odh}R1Ha=j?1j0I7*&P zooBk(i;+35cgEx2v{r9!c$5+Lu(nG*dgOd=B;?ngjc2A7e=B^AA~Q@m@Ij-pF@Le` zxPWTIvSZSlq`49~U`T))A5&9m`WEl412No4dbvb%mX9CDIFb$?O4&Ugn`Jg_=@v5_ z+MlG4QTy|!&&tX2)kCcU&m_@!zSk`M1SaHqt!KT5`!X^{0!w1Y$DhVHy&=x*+0DRy3{N?n_ZxaJT73Y zkhIjvX+;I$8}{pEm(<&k0eTSE*=h>nWJE`eq%K3%`zqVF{fO*$e|~KlKoQIrhSx@tKXBREtC97ydHq1KIADOoLC)`DrZ!c_-dv=rgXk1B_(6_ zokqp@+C{?2{K@%q%4a2GKeexoTpnht2)^XSg9pg6`zOZ5hsK?leef|CMAHfnsZaR+ zzEpF6ghMPO^!OnEInq6iClaG~U<{2w8J7=PZ(%-2j%IBhKmSoAv)I#?(UFXnhahJ^ zZFlcmGj%>h>OydH_*XNtP=plRBF zrnTp?xw!3buXj>Aq~~l%N{SeTgD)cd(zegOO@ZT+;p7B}Tud%j4}`KF3dV*KbE#5L zMr`Fj^OkZ+W4knMG(kpKx2lKWr)oC|3k4+2b;yepUsA9Cg|Uy-N_2FH6{ zM^GY~@^|Ak#o-OP`7TNS+JX5U-NkjyNdX47h4;jN3@oM*lw$d+XA}{RT|tp(a;b&C zoSF*RTHX9#-S6W4v30w<1W0`kY$NS#U5!B~oDwo)#22C`_~|c1X)-c-`O4W6bLdjY zV$Gve;KmP~btr*62`pE&f)YG6gAqKOvz?>;Bq*w>oI1|^5iX9foiYXH*|W^L4*S)O zrek!e=19F&h7ZfQ@MtkI9DUEhZUTVWe!marjry#eB=%y2zg zQou5^{asN`fw>R5PtTXranuo4NVM`E<6H4huz`x>Dw5BhH!{UMIPdxip=wfdxu!ME zdIG|wRF(uW5I8jvA1T{xxs+<4hsRTxqBV&5ho2E?KcNVhvRci=G#u2>^7^i0izQL{ zuo5S*ZnLd5th`#k02AUec1;!j~`R<#fwz;2<@YGGw|7~rBKV1j(}_#t|k!ysy)VqaowL~{&I9GysIM6eyr-Lj_QmTsslIM6YK zT(7ETdLQd%PjM)8R8q4r+?Oae%~+!3-~Up*=#RuosT_@zGvRlqz49aG}v@)7*`FzCyc z=EoxC^%-QRIkl0`T4J7zow{xJeTG6y!gQR{OduktP)gQ4T16EdhS7iQHtVVQKU@*` zhsFc(O(qSrWL}X~abb@?FE|Yo&i+`lhBKwwbSHWrv#=0Dd)WxkU<1@Q88F*b`?-)0 zzq%V^HYK&CCEgEN*ZikXwnv^d?i@w8;+=_?e_wba1~L22Uvd>e{$ylF;S&~*vzwR& z5rMAO3`Mx`CwS(ARWLBaQQh8eA_SUT=-Ki2vk*;~qHaDLSG6xeieechP4b9jorqmS zmMv0PTX(8UGMy!l_LFct)j!wKx-V9eRU2lfmO_%NF&JEL;(CqBzJzy71rwX>2!ry zA+3RXb4bwQh5|?W_Tc&4j2>(8_I~6wPc+9~Grip;f-=-j&q!=v4~xVBMyw6!yMgSQ zaB2K#d&zLWs^awb0u3f58P=AZq$Xip)4A4z<$9M_M!1&j^R)J`y-u9@hWW_I-&};! z`-HH=*t{GZ~8RbK{%wGXe*-W)w$c94ySf0Wx}@S@Q6% zLkbTl=Yvz)0&@4?C~5bcNK5EnDp#nLJ|D1P|J78X6SodAWN`U@-Z!4p6sKu-=#%~;HLI9 zj$@G%6rqw>nvDuMm%*Br)GSdI{#DN~`T-LG=hQ^-^{jRVSI6I|s;H;eO0n}Ii;l9l zOBFI5bu!I?>aO~D({WUHwEY}oqlv+pB@eqY zmMuC_R)iV8cx$3u2F8PZhZRj-vi{S5ARMGSbP%aRW#+F)OJ;jK)~OLA7UB}rK!iMjnH%=Wfe ztlTi6?E=Tbfe^KG*`VwO_SYh+bBk$F_*d_CTL4N=9%m--{ZyrrM&@yjxVQG8$ zJ7xS(W}C{FUP=@r_sn}hI~=J4G((%WHxl;p)<5tf%A2jV$nN}6C&mILGq;^siZLvB?31YAjPR;suEi)ueZn{ zg0PBYVPF9&^(r(|(){54q9{&i#!q2NzSgL(&gH6VITh+sS*;j-kKM7$jkcQir7vP| zG$-BP_?4&p+|}>h&at!`v?X9&c)ZY zOT`wX=Y&S)1od(idkJ%*=nc5R04fR$P5?HG9XO`=Bzxd{_Z!}`Pk%}W5v@S5Xci^c zW}z}LD%pNXC>In}R8)A^m2oC#K^Hp9CNDQ9jI-xc-wEydE%ce%%<$XrSVr)4PL^)>O`#8bF_BUfBq8IAEzx<`Ezu-;px=)&?f{e zHooTVUs6mMU1=|2NsJXGc)xYt-Gnf&hPr)UFV_BT(qvs_PDbdQB3)KGJ(ub%ri$C7 zr#}Ts876PkkDA6)15xR~Eg`btTu(FCMart;Lggs;= zg=!ooQg4MeD5emy*31MEu^KbRqJ%K$5uluSnyw6$0d2BZ7PON8XY13grN`%OQ~P)C zClT*4yNF(;a;0naK1z?Tm?*ngv$iw`Z6GbF+jXWD18n%1{vy7Vx#{oyTXoZ?h6}9x zj57@9n&0-mc*hiUec!@cy$lDh++bF#9Z~Occ)89ffUnne*6l%u9`QPzvnETF(t&7M zMI{N-sn?HIKlvNhMic>iksR*8omALj48pgRgYEux zIvmvk1D2DzMt?J*EPMIh5VS@SECDR#cSJbc%&gub(Q&$!diLg9mCF|ff?o)h{nz@9 z54fa(K-D+C5f{{)GLsNGG&{o6(PWz06a>+Uk>g1sf0%v{pIB2mpSA9LR{PP^M}E6S;29mA}o1CfVp0=SG`gZzYEPXhGlg>doXl#&JU24tQo{spl=`I~h5 z+nmqr!uYm_dR+TU{<+n8sSArszqx09JUJILf_KvTZ3am0FdLOBAu8wSvzPtV=%||a z*=T6&?c;KzZK1hAM_YsswEFJActR6_mSJDq{?XoNB)KF{0rE>Ii-Dn_qVaG7!Z0$H zI2NXvxtl}^xd(f=s9qIRZoUl}d`|YGe?j!-V3mgWx4~NTRP)1>EerE#myxFULiwvUdEGaLPq%_l;*@!1svp;TLHF>!V) zokjMu<(NqNx_bFK6`OM>+E?6V!oLt3>!3o#;39CMT=a(zYp%_!7a5q@cUq+PzL%%p zP-jse@N0sUs2apIx85=Q>%#;+Y{oPp2tWqBW5bGw?O%fOr1kAr;j^nO;I_i)_ zmC-c5Ok64i6xfYxa9QFuPm-hsO|z%yZHd^w=a$gu6PeQ(Q60^{LypR+OiM=Q4#%X8 zOKEurZ+BE-Hn3gzh4*4Lvdu-)^4kDZjGODEB zrfQt@n~(^Mv`7plc~W>D#uEfmu3Fvs?uS*H7BPnSWiC@{aUoR7Jc1=))n7k%B-<3E ztBCXbrR~`GSqQS`uu^=X%k_YJx0chT7qRi{3*kom=~yxmzVoCV%HlexUofkVm8@?| z=9-pVF)$6ETu&|B`*>ld*u}r=nyQ8L+z>$LwCQtB4i=P|Ett!&guYqAl}}xB(@s`O z(^JuL?7Bz4wfPH=L7=BWEO=@Io=;u)8T_T( zSk~HHvIxRPDk>!0v((sC=!Bnq_H;|AKjcYjEC0Er9o9xkNkPq+_5q}CytR#kG#4F@ zPs4f%zH`t2jtwe3?6oV)kejtWP|?!tw2)0h!g^uKvztymSii> zojkfcecGS`lEqewkgVe@X={xH0*IoNFUtT(1f!sAbVwy?G&&c~PcE&TT3DLZYO>XU zT6uJ_-bv%QTq*}zWuS!tNC6um5hO&-h(da9X{Fto?JJwf3)APP7n~j#s1C%5Byp-V z01%@2|Fid>O_n5AmLPcVRaIk;I(H8q8Ih5k1BC?ZMxnY2)m_uovRgaSvm-K}R%HLt ze%PIfqQhkU8`W3J{63Ei6cIF-0g&m>-T#HH*b;Yuy_E46d)U@ z^iv+Db3>5{w1@>%P}wn;VYrhtobqbK(&>RQ00b=WDnPa0fUm<BnyVGqW@RSp&96~^(v}sqKnSm83T^HOM33-N!`_(p$JQ!1a zY`zg|I)!Q=I{9v{Z3b)9R1N--0wpY@(As=;W#P-Ozg#%Gv%6!W_~jR$nKy8ExA(mt z{Otbvy(Es2xPt4dzRT6fx%#~%!2BTADUlQ*Q8nFH&0!+eHus1XUaCes>G;ef)GDkp z7vYJvcwY=6KW4G_Mr#1Nar^$l^Oq;)kpTEhD%A?dB0(UGN&yhuUB7xL_oJ0piriA5 z@mIm{C+lRLK&F%R8>|;*($hf%b5>R23u>H7SAVtFR33ORJbL=_{EL^L)$?tIaMnNy z5QTDfsRalh?;QNi+gDGe?PZtU*!m1I^&3EW%y!LT<@L<-AcnvJ)w-%b`+ z=2z!5h6g*_*$Cq1lG5ls5*cPdtWqpR%1jRimioChjf4qEazqOXAL0vlf`|+`5Us>Y0olU*k-B<&^Tz#cq<{#0k(M@5DCHr2j3SvQo%Dy50Qkhd z>x@U&v4Pp&dbu!BxnMa(YCS4Sl8C705i53R(x|UuXAy}|38D}J5~3<|mc*B{XG+R( z!Kw4{tlb0tZcx)iRPsd9g=Wf524rBzU;(VAfKUr?S*H5Rd+m#q@c5__RX`NT#64Li zUUsE2hLoDA*dKU(egx1foeHMf51xtrks0T)-^`&F1SPDP1<@n1ppX_oVG)E;Z}iGD zm;U%WZzwDi1n9rMee?F6qegQ930Uk*^w4oTZ;;YFf)Ev{=`X8rHvOs_`aw904{W*W z5Ld`a}2EATG z8r6QUOedxzos-*x9LK;oGnR+PJX)q-E)`Z2!~5D8z1|;XQ>WzbC-N_>rE~O~yx_D} zp4of;?CS03p1#mBdIHcy@DX{u(+^VF`5_=tz}^#k6{g*I<-=?D*q?z(PYU6`6LXl8 zbpn}A*8e{(szzX(cST<4W&^+q5QC7&ujK%S{lop0ApXiXUMU|<4nP35HV>}8|M3go zcohJ8sWsi@KsN)QM}D~9%RN97fPL;oqNK?ZXcZ#_MA|du78rP8VG+hVJ|D+nv_CxP zwij1d&m!Q??zXkXXf%xCh8JX3-jh~FN}po(MPVC}0#R9(0;2a>Gmhf8**_Z9Q5dL{ z_N);DsG>0xA!OrGo~H}v&mQjV=9veEMjXar9ECW_ayuA>VbDmL#hB0s1`>flKrsum zXND+DV%;7b?R&`9PB(+5iCX7x-rBl%_aJG`H{+$DM(1)+R9dkkYH(fz2K@mw6gy=- z&CPe$R#z7jINTa+tRIZIm|s{F9qk?Vn3bRr)LJP*an6RJT3^4TjSDkpbC~PSfAgE) zSe#!OGQ9PJcfGUS;kA2%F$SHpAAEjyf;nwDnfXaOlX%z7yB%(^w3z_(CAHUX)T~J@NA0fvauIjdW zY0_1CDC4j1)rT-;8{|p76#(bNdI5l>5efK-i2yQHrVph62?&q?m5?GLA*!RR$}!JU zVO5IkeZ@JIo&+wWCWU=viMQL{uIKEB5U%!~jgFdB?7vXID3S{D8i zrmS>ycr@rhsMDNgqFJ#HNX99!d@?^I6UT4>uFexrKe|kc{x5py9^|9sQO93^02QJ` z5+Ft;sFYUD7Ng;8TyMwfPsYx zF-e+pb6o)FkFuTZ9jyaqQTVvyEHjlg&ZPcMY#?At@8E=kVW@l^N;4NYZp05|geyGg z|1Hb_fLWTYo?5sf`o#wy{@#~f=?3v%{r%hTz5m%C{Qft;{?et@wUwj8!=lK& z_uhMUtV1<%;UiKAEHazW`v}McIE`DH;^J~%Pt8op9zQOsO6;xd?5Xr!oIn}o>CVT; zook$$D;}par;VK2EQW=Rj?&a`Y#%&xX4!)$5CqLmP(A*dod7=oU?6mW``U2kTZe}` zaoAuc;bYhENdQ!`$W86@sOjw#*VlQrJK0iC^fn+B+6;QkO)E+RVDxjiiESJg|3$2!R~z>s>Ox*_EOUBE}U6AyK!N( z++MwVr+4@Eeb7`5mkTJ;42%Lsk$5ixp1m*ZQ|Hc{UTocuH%~9FK-}zL@`E4$+=~i= z#Ir(DN~6n%goPKGpNqifMe4-EVt4-Z{0aaZqmi!3R@5$ma5+} zB2|gg>#?pKYM=RPyXl|`|DS4-MASV1SSJ~dNCfRHgg)Xq8J@IXn-OB zF93w3v;tse9|ZcSH~QcI@^3&i0UjL<0v$!hy!zsmCIV=104v>Q4xj)+P>b_z0O&3* zomyN1fWGy6M@K=RwW|8TK!SjZwL+vaza9|Eqp6g4g|`JEDMUhGC(58QssIiG-6q|P z$pn%0B*+XoN=c}x$`}pt;fxa${578OGTRKt*^JTB$^h}VUpk10Qi@P}=gXqo+Dw}! zqJY$1Fl9{!2%t*51W1g;NH7DL02#$sa&eS0FLc({4b{DhSrvsp_-kmdi3j}JdEuo+A_gXpwAOfS859J zfear!7L@u3CgxF<>T#2C0@8w7P?rWC8X+C`FP%U0r+@s0L2v*OL~mca^AGQQs&!i_ zg<3obs$g1v&tw^qCJmHlSy9-P%m841#oOc1h-Fx?M+_?F*H(nkCZ0#HZLaP}vz-Tx^L}LO) zbL>~ z7SQ(K@GcM>9R}cA2nyuH9PebEK&F%RkGZP88Ub2Mg~$laJ-Ps=_rS>F5k z%`~Ft)=rN{j=`O6uQW;j!IfwGJD+VFx#gxg)l`4>rAzM}_I9?{zk2bxuU>f$0Pfws zcmM8okaWF73=xAWT^talu)E^7O)&DL=9>#?dZ+???&*uI!~mH5^uqi?>%zs;pIy7R zy>k%7oiJ#O#swgPa8``>_Oj*qrdEc~YXjYGixSP9yG2!J4XIo0&xqWLq-f1nI_voaYninC&!03QP6OVmi zZElOKNYgH?3>(qY=Pnwgx8D6IFPzd1Bvaa&JD0N*gW>M0uRMS0>F$lsKU0dVgCfle zmme0R4?esea67~P_U?^V(m`>7F(N4JOUZx;B9_KRlerxP0P!3K+EU;UT`?+-Zv5%D z&b;zsRL=Ld&djb=*_n{lWYql1IICssh0R$)M;Sj!7Dp^QfE@NmSA17%EL znvIUNnX~o)?7#V&?-mjvdqWh30U?9S5IjRxWP!q^tQZga17!lGHA`8KqM-L^Nl2kW zQ0xIvkqJ}~um_j1LDED95I~%G(KvGa^{+nr^(zZWfQod%M9PQ&RjJLo>XgePNu*z= zKzTSXrRJ2d_9j52-!{e&t(5mX9OWyWxYcUKNhIQGx(n+!Rq{(3Py?c%mg-A1ouNVi zU=*rGuBbW{r5$}$x(YDx@8I4iBFw)m*QJ)^O~ieQ5XG_c%q$aG3!s!Sb@0m&A3pZ0 zGGh`r4L=3>0%f#3L<-i7RCznAvY33yr3fRS06PNaWAoQDM$?bcTRj%>fe0dl64F41 zh=BRl|xs%9Dc1WsWRyHi3em#%G7^XD|IXLL7eX45=)lKM5@T*a+GcIA{9G_vkoOy zA`@vEjE47n+4Ij`x%kw@PG|t&kN^1}Y~0^``Qn)ZV4M{Is7N7Bani&DD_w}Y3; z$v>IVQ2l0c6Cx5qmK9pl-r?xx`rTK~U!d|fH9Ly?m^KE012Ld+rl$je9Ml z=8D`4s^g#!Pl%?Ibpn}A*6(nkhsqZi3NL;%HjCXXPm@OUE02i-0KneZf^;fl5#*@UAS1@;r~C zMeoww77Y_@Y~Sv7yYsC!19!Xen_qq7lWW(m-@Xf=SWpBEDksia+wHczEAHRhHeU61 z4RY&3#6VQ>rWZc${P9PDPJ zgTOOG7AV6mANF~ZNm&U>BhqlNedVd}!dn0C+kdgNaN5zi|Md579ofQB531djUv$TWZDm(`vRmFzMzRD^KctQ@hFEuj1s zF%u%fc#!Vy9$Y%rJ+(BqxU{r!Z`}k61Q@7tvPMKCAVN|MgrKUSD5Pq7uq=g3xkBah zg*B&wliq&G_pt~*kr;>Bp{uDg@)J}JIP*_6!c-AVQ|SmE2e(*f(GpbeAC)rbopm{o zsEQ>fsH(xq&poj$d=enUS-eztwcwZOcKCs6TP8->l}|uv0$+AX%G_)w^c5j0v;0v_ zulx!ulg#if3P_1of|Ta@>C>zK>JPrs3N-)_q(AxK&f9P8sc21yiq*jSF(GLc0<#F0 z>2x?5LquX`5D86yHtWnU1R4NfFdQjka$f{W5j`dd4UY}f9SaCXDl^z&>N;2YO_UUe zFFCr2^Q8b%Z5c5^UNtjS>8%8q2vJjRi}yeL^bfxF>SAc#ySE|C=g*&6d**!4!;jwn zU_2g&VU(qX_iT)*rAhdh_6i&a&kvl0U*l?@W*Z1rET+h>Ie-7;n}yN}3T9C{&{wZt z4fNo-)6W7aa5K$^$6Om!f4=l+`yju$xowK|V?sd4 z0YU`SN3EOdhn>W(EF2EFf03R7(ZI}1<%0$lh>H4qKtfdo@MX<;$=!CQNK|9<=1&s<(^CXJ9l;~nRJc;os{uHCp2&aXZF zRBz}AwGt)H2?`lq*epO?YOFSC)ChQcV`F#RJGF2Msi6R4MvquPfuPTxUmH7r^TxI> zGV+2T>~nU*`Gv@YI3DM~`fw*ddw!{voDz^M%L=P(-ZOwJ-Lsqf8`>Dh#&MywxB0jc zv|^LwDmm&M`4Ek1`CN|1dn>KA0>OY zu$=b$-tdNR=Bb66KP4%*jg^vN$`s^~wE}`DRb>@BaF) zoxa#@p6ieALbB6Ng5CbO;gk8ec}TvW4ymCW8}Wfyx6t6G@8AA>`#XRd zl@b8!fimbH_L|MC-8dR$sn+xC0){37F*qPEC=3W8P@xHp3ncRa*>>pmV;NfAjDrR1 zA!(lm)Pwzv*zSMjLMMsAiDP!aETlK~?ou!(@qFq+U=s(Sv&v)J2&cnqE1m3AXL&e2 zN?{DG#QSzfcLJ27y_->&25IwX6hv)UoOey*0N@aHuA50VQhrnfN=cj0I0H&z5(e;q z4$D|ZArLK~1TsN?tYny&uROu#8Wn&#rVXDMEtKX86$ruOb2rn#rU(C4;6YO1ml=8^ z01}QQ+u7R#c&deP`gH65j!B)4gc<^w2`yEYUVu~w;FdZosu;`4)&ok56hNsd1rhLo z49FnfR{%g}KL^Mxm_R{AARw$jz`GixQbniKH6MPw2iIwN0`C(>3A4S>}j6KM)xsux9?`13sOB?%s_^%4ZC zS&`cDfhReyfXHMUKat%Iy4VnFBDH#5*Q1Bwzw4vz|(1%TNg`2}G47lK@ZfR4Kk; z@y>az1ETQr&#rH5Y%MO#uiv}tm``7PN|8P~?Coytw35VmOImxu!g;OB9V*PeR>PHg zsuJL> zrZqcPeS>!#7=_5A{%En&8T5EPI5@SmLP3>63*7nuStETkbS z1Srz}*_HVDi%&TvJ9&1rcO(Eo(mdB%dG>3k50OSAN?ku6gGSBFq?FRydP|-X)r<-6 zuYWWy239kK4S*1U!GQ{Z!2@u+9nH_rt=~P!^IRc_pdtto)ohv3xTmx(Qa|ivE3cn7 zs>$F%+}`%l!g90IY@{POcV?+KaEFH;z-e@f9Eb;xz`8#eo6z+7*?enprM0%x+c&z` z4qIfxo*|p|t&de`kS#DPlzcoM_k%;uw>CG^jB}TsIlq==e0TF8Y3g0vKeaL!>BaGY zjdlRc5Qw-CS;;8Wi81lmI-C1qG$@1t34w3i{`B_!`zzh~rPWj8qiroI>Ck)0Tndze z@hhv#VHo$0#?E8ri`@Ip+*~%c*Kgk&*d!vbl5-KfG`*i#pPZF#z13xEC+c?aSJ%~ z0I4qoX*}+|@Ra`c*DiL=;$UlcyLY>BcKNU$&Z}Ud(Ykr_`qR%{>gCV=AOGR@-f)AN z$r&hZB(!OuFy{p-!ia!?auCgB^i5p~qbC3bqC_E2JMxn;G^*&C$D$^hjVeW$nIo!% z5b{7@dg?ePkERasaYWaXo5ztp?Dwr_qv*<|Q&;cp?jN{@38}~tHIk}lZt%E1r>@y% zKhcEHDDe`YfQM=ZibZP5Z2B^lGXO$qCWe)+2w1#F5TQvzuAdwOsZ{AxNx1-^sG2#T z3QghcJRMHnOzpRT$8cNqMgcVfqAyMPSpa}$=Oa-bdt{FV&rZw+Wo9t!SYbM&$A7}9 zlH-4xxIat^Sq5PgUm_<{kyk%-R4rz)ahubQGqDJGSym$X?75i0Tlo;1Aq#H!^49Mr`9f? zX#>E`-ObN$-Uxz4qe5{hiltsm}2v#kO8C$)Xxmc8GW^4Vy z%YkYjR3-?BARCXh4)%5r?%uxFZZ=F9eD>+5;sr!CqC_iGNTE$Ygw{Flo%VnRl_nyT zs`AI0`vE!b{Zd|DRY;d$1+R}=w@A$$9fLSfO}b)v$||E35%5R~8Cf14!(=$-#V*rb zEswmB9NV0pg%Ail0^Gg5`_l6-omrZ@v$yMu?853i(gIPC3O z#{!}nEl{|zy|=ZyZ-R?nd}*KHyl*ucN}D_{lmbGSpIfoHyM60U+)U@@<#gJE)x~j<_cEU((e}>9FW!G^e!lzO2k)(}oqgk_FBRfH8Q+Ye zVC*eX5Mp4R3aF6}Y|-UTr@OnoZj`pUYc-R-gPrk?1dSx~9?>v4Mr1(egp@AD$EA|w zp#rRQ9rvUaVBmZ%fSqbl z2!MCY$Os-Z2vdM@WOny&U0MtO^*?`|M)wal_QBBB;Zc_9qk|D*e_?6))O;KaWV5%~ znm;!#0s!B_!_GYa;a4xW+6Tb>*0Mpu4vOMk&0RS4{ zjpU2~XcS<;5_zwIJqd#_BBL$^4}@N^*CG}PDzQ`TcU6|5RMs%`SdM2hWh4`$EUe`e zatvI})`wNy|En&1o+X(|WYeyy($@EKM?TnEF*11c_lff$y zVL$;XyD(OmUH}=v89~cA>Tzx_D44uLV zAY2|gwuLo@E?>UTY$Vndnh=yKM2rcMWn+D7cW2MJLfD}KrLeG06GC825EOYXQo9>g zr>*+G(i5me_f$D@5|T`e6l9V?&K`tI6k2&p96Kn)EJRYXGB`z%4^Dx?V=~H)!SN^U zN2KyiYZLWGgCG3pt@-bK>-^%gtGaNJ9xNClAs1b{5h4)*u@{lj}3 zTV6WAoDMVRa~1=nYWz=+dV42wrjvC7nNHU41~QeMP6P!2p1JVt&Rlkw{^IWXQj%sx zzC9eBKXqnxWjTNdvGUL(Gz3uCBF(d%oqHdB^b6DMe&x$wm)6|h{Pg2`ou|-5#TIh(-VC%q*@@6a3V!EYY16T zgp{brL{~q#UL4tUyuG)3&`R1SR(U=af<~ir*dJJ=d^A!*fU#DAFqA1Gfc&rurk?FU z0`UxtfzkqQG#(84X54PI7TfcKfe#bRi#}0XL34k<|H`u~PhDB~;N!P5JJ&w~pimG{ z7!erM{xEH{I&oSI2M4WW*$Ne`7>#F_WuvEGc&Zz+6yw8#9)dxw)XcT`-zy*tuDLnC?zsOq3hsI@ovq|74(0^~9; zMoN2osfZVX(gvWecI6leRAK<}BCfKc2dcP{G6NKGs)~}@or22{*vb=97ywD?9PAoL zl^!UQ;tYX20zb-8Pk?njzb19^>KEYeu$&U-^%+#U>vw{G3`AiMi}`v*f%p>^b; z0~i2mCys?qfYHf1flMdsAA41IOcDT16q(45Z985d;lX&nStNd>@4ox~xzkG_soMI_Kh61JHR` zJGHhkwtw@38*jdLscnKzHvt0*Wb^1?+}1n8VPKj@p(_d`L_i`D$gLMpPFR%IhJq{` z6DgxWA@uu4n;UlyQaE$!RFN)@`}xtni%n--_}mwumGa6tR}}1-vMfc0q!IV}`#=2Q z-@o?i%VC@bL041pv-f{A8tJT1-sEwkou?Md*%cI}aM16q-??dBF*ny8_xp!>w2-WB zk9W5=`sk>C&=`*k8!AB|ET_Ynh3C;jXPAzU z4$|I%3d5BG2#|;X1(9ex9_;OHd(Q}*=h;(Ftt~9Hhr{5N*S=ycfA@QTe{X#!2sB_! zN`XUQU?-MsQTY3}?=P=~i;GJKy9Y@eqBs)n9Uf#(LSS%P$C-M7}_oUuKDFr5er7C!gJT{+Xv&=jWCi`YT_)GVBK6k}n$Ru&Ia&oRb_3D_al93WA=OJqg(AxE}vSNoy(NoHHundm| z;d&sh{-ul+86ovxl6a{-Y>qoj2$e}w6+d&V^C0j9mgyk}ycw2Bj$z<>s<3XwJdqoG zMBk(0Kq^q=0U2QiBTfI|>3mu}wkG(%Ys>5@nc0?9fqy{?7;u~y<94G{{^$?i`uP11 zZY{2zV+Cw8770vXZNbdi7$_|tO4pDY3VP(>>}kXX(2h7^$p zpfU?06P2*FW?f5>6_-${0yXl$#a&vV<1s6F5jh@kJpFY1;B4P4L0anieo1A4Am{-U znWWLp#-l&~%m2E()LFW)Dlk6S-iD&Mn;(4o*?J>tq@!#+&I&9{6f%eG1IvLi2>=QT zmn||uK@mBzd^lMrkm+RoBd+?U=n99TjM8>2AvSWrjjc2eePCL5?+@Y#?{DuDLKK8( z<8;K51l`4jZ@qk_N#XiI??3+dll%L=)n4&F`;&kA_2*X>roST8m(O>v9b~sY8-4Vb zAFVXindR{8nT5H<*2|Y(5JI~G?s<6Y=Nr2_JMH#zne3X{k!On}L^vL2MvD<*ChuLV z+gw~|xMHMoIXvuf;j^I^Zec*VE6u5eS(GtpdUUWqiUUK4))k)ZGtXYSaN*p?pS-oT zb!*rUGVXy|L<&f8mXp?j_*@XZa|QUpz!O1ej4fOohYQ^ZShhEJhNCTUTHJ~=AgD^^ zxrli0z*|yOAS+mq>>lF98Z+sZ@99oK4*b zj~wZs5eHeC4+lq=FRz|GySBHx^Pm6rhldBg-CfCjt^+Ni1V{jtQta3|H_TkC+Y%uF z(F&HA7nfF6Z{OQI+}QVEfrLmQDPUa&x(YZbhd4lh38;GDPM(tttW{)m!#S5Rj6F$n zZX|g6#W%jvgzta%=in9xy)9$(AN|q4SUS77xBbrU!Oqt1T-ZF7GXoL|0IJkc+?XSs zg`fuGy`yxm*&k;#gT_N3G`k?HgOWy*zH6&Rj%@?7Wcim<@Udt8)ngwIg-J&IXtV zVccz}qk~8R$E_Zl&=^Gl`p`Q@0MZeV5m&0x(OFwsjwP)?g~8Ea*MvnXOxRJ1MtPo4 z5=GHC9pz~jgn@w9Dl|dUTjwQ5np8y(Kl$!ZWMR#+hK&CtxtdDwju~ zB<+r2H+jT36CjcIEFe+b=pUs&cW+Q1cMKwnJMx*R**j8gg#1|^3ZQB)p4`!{|egRq{A>#u~gIw z;&CVLYFFYc9D0Bbg-T&0RJtP~ASzWlkr3*v7)j{6bDirq_tF9E?;TyexskNybpx|3 zSEz`m|88K2d3_rPUDlA|BZEf9G zH4JLMMwtwn9=qaD>H)qI>9JrL;Oqq$QO)9|$_3~_GD&2%&-!H3zNvX62@8S_qc(fH zvpd=v-c1^DfZC-NtkH<0QK2YAogj@oqEN&f5CzU7APNbVjveqQW7m^)vQ8k=$@<-q zOjwQ>A%Fr_pF=U;N?q%thvAc5vg?@Kv3i=Y>uZ z&9xE$xS6{3d-shFLSqVB=A{Uc2(o7e7UzK+dJjR6jE3V^UwVpNelR%j9{Zz$IdWe6 zF`Kv*C@DAx$VHgh<#_?V&`5|91bTIKIZ2kf-Nnt#p>s$&w5$@ zKg)E|XnN-v0v3a0p!QQnmhwqP3W-Fl2X+8{Vet~c;Ah|a;jlkyH&=ZwDrqxd|Q1DOBUtW9a%8<91zu>*ZEYX%aQOPm&wl0G-`c$W!!R%}f8*PK`|rNT7&BrybjQf0 zQ&m~TmL;DcAYoxGITgjRGG=KhB6LQP&ohU9Eb4G%G6cIuDm)?h8WseJ0BF55lIxJN zqBYk- z@g71r<#p$$gMT=KSRUY}rlmTK(jRNdlAxI($ zP#Y?%%%OJ391(!BV#! z>L6#z`}^^7q!14acW03O`1ap(`^~{cRx6Fdhy(;v*%CEUp{?G0Te8Q zq8R7={h!`t7sg?`$dS2x0s#XFdL%+p1d7Oj^Miico{P_&do~}9-g|#LYQ+W6IOi}) zwCVx{Adb8TE~M1LiXaFPiFl=HZoV5vt!JKl@uQD!TZc~2gN}oyG0fOvTd=pnEbI{h z%dkrnFT&0}d*%60@7y1aN5+Ij;ej=vsK6kgBb7WS8nJXM9twflFNh;H@QUrgjzdF-blz>1W zF9gLTX}++z-Z@M{>TCXf;4pv zE=Oz>B6;UUAS(b=!7?Nw$C6nZOELlq0l*Z-3AjLlpf?)7@cau%*=TU&C~A-yu!B&G z^?5II&URLwSzF0=hj-fO!_aJwd^e7l&R+%q=Q0K$B`BbP0I*@;o3AeY-dYn7UMOSD z0M0-2!ry-PBlPxPeEZU=h5>-};leu_Z{0r}vx`yHA`qIW4<=aV@t5diW@-XyYMZhd zW4CEzU1~-54B1hScvZd($W=1SF+IvO9r8H4AOMI;k#Uka@o`f%!tZ|n-PM2f^>#B3 z0ls{?9mcI6{^a-+(|^LnaEKtyoYiA8^nyCI}I9o)(g76KYh`%%}1?sLTLGP%(-Y zh=>AhWIQ@(wi@5~`m@iSnG+}wf=_Pme*W2BbAG{LA&OBnK!}i477h>dc#jw;n;0Wf z1(BzjNRxk8>pEs%4c3g>zxtT3cRXv2#mHp3x6RgjyI- zktTHBJ15K~=|@;6x0PLqI>&iB*eSDS-;dJM)tA21AWt66=uX=zGZ+4`VZ7P?%M6?r zJZ4Gq#TJ3DGuI~JbX3|`1PV~RuxO2hQg|;&3<%)BtNM;m50N4vC}iRaJQ1E?rjvC7 znNHR}8f1bp#uO(_Cjk-kf+Ri{Mvwq7WY7TUeOaBO$Rkr{|H{jaDl_4Nfv~t@*XN<;!^%jRxal*hfH= zlBZH82$Cc+q_TA2yfa1tz~RAoFfIy9ahzmUqmD>vmIi?L-ie?h#awt6g;++Qnr0Hs zFUh^FY&1gcHO7npsEtXObW=d4?30P{G6wXxQlbVf%Pzyj|E$E;bv{#Bzurt`1Hyyi?wOe!T zh5YJAAKly9)9PFtoZi~h+eaWO3PR16YfT9l6FT8u?_kiB?Y;iak!^RDM9jwR^)TqG zGfSVn_0iE_K5CuqL!Z$BnL6E+5JUiF#t2s!v?4*ytaXfe<~4!i+%Yl=1*GEu3od*X zsFWy73m6W2uf6%|n_v6d&;Is*J=*Q>jxv=z(+<0Pd%dieIfK2!e)r7jvuFD^|Nhfv zw~-Z;jlvh+{3fZEP4`T&U=(G!Mek8805nh*R}(-088HGwq5;pu^v0Xd%tdsnVfx`(Q2`UDqQ5IH+v?rR2c~>&ZtU)Cy?oQ9U!~CgNCpE%`wrsv#c=E0!r~ zGlPMrW&{s9g;%bC6BQh=$YlJoJa!ZNYnkzB5D{Tte}QXji)#K>p(ZR%x1~Z*)xj4e zl<9Gph{zB?gzCdZCF@(8D4=MJ#GwFtDnO%C&RZYEk@AuA1#6i&rW2vCcxI)PQicGD zBBVw-F48nTduH{kUpjknF>)XV%;r)5?RTyv%`UT`E@#pdV1VdK1G!@d>mgnb>IudA z3shf*<&L0whY*7=4LYTQ@v34SC(1dP^@WFt*?MW7A;MW+y#C^|zxSo*0}Tq`=hrsg z{lPn0Dd$<3NGSp*EZ&z*ftt0WXphVU>H6q#Q7GJ}C06;V5j!X6O_OIX6c zV*{IyzoR^XWqJU!$%HT!Z*u~V3fUJ0iXd`n$%2SJs3vkNn^Xs&QK&>p_)9XNPu9sg zflMdsx4aZi)))O(3Dyyjsn-bdwnY# z7M58wf<_?#5bH4~g;*FE7w!-w7meO)(uSC`aWU69x3jxz2{ zb{;cnbU`}orMV#Yy(}FRnIw(oK|cow|LH3)z52E1?a@D+UyCT1 z|M(LbQ$XS)=hD14x3Z{qH{9?C=S~-wPODx@o{Kl0S-N-@?|t(9l{1%FJ+d`}UWgRsf0ES2||pn`htpIJ$mk z*z1iON;S9$y$4c`2v|y+Lx5S<3t?g*h^1FtIen?TFoO^fBB2NXJpv&N5KQUcQMJ+QsuG2%_OPpLFDiH|g4LUxL7q}Gbx=kc z!)!4>>slyY5LgJSxzN(vPk=QDlq#Z$yvZ*X}ESUYBtPkPoFy6eEO4*uQuYQFPJ@Oh=s*^ zhsL1NmVF}#NE^>AmCqeN01RsTJF18=pt55i6Kz#3Kt(_inn>9A7${O8ss`jF%!){% z_6!0jq^P_i5Cj;J1$}A!iO3=-jKWx9yV7`lmM4E;q7Q%{^f#ZSPq9n}<}%5aO0JfG zsTT8vzmF;Y6`;ELXA2R)NVxHMAp%lli!4it!T{JSMc^$!>U_pRg5D;5;~=vQrb)5 ztVM-!BUT1Y7#QKqWi9gEZhLFEgDS~AhsK0)(<%)JL7>Dba8-McMU+xn%y2wx&xNPY zE{xJ~;jB_Eiy~gzT&pl3r4c-kCeVZiAONt|QYHcb&U2dCox}As_W}xl%9gOVk)i-O z*NRcGg|u{tLSo0(``joDqU6(0uQl3B%PXfh_wGbVn+tG`Ku{rpptBCD(m^4XOb&2W z6;;k+0G`+f;u*`TTadjHQe=e%7eCgEe9e5%RNsyQULA2C)PlzH6WC$2Ui71=f zp+!RHy$A#*?f{Sl&c(Bj2nav{o4Q;RKo8&%NI=R;4#7K@V-yf#UKGj>7FuCv;o|n* zu7+kOh;-{HSxXL%dYRe1{PfBz-+EhvS1>lDVb% z(Y^QDXU=~6JI~+v?B40iSK=o2H{J!=HOW(KBqdjb?IFtm0)P_lBLD=7TP@XWc&)8c z(NS-F^X}H|+k0^%dH&f;05BYJzkei>6ICu$kK~7bIUfu&89-Hso1XA0lRQ|F$&Aco z^o|}yXdSCBYbvT9Y$Ngr2#lymDepmt$vf|UG|Yy7^3B&GGyui2R{!#qrCVp`-oLuJ zb(roQv4lx8M?LgjfuewDqBsH(A~gop2T|pF@a!jajX{MFFgsxZ@ift42?>iTCL9=2 zHE)F#`@^QHpsSCMRfC(vjjOJX>?6u$c0=__jsRW+mF zsGt3p|N8mS;i%J&ZDFG*an9Gm7MYsqADb+dhs_}HK_hKEei1%EiO7_{`DMQD)rJAI z-g)uMOAB+&_}1akpa1#)@$@s#{OfOj{q)(z_ul^`63-}#h?zYI5NkuE6aynY>MBO8 z$0d(R%6>V$Q{8W;t&|6OH#~Nc&-7X3(Knrn7{=e!JY1bYSAKAq(S<#H5a{?_3x2cN z2`B3WGM%iG^=sV2s%mUD$`GOK3CpAIl%Z`<2drtcHUjPb}Jj^XhM$xi0&O8rS9PLsm|(3aOY+*9F96cIl9J( zqBJoGI~N!YLI8V(?dw313Yb|ah$55vk+(z9X_^kb%>w0Gtt1FEgVmvN))L}#moN5@ z(oa9Wy0Fl01f8Qi4~Nw1`GjN`;7_t3nBd1rWkcJ7T{JN+B=-lNjWnZ2f^~0RUt{4@!n<8Uz?9 zB~G)b`GvK?I1s-v=;dG{RMd!@%sMLy6fQOMRRjn!qb4M&l4}If5_koyfXXJO000SowJ&GJ#^f?7SBi9T*~&gD{{+5EShL5+${B zZs~~lr`nNv z|9gLR;p}{O>8VeD_TimPXf!TB5qYhpBfGufTfaE?z31kG@GQ7C60I!F`QCxel6Lb{ zqFZPd`U9J%KsvOx0E_^D1(XOt@zhFu_FugU08Ib@xOR2pM}Pah-}}<5|N4(!3jqL_ zL4a~pV?hm!vqM+_Gjni{t$}1ltsyg`H}DA2`^=9VXO3pX7Z3S-Jm4!ZJEvFAu$3KX zF5BH80!Tp^2WIipkMHCe{PV9|nQJ%5Gg1EZJYIV9?5&JH-tOHxOl8MIzbI@5W%zYD z`a6-+R6azs6)9Bh++d7&KIKo6Y2`mt>)x=Ebg}ryA zRHdLIumF}7m9K^1JJlAIubpvy&E74<`< zeyN&bGr&r`00T22DMS`#1O^r~pfqBs>OtmmMdo0gLjcZt# z#cPj&<%(?}XLAP%n@J;VL}8?syX~jey5|?05r9An5Cg#HyZ!(4y${l%UznS-w$M7V z)+4G(ISpJqD8R$VH6-wOGXbVy(9?OhS=WS_&?>C@QIESqi9px^c=oo@hysB9gMOBd z2ff1rz=LOWh0ir8<9mz_8j*zdQ@-WSy)N$aJ!P%M24d z9PctCYXX*I%Iw)E(By_Lf}Yuq#=|dv^|kN3v|7E2F2nRDDXV?k@v^k{5DEkQsrClfYbc!ezG$>eyVZ1g!C_!+x_@vqx70$kVHD^vQos~M z!{Gpf(V0~(HpufhO>+VcBclxEFi9Ta&ZiJUJ` z9H1~T5vE1490gG$8jgD7EW3T@UUz<}+YR>jC5hwA7lZyN;LKUi-hvwwS|R6(9MFYv zNZ_(UthJ*w&kC1jg$S`{0S|sGG(gUaVw`fpZUk;DSZD)Tp6L+6II=d+3%|B{=H8v{ zAO7eUhzVgLDBh=eJ}@edj99S(){6+$GyRB+s(P{_+ahzW5YS@?q%J^>QO<(3E)3e5 zJQsbe#qtEm-ittJOc1IZve7t4RDvktg;@ckSQG@^R-_5RTjyNn#R~~55P;B!>DjZ3UG^BRfI%JK$u0q zi^RY|$wUHxbGB>}un2;vGJcu}5wup7-2sVr0v?e{Sq)N6FjXZbxyi61vs8|8M2avp zsy0*rB0{9eoS>;?_oa6WVJ(dj$(1+~saUX1)7MkWYGu>L(@;Uj#8wQVism*fsy9N`N|uM z$kn@oYBoy*1O-xYmYM1iOkct&LXQ%86@jXAufRE1p#uRIp^XrIrFLeMc3ew}c2FFd(j~NV#l+(yKBi?&psBc0W)@E(x&F68~7_jwD8_EjntW^L} zpqCbwo;r6<0|ar@>^1`m0v(J;Lm_5%B0!3iQdCOosJ0KELO-g~*MuGwHTwiWQeFn~ z;HQRJYeodD9Mr3rEnz7`oN=0hjY!@X&N;0DBK%EpJc!5)f}p4z&chk5=@Ag`1SFlT z6UcP3{<~qArt>D1X)j{gyX487|HJLo(Fc)HtIT$;OiYtT^P(P$WRqL93|M8hl3 zoh#VgzIT)>$+i!hiMeq8{MPzSFCG!SlTgQfO7ua(wlFdnn(EIJJl9UboV4hKmR z^=%G_2&BjmQ6q`ZojY4(4c(2G*XEmXeAF9fX|{g%PTD^z($R(Um(E^XzIOeSK|1QR zy8HV*fW0rh{#w{r9E`1nF(_JFTx=w<(m^YtcR#y&?bb#ZcXqdrf}l~0xk12*D-`g` zQqV}EY^KAS70!cpK0(kb_G3bEARfRgS8$}0D2UR`4+lp<-h1w;bEpCa21Y~?&xrx& zTq+3>A~So-;=FgDz>2r*+F@H5iP$J}ICAM|>{$>rGqI%QY#SnZb|z2?17Lr6u(7iP z|MuVAy1{cUxnzR=_W0IMKR#-AmPcx?6?kR*Pe0FwJl@Z(RSndN6bZ89%%BtAY*(kKEO}&V ziZRWvtVgz{%?>xR=OqtL{Q~`9|HrRXH)^)gaf8SSWSU+# z$GE|IdJQWD8BDT_rySEH@~blOE(4P*NF_MK4zpA&EFf5G1>l9Vr&j;xKWi9`0B2X{ z|EK@yU!vpt>ql?D{gX5wH`{UUIc~%NoMqYK;v6B>ptN?~uR0=X_Ay-pA)36gDdPyW z0iaBL(;o=(QnM6tIXW)h0|qt0B~`d{{nOt2APAeyW;)Kjb3_IJWkSBev1W{XQ8JC{)*FokfMyhql^5i(;mI;!*$W|I1^-Xh$vS~dC+i<`v4E(zWJ3GFRZo{z;jQ{{m1|K{Z=!$eEC9}4V*6= zdsk!&bDbh{=_qe?Oj9MElBb`0`Hd@U7Qi$^SX%B~T>9iaxOu%72I|z>scM|;4Yo|hlUjHbByhsO8C~-h>puyWX3j0T+AP#}Y3+>LSwaY(! z|EiPt<@3)htest5jTJx>z;~04^>ubBio10A%Ja`Wb@%pFtz4^_WEnau>8L;s=Q_>t z=&-xkc=qx_5+)2_0JcX%@x3JUS1zCKv>NyCey+*0a|qms!i8@4^UrpDUYxsp@%d+- zZZ+2Cy7l>3?QV}#^rL+x%~Pwdzx>jEe>=}I1RM@V-FElQH^0*Ev_`o&Kqt8lbcd!T z;7ga+tk2*7nDCq}KKpUP6TiU}LlR-~RW1 z^UUk#|HbcrX=~`!JRzWS3~MAPe12(w~b?w*?@Gj8X)kuHQR)=luf+ zSMOYyyJ+H=0k$^xZDB(l&!k~K88RFveP^WYmF|I##i=}&Wvb#$rj6rrkPIKdV^t{3 z>@|+ZX8EfBR0t?sC#?{npl3i)Ele8;Zf+m__1=ez-OlruPQ84gwY7e*b@$#s`R1$8 zh<}A9&SM{H<;PIj@bJ4oeEXG`on%h$g2)!*!s;GIuC-Pqpw)8GH6PlTT4 zi@n(NHEv$L@yYh?cfR?iId(2I0FTB!DCF9`-TQrid(Yn69W@&Z?YY4Cg1jq41c(Tj z%Mrz?pBzrKOjU-@?6ot=J9^mgt=iJFCBKxmC^FM7m`1|F#Juqlm#PRNYHge^0uv4f z!+Qt)Q{7hEXa{iM*a8N+Y0oC#H~ z{*3rYkHG2d=tP=4GsX!>6}47&LS|)gBp^KFaZeLd7)2^D!H84qT%a}Le=F03h+z~u z=bbG~5NK_zbx+o0PC+czrkN+}WSu~!ll70c9(+_&Id3xYYnrXNs_)<#9eLUIGa?kn z?KlYz_xJ5!pa7aG?C6$Hhl@*RiozZB`)TT+0ePOk_t~efubf(1Yc}Saho5f+1^dF2 zS0wzEFFp7CYNuDEcXxJf-Mio0TwiT=_IJ1XgF{j*;DR6sf*^?+KKHwan@UU4X!Le> zewrVR-H5$AwRmcCYv<}G_e31a@c#Yv`A#RzQt?gzf-v%h*pbUd1qcSuFw&}%4~OFO?TtM{%U7PhH0&KRb2DkqFRqMJ$j0elJPyK*`}gl%3$+SEMQUej z>)`M(4wNnU{`#Ha(bmFzqZykV#W`z>^z_QbjeCRjyIUq`TFh)WXS}$RscQ)7W-i7x*{741yC{MfHcC3@g5c|>k_WBDizjA76% zr{iw78AJvNwC2;NPi<}O37Oa&Y4V5|Yyi&3ZmG=O7J03KkF z2{i-&_6sU>w6HiQ*^t>g#|1!U`SsVI`Rdtu0C?w<`*-f_w&Pg1ocNk40w(!1Pt-Ea z>JpG99uN$bU9$YLvQ-3VV#8G%Za=^?BhGX~W-7~xD>@NZqe7y}9&;(gSAZD$jL55I zGIo0NAm7@*{qg5v);lVO1KU|&T$zi)K$tzVQo6PdFSA#WDvArZ3XlZ>RuT{gf|ZG$ z2hmyqkhS@>n}OJtdWg}vu0E|VOaaWyQAz~0%SutkyQnRlX~Q5Ri82sDu< zi3BRx**&~=eQS3YdcBemtm;zIAt_CYv{E&PSKTxfDTLWcORA4JI&fZGXw=S8|L;D$ z{en-5#zC4FVGt;cP=aFyVpt}pf>ENi_YA_!H8!ojN0`dNNI^sTs6Tk;-3GE~_HBC}^NS`$@sl#~~l_byJFAG~*W^VYo%bkxR@7ac>nstXc*nJSUs>go!m5xjM+;GA23rZMKv$gE z_I2JCg3M0URbYYOn4E@7+=Wt3XhP+^@bJZjQ_)jxzkz@x490|6n*aO1`ny)E=^djW zlduu*BpX^}48tHYfwx{fGyqh;K?kgo)t0; z66DhBpUSiXMdXDl*FTZUD!=T>2$#Q|NM(7RW@8i;1|i}D_BFp&YnIsr`slDH;^R2U ziyV<~CTZZL8ar7hkm+Rop8z${bpGa1W6=136R|Ic)e#7!B-T;uFwY#sxO6T)8zI6t z6j@!2ue@}owKRX&-#;2S)Jwo%wZ8Iu&o&nm0nS4mEni9sbx8M((rXLzip`IH_Q9>2 zA7|NkoWgu_k&AqL<48xUl_XKr$wtG`C|{jl8_7r<3`fP$?%u||!vY6M%k3X*HIfA` zLIrWsFwW*_<{M{DBWRna<7}L}+zxX|CC_pIXEbN2J=m~@RHqm^@F@#GhGg^6ARi^2 zcC*uNZme&dzWlrilFxqei^IJG57D(xKNj)APLoZGJcwI!bMsytXxQ4?7)EsA+-q}d z=iYkj?YZTJD_1VvzP^66H%6x=<9v`Iw6^YSXMThzp)sKed}eog2Z+*ITj(ThJL(0- zoISmg#0#fZyc9QvNBg6Jwb|a_gA}v?C}l#e15FedwKpCWX}WZJ(S$l5j-;>#@#fV} zKfium#Zl&R#%4NjZgh|Hp~B2cp1kp;Q)_D)+ePY>@+>5vNGV(7=tckloc{HH`2N*H z-3~?*Ul6AbNss5`FiF?`-cKkPfv8yc1w9vP=nkZ#}X? zQa}onMpalRfIy@I6JU@RSz4rj`lsJoe(~~PU;%{`II#-R7XI3`+ogcm=pYI`y9^*+ z3?T#100OZu%luAqp_4rLzy>G)R8(5>#RWhP5Z8Cl(n`!!x6_$Bs=^2Q<`&@dYd6lG zUAPIwd$;dnXEE2qI2t4n?d`e0_)oh}UFtsn^u@ubcjtqfKl^kPH&(Ptk-f~?2Uk*% z5)wVS&nlH8FVtjSmGD~n{8ql<)9;=BBNLg^RMbtAT9A%SuVLMfE)iViiV2f0TFQ=uZzQU|TFi04w?rURufTz+};ApPub-Z5Gsf_PUY%xT1cpfp7W@ZKSN5e8up z5U1-L(n{Pf4LVsBc{1e`7b47{O(qGEwV)gq!vFw@ zPmSixdeV66!iz&2{QDn#mJWvPBre3{Tt-lpg(=ON7gUac!cw37a^EUogPsMLff)i5 zHRhgomcRSXIuMNgNa->tq72^_DIusp=yR+cP?>q+*no(@8Hz(7CFs2afL6B?C3C0N zIv;+zKg#ZD(m08E1w=%JDpOJ@Q9@J)g^_>)1xNrMgli27iEy6h?77uxH|pR~cF$NENCUI%ZfmF~JZJQm9Z_>%|Mzs1=VW;ke_z2{9B6K=gCy?o6{mv;S1}XrY0#PWU7{Hh2XAc;*$^=QNiC(kHasY9K z(}dsn+EdS*?j%qEjFIrEGp#e{V*+rHe0HdeQb4dYKleW_F0=puP5t;ubT6anOkt ztRJi{oEO#+kl|Las~=mk}v|v7w48E1J=2u5i}a@PIo?T zb8pxuG<&BXD@(SlL9GR8b)EW5evGMZP(6(`V1z-`b4BP^+eR6EzZvmDVjLIeY%; zQN~>43>sA=Kp>uk30+xa2+3H20W2XfLPk=cc&&BeeC9bJ-6}j+RUB)h(mWOLaT2?p z?Xk4y){4RkV3Zj4K8Tu)IP%UiGa_N-f`$5JQ+ROf4A~gRLMpRu5DFu*Zj2~|5i_wA zparx6&cRz2VIV>i5w!rkrUOlov-Y60a^%OHDW%dZZI~oINI(7f-p4n027auA61L2Izmzacv3fDRjvsT zfCo^O0=}e!YG;UQ6bap=_fh*^VV$%zd;K#^ExUoI0hq@^RRLL)hjMxe5rN7d6JSQM z7-vA*+W@TAs7X9$q}8xU!9x@T079y)lRQ*OMnvd6xY|Ah0z*^gOBgtxdQA}dvRc-x z+1hc2;#-kNWTMh;02K&}b`l}h$E-EV9<(ePqyipZ8rR)`&52H&OwiQ|NK(TJC2=DTGX@ z5Xz8QX0F3}1(8+B00W44l3qR{B9&JiAQ78+v49Sc7|Elax=T#=BMXS22#Z2j@9u}{_;Q<5Wwp<< zIx;93vg+j5eFaq-g$Sacs-uS(fJHompfJ^8v86SNl&Qw0ijG+;^a7+zv(s?a5)cTI z0!P*sR#QNr@}daBNC|!Z+0A?F+mQ~7JP(ZO9UXXUjW)u5lDB-^-&~IUal%kPpnDXo zCCPBypS;RgEIDByrA!Qgn) z`DgDuDGGw9$nA`c4OJZns58uvKnM^)8|R#xjJ|*ZV5ma0=%kE0StpR`Wc^M6lgoe% zE3yDi3n~ECEC^M|AD{c`HK+gjqULa#z-n0||?W+9#_ML7siIWwZ?K;nfz&V>q2F$10D};X3 zKkBq%_SVU;F&A1;N^I0JM7gk5*boL577^r(Ku8&*&}MmPAP&)}ZD$y(q z!sJ;~7)J5upWSLC(Zb@{&5gr6yZ72_U%I>b<814`2^J8;w8+SiwT?hcphcXB7w|~n zm0diwaAtn)?(I)JBFOoJgF_32aD%YrO%`gjE+b+rNgnUL2s|RYMudl-mpm;JE;z3u$|&TT|w_JZ}$+NAkhdQ`*uv=3WPvf)J8 zGr=M?-YCfqC1rk%2rvjBlEM<`5n~v|OS$zCwv0o-BLU@o3$z$v-CFftwze#(1?ngg zpI|Ny8R_8}Jg&+Ko+)ukbF*nF#8b~-;HfFHu7gY;?873Gi1nWF*fl$yeeuU+P*U4s zmT^Yr!ScW6ek}QVmd#9?zh#YMM+pD}I4gvv5CRZyK?DIEDi1)Fi#Mt2HHc6Mfu`VL z>L~-vidjw7>Rcr{i5FqXMGyi|z?BO>B9f2-WRaYw9Nw%%9>^WTr!#yXIx0(54IqH3 za2}D6ON&0B$_PWlaqB@rm`xp><>9%kya77nvkeu7s32#v!sy zcuuIS07ZNe5Fmw9pm3~)1`#hHR2wX^NNLt58nkEf(_&nlM2e6UI`#w(T$PZEGLu0J zh=ii1iODpD`aJn2Mdg0>W!_t0yyXCNsGICWQ)psvVu1@p)EbnnqO@`_K&ISviUmMs z&Aky&P>G0e>8)6yO`HZ0&z9(d41ykJWPbu^kQ5--c|-MAs0{w%3J;Yg1l9bX7uEm@ zg{yl(9j{SemZkL@Y2!q=@JQN=03k3FqozPW7(E7<`M}P8%u#0zd<3fQ$;7z=Ui?oCslwKqfhEb^nGy z5o+|M(ExM>^rdNmnx$P3dgG9`e*-br>0HEw$8bf@*4+kOV!-xF`aJEL4xh zl(B#Ks|y-W*2y}7OegEt1x>=N6=(qTmRv?!0W%3&(hi8GQvfB0UMDIDm$x`;3l`r9 zjfsNw`#b;d?M<%> z?tUYh3j^f}0HxU$Hp^09wWdaM(N8RRsNhX01=6h?K@k@llNQqLepr5mJ3S9Y1SY0j~3^bvT;@j zd&oR^LLv0|_$VJQ5`gkI5 zI?wE~v>y@zKt39b`}-O+vqHnx=Vb^FA`xoufj}Fb14Nz`)^^o1f*}vbvvg$UT}@*W zA0}SwOd_c*xp6A3_KbbZ%77SgRR&E}sXFIG7yuOrc?A}s&Z{qx{G%)7UvtU@kAHwk zr&k0FKvZ?n0ZX&$dSFL9PYeCh(7GN2V|je-p#-GV-_Mx9;BjDuA_5*~V{$~zKAvi9 zTAnIJvs$2;X1P+Lahmtabpp==t{1b8$Uwv(Wu9z(oTX-|9?)scswNPC>wsNB1ZEa- z^`sXfWfv1D^v9e|oK=g69N#1&$5U7*pAumfEFeAf zQ#G(Cao6Ns7Gi;`Io64*dMSDFrN?5aX+b2KWkgA44*Y`yWXE6&i%gTpr1GY#RWnl0 zd!rGIABdQJ45F-_Jt(Dpg9e~SWHJGi;nyYa^#K2eJoJ!(D3QrYu78GEysyQD48kG| z;zY!Xcj81!|86Pm-D`9z$EVhhf!5g`NsY*;J~hrNG+G-)gdpCbFo>VsN)I382fl_l zOIsi7$V}#KQ4lqP0>na4BWhviJm!VXi$X}FF_Wu9M}z`QRFHDhmR9xj ztnbmtRePMZRsb>_j6itU+Zh$?gcN%%Ow69ydNE0&<0uH|aMasb-w^NFS!NF)plSX7 zrr5L%nWm%}#9+y#sUq}_t<#-S~QZ|l~sHbQ~hefPtI!*R3OMT!JG0|FEKsb;_!rIj{;VfN!OYmI5< zT8*Z){*#YC&$7Y<5ddnX%h($LM8S5mb?M^8?VZilmAP+z^^HMMl)h;QQsjB3-N~JV z82(?s^R-@P71dS%Qv2$a#TddPAGDRs<*_jRfr{XGnZ?51EzHlc$X8!~`Q>M>D5JcT zk)$#a8Zdx&f`CSo0D8tpyILJ{jsg~W5HdaX;f*r}D6^HeJlJq8yWE6O9$tA=1Nc|) z;r$KtK@b(HX&F?8Wlr3gYXBbENO2! zX2>fK+{y)!JcEd1W~E3I0W*T22nsNJW)9-mqxRkcJ3=HN5?@%Wj3GtiJR^j_M2G}} z?Kpb>{f}?ox)lbh6iHJQH5y5))tKvcu3x+AoDGZ$jArKk*diiV@t392pmG5ck+OLQ zBHg*Rc$a5mL|9l{C~UE{vj;+o0`CDxL(NcCMHm5yC`Fni2n>K^c^YWr@*=lhsfYnR z11bdw)>{!aL{&$Rg+P=7Qo=rOwOYA#&blON_Id;B*yzYla0gez2-VCCRk4Y~!7xz` zmLiDQ1ZptIjcKAX&KH0d(Uih@MDpGvLKH>&6sC5d^`>HF~m6*2y|qC#zhpuu5yB zib>QbVy2UI0+~+M?`Vkw06@+EJ>7SH{G*@!_(wmRUuc6^0WT_K@11v17?k5)$Wob) zX`__@{r{u z;$c<-$H*)=#8E&A*lc)MWJAm@co+7)GqW?(RdrAPxb^I=s_w4o8K!4GA7+P{>8e}z z-nv!ye(yQwcVch@esGs>FtKQqjOh2eb(JNWC{1SDOR>)El+n=gwwp+nx-^3h@exhG zU)9<4Hk+nf)m6XkOHiU-IaF2ku=7(@#?$zf_V#u=%SS1_em_>KW0BonbGm7|o%&G3 zp%NLYJy}zUTzSVjvRS>r~o#ysEIq%4-V#iNZ+4j1*`;S`g`irYfynR*dbt16Kq1jdnFGsa;w%@!h zZ4DfeiM`V?kLAv_orviRjZulL7#9H^e|eS3Yv@^D;#oC=p3MDWFP+R`x3^J&n;gcR z(Zz3F#$A6lH@j6SYy3j;3`c54WgDz2egB}!Z zf#Xtl>W!7PjA(jgoK*%ntZi4ThG(r()txA%iYn`2->b-GING=!kl$7jD}yztqGVQe zX_~A%bzMb?l}=TLCDBI1S%&qdCk7@*48vR2b=_-6M0YxMyU&`;l9^R|>7Aot%8?Ue z&2lh0IjeTg$A0M#C%bP-zuz?3>2%JVKC^y!z4V(0zVHXHe$6YZl}AH$i2o^jRY6 zsw{UQQ7;p87xaM3dj8f`i>}M(4Xet?eSe3`;xy8qSJnB-x%IU$S1fbuV&T!B`?}1G zW@V`rnSW@t-xYK3T+G=gnG+jPF8RA%X8t{Q{;=)CyVgv7k`~->U(jiH!NAAm*0GdX zyJmb>F>)OCVpIdiRU9G{mq&kmmDCKo>{r7P#MSU?aiUjoZvRHhtzV;qcu-87wEtpt?nA(^bx%Wu#1uTN&+>e!mx4jvPML z^yAJu@4D&6laD|4=$X^coVf0Iv@;dWKJDdjex8^J&zJBvV@!@79?bjFZ=$INb1YXA ziG8OV-g!X2v=79!_mbDi)slCCbHCSrx!28l-mF=ddnfOEyf4efqASJxLu<5x-ZuFU@!Z9BRl7>WQn+(-RpDVG&+}YO-e4=F>k?JGp}v1M0Cph zYyGWX$2p#!Y`Gm$?fgZOGcwyHIMHt@-LmB-=>A6MrJFAoo)>cTrIzE(>MJsi$i!tm zZU${iQ@7u8$?u_RG{bE*ee!9$%2g9zcxBs(qtjlvmuxc5N33O8jaV6-;YNjw#=W<; z_SB!8@4TLTg`60NQ%2MM4JvH4GjP;V21B356GOCfG7c(r4Ql{TUci?sBC?F`cMfVF zwJ%g}yNb){jV{|erVmDBl}_nJ$;d;)R~e>)sT#K1YR*pGbK6p`DeJ1rjHZ8~-#a(l zODlu90%ts@&4@Yke0EXoO}WDrMs8h?s*aUhFrs8|yiINISHJqzSN+hdo_*%oEAylG z=}-RNufPBOpSu53*Ppt6-!D&dhZ!ErzP@QoKQD8q?~%(E9;Um5Uc$@QymVQ7|Kep{ z?iH!`dtX)yN1*L?i5a^J7R!EYW}IX5(!2o~3%j%K_pPseKQD|XysoxeQZL?$ll zwZiPLtBXEK%v^RZe1(ZQ+Or?oM5&|X%=_gz@l87C5^&;2QsmYWzxwe`|8XKs0!KQ4aQeI%oF%4lBJVav;oHwNd_xy~$AiGEHE`_9PD z{ISjZcT`-&Npp$2p=ZyYz2}~Lo_*%oTQ*+&qqqOeM(6m2=G+zXp*vh3ee%Me{MLiN z^1uTR{KQZG^-q5C{=9tq^1E_zeo$6&-Uag&u{+{wUX$t)tbXqArsYB2#|J-E%nbP2 zmk^m?{B5{nhlsM&kLEtUv{fXc?Co5$$9k1z505E(UYWTIEM@itlvknq?z``iM;^Il z{UtyBr{9}do!)$6t+R23tXor>m!5phiSN7VeSi42`|tnM!w)}v>#eu?zypBD1P9-A zAGnGBaii|;qhxbM-ufzo!t2+iTRm%Kwr0G+6jkM_@6E8exfv0?vU#EZ+-~wx-Kox<-aPxvh4!yim1{><){d;U zgV`b?t8Dt^nQxz6UGE&d{&2tBL_}5R`Lo^APn_>}`*o)}di~+GBP&gR=R{g%z1ct4 z>8wP=Lk~UVBM$%~6D$>*rt0AB%GBI^i{_dbPx!igC$+NNl!BLHN9`&!wasGvese7x zJ}WCL5mCx+H}=B0?$PTH-}a|YZJan1kr&Qxe&b7zKl0#H&wJ5{h|DU^pXomP#JQKg z=9cdH-s2CS?yT0G)%vk-JbU=)>g)gJOHaMv*prW({$C&a`r{9szTt((+Ca6_v0C~~ zHzGDRHhkOxKx6_gS7zGU9<^h{A|m2D-#Go(-ucou|KbnzHv9cvb7*~~-)sKOKl=24 z{f#f*a_4nVe&_5{kDPhSFaNnW{)0dB$q)SR_y5fA-g@_`ryewE8c{Gn$b`2BC(eCx@d`;TvY$*XVK zJl8vPxDzG%-RA5woA3JMPuz6JiMQVV{xeUXUq8O~t6%u3pZ~~@{p`#BUH^E$>Qr_r z098Q%+M6+I+)l$xt=vAfD zwxwij8$py?CvuI5$r=vhx^@#!%#tyCzSnvGvZFE??H0WBwrE)GcJWgyI6Yx}X@;+s z3%Y|frDSH+sY{hjUc|Outueh*HU09`xigy&b<_cyC41K z|M@$=_J?a5D=TZArr)d{?p%1TH+pbYR~yF<{p#QP_|N|PA9?SW-||PF{np7FkKA;} z@!$A`|F(IdS9enN2LKS60In)G-hSfAN6!BJ+uncV`a=4{4{pi_`z31~cz3{la1OQhiBJx?{<{Pp!`88gI^0?Zo+gC*8lU(0-e&*g%^Sy0t$*+X|Kt4G&Eq$$zvu7#mwKgIS*z_# z01%nrVC1ecU9J4u*p{nlyT1!bz3xg2*CG%3^na7{|fmht|_J93`&wcd4&wt|U zH{Wrh9ry$QT!D1VgR50W*=_#WT2Ro=+nrvwIdXFCs!4?2SCb*0_VQ|5}Ju9$*4lqOe`fQpS3Ym^x!!O7 z)8F5Gu6x66M>R14fXD=wIwlPRLiQv3Q8J?5r^QasWi%&=Et&vn*^A*4bC;5PTFk=d zmGN(7_6B4`G)-xm($^TW#SOO|`}BYK(kFiXizjYeZ<-l9r>gVVskQg~)W)4vK$xoK4 z#wI3OMJ}bY6%M7NAu^?9pkReUbX;787d6)-S;!nsp;G7LN7fdt@Ojet$G;4-D=W{J z+Po~ZO1$|Sn22L1-ivHV!a*fq6}%}qx|P@grfAKgz6DMM)rjE*@IIL5;pel;*p5c& z;b0 zj`4blb3Z(jcd3B9^i2QcCRuLrlY8U9`X10F>gRw00U|V#H%}@fi*iPmX!mc9^Sn05 zV38i>Ma^YgsSDMVYjNK`5AVlR4{0JfeOPhn=f> zt>;=kJZ^jLwE3S|Rmc2lUgg3YGF@2yYj!SLl#IYG(4JV!q1vq2oBa76C#`R#!8E3RG~S%QS6`<}HjvM4CPOR3)FI6O?$6#jKM zViar{R(6B4KQC(+BZY@VrMm%TkG;`Zh;H6^az`*ASS1La>p&p1eTZn>U5aqphj6b# zzCU@^hVN{vdMG_8ItPQO^M3lO+%urXim8aS_c6$L#z%enmXK1X7dLmUG(nnAxSyISh9={7P0+1KZGR{@O_;iMAPfTX zaJ271*NOy-=Bc>U4ZfT5iA8JVXGD$w_w*`l?LA|f5SMQ31uvfmH#2bqu*>MQiuJ$^ z{+s;AVeqaLox7eEnZbmp_(AF&l_%c$!?)=60sTft=mb388e!6pfQWmwqlCY6x}EwH z&q?k)>KS6Uji#8eIXDCql0D{26t^q}R_!VQq6zJ9el`9){|HUHD9O*4D~qMJ(3Ry3 zkA=@{oUQbebmpQFfb9?MDFJ6!Ey9#@1`fiSZKyxE74VrS6w8*bme^|>@DdvMek%AcZtYd z{P=*u{OK(G(}V=1?^glhHX2&JS7SxScU-wjpO1z-uXZ@B;7+jcSF=LNlzkpVZ#uU- z%2=K1RLwEeQo?lfr=d4=E3>nHj4=Fhx@cEht{lPKB1~2^PHn-Z%d_|H0>OCPd+lFx z`)>*^Z8GG1&+LOqZE%#qvVM3U`{je(qX)~SS|7m;#Hc;czEwhx3hL#C5GJ2edq&*}@|XL#)Amtn^x4umj$7`?BeBD|dQz{srDA1J@GY(nwBXr8E=k zstV=B^?j9i2GSF8QTEBjDQG$`=!N%D(fj;RQx&?7Z<9vwKz`r#L6P9i zw4_+5Mp+e{asn7&H7-Hz_8}T#W0^?$EID-G*4VJW?^HW$dq;PVOxg~J zoM6CDdj*U4b5im9F=Bbl5<2()H4f?-n|3UOF70-yNuJvi{nqR_TP5w?VMY(ZF8!E# z1D*-JfEt%qvrvB5+xcy9tF*(nTLlCmAq7+Pg#j1yhvoqFfn7>*(^ZL81VMRQZI&b` zw&yV&7&+(cq+G+|6D(^!g?EQJ_A3SjG7k!|JlMg=R3jW!1+=5B=L_AgJ60hlLYcZd zp+F?IZGE%ntkI^l%$+~!l9J|+@50=F!AE9il5DL|=HD(WtLqSh>X6r^;~eI{4aqmt zvnL<(mo&L}s;+ieS0krK!Q3Z|rt&)3&$eAPgp>hi`=QcsSUoeXfL5s3f2ZxS#erXO znkZ|7nE=bLsetW-5`y$a(3#}FKz}TZv?hQ*sa%Oo&=J!br=w-20A9AprofAWDJ&ga zGdC2MaJopCywClV54;)Q@ir0pJ%NdHr4N}WU%025s470A35)&kCs!2a&_mCJUkJ&1 z256W^XPcqQTg4M$eU;N0rqR?rd6H<@T*%$iVlvcE0qVLa*zlFF8>>^A6>N4lCIuKU zet+3*NSQ(HmtzPo*a|&fcrP>U4q#hz&UI1Jma^H-u7?@^I+Iv7J4y9>gN8j(Z2 zgSs{WpA7y8ubFXU4wpG}%G@Lq`OY!Ve>M~fnSJ^U|7=|E^4i*YJiT90+;`n(Fz9>< z2xKLO8tGv~gJmP({asr%ez{#XylzW=^62E|^`;bEXPq?(N0Q_bY>%^z(L;_iUd9yi zZlEZA9|Yo!h)4kqSc7E*%(oDb*j*5DQ~Ixm{)?qM2LCgwzpwhY0DfQkPvrl{^aoD) hgQ5QgKY0tbp%83ky@*s?O$477tLREZSn9R#To zg7n@Iq=w$hjh^S6ho`*Pd%to2`NnXM;mFKdbI!HaZ?(Dh-a-GTN-|e3-@Xh00Itf( zLSO*E1vLQR+?z{hh$)%PH!#Ex5*t}K3INcN{{HW`8<9^Q0|1v5&7M4Y`t$|T9*KH^ zw7Dnu8FEf4o2K_8RT}N<*ek8Nl!|%88!`3}i)&Py+^1?qpp81pNlU+?QcG^QaQ=T>W<#+nJB`fVbI?fE?#O z=Kl5)UcxPN=4JJHfMc*$DA}XufR`9aHXPud;2FS^yOix`??KPL71rrn!I-%tTIw%Dbe$&xe= z)0JghXScf{6r}TLKXka|9`~EWH&rs=$lnW8&i0&fcvSw5{`Q-ux2R5gUNYU6(J2<4 zw~OwIy(~$|>{R&XU=Q$k6KwRd6MPL3IB1{~=qL5uU+Xrgnab#^7N154pAnswfa6Wt zk4XlEOH5}1UQ#Yy((}1qZ}}=TR7MM`_2eD%5@QiX#U)J=Zy#_y3ozoHauKsD#a@H6 zp>TevmUK2~h2btk60;u5HA?*mS*B~P;WJ8?8JI)4bZnP3nxF5vwG|JlvC9&i;~wNj=4Ra>PcG~dus2OI5ShTofn+*_7x4M^vRI_L)`RT_ z?l;3eQ$z_zX+(KO&0*ElQR))CE?82v_v&Qt^)x&(q}2rdnPcA~@J2K1yUu+!D4D-fbA$>TG&Ri2eMn2 zf`xh$ERqar2CIe-gM8Da5*pf@KI+dgtk${IL1(`T4lb; zv`%(yi~4$drJ}32dn+}*GJYgy(5hz?C)Vik{y>o(T>3@6^Fik; zFK}KFzbScz^-23JA>eU?DwV0KXuzR(Mz{Y<0$8SY0V&LsIPX^$!+7B zH{DHTxI+>c%6Hfwo+Q^}qFGMrxZQ^~gwY`~F5!0E* znMsLC5@J1=5tkk4A;v;iMYr1YNk@_dwfzdTRDY*+V{9%&ceTo96S!kI%v`FFBbF;B z<7sm=DVwK_Tr}BfTh%d+nQl>_zqO_H*bayHpdJmS{_@L8Eudm@Bq+ z9k-XMoi49HM|537obwKAhK?34?vpKe6&>fBe6*U_eg5%z$0s-$;Tnlw(sATTx8G!! z3{Iw(QJOI*B$1w;_Ui5Gbz^+QWM)AoWyfbvjT4te)cd*dqp1Uh4z0t1RnonHj@n$8 ztPMND`>Z_SX2xdvD!hx>TE<}xe%OOhMyMEXuBOM_KYVojbfa_Ms5$eC@{ahN`|%;? zj?cycb5}AC03c{>rlw`Dr3e)^LRxVc7$Xf$IGn9)h+!xIAS&T(V_;-qVt>!j#MI1M z3`nS~1>Q3=76WSXDRL>=JTZA;ChKZvqUx%oX5?yNBxDSf5Wg(yEKGD@Wnyn|&)Le- z8YS#32K?bym?(cw<^ZDZqLXZfRQ#zveb zmL^sv*7hi(9r(Z6+58py|84#+MgE=rKNd{v6Gg@UZv0n$t*riQ(I|Tx!9O+!c0&|2RkDZDMw;c82?-A?adJX?3{n`^gZW)thCFUU zU~eK$v=n0J;$a8#s)2chxwwSEV0JD6qHxN|pPK*XLlJ3ghH&{0AAG{xU}0{e%YXVf zrTOnZi1WwTz~12h$jfQnQ+|w%gb_$PD+7CRGb;mA6HXgzQ&G-;CZ5v#dsGQOL0Tg1 zh}AL?=Mm-nZ^{4Fig-$W`sX2490ik+yeB91gdZ%#&(F@y0Y2^Kl-?;nKSr#uoHfec zz}m<}4kAuW;4m{Y7B&$O;O8?k;9)o5G8AG53-B7T8-R@k*-eZQ2tH#TBYq)1#E;HU zR6K3|AFd%tBZu#^?jNp=|1VviGXD?P%67!4-P*wNl+O3s|KAqzU)=mhQL<(z;y8CX zHU5dS;K!P%YGV7Zseffyn*CVYYz*vBCf{eS81S#D`}bn__geSe=En*kY+&?#RTMY+ zKCw)UMLGW^^`F)H+wvb~X8&J1@K67z%>U;yoL-n%|L-Q|pRRsr{m)KNNQAwUft|@? zQ{tHTKUp*W^!l%6|L$It^ZPDhY4)!j@n`D4_Rn7<_CNbm^!wr{OdJcudKvua1LExY zkF{Q~4LJpCUS~@C(;z8b6hP z;rc0}(+av*J&C* zm4D&-DWcN~zi^$V@l*L1uAd@0t?&!iX&OJ3f8qKmqSFe$aGj>{Q~4LJpCUS~@C(;z z8b6hP;rc0}(+av z*J&C*m4D&-DWcN~zi^$V@l*L1uAd@0t?&!iX&OJ3f8qKmqSFe$aGj>{Q~7_3>+(N; zL}+47{N8Y&%$8KBl5vm*#?eA++< zW?#Mcl$%^-oXnsR7kFt0P2G`vaI`NpSAZe^{!_^B*GD-f!E=9#0DujQ;qd$4?D&4Y zq$HiB{!;`160|PW{v}T|Nba8h>kA82J?CGtVE%Q_-~RFCqrdMe>0cR2Y(Dyb$u@?+ ziueWU*NFN)AAZdX0N~dG@@u6fPKEy;>=)}l_Q_RoX=6Vx1dwsc$m@t%f6wAMBakt} zXs6ecY+Zq{R(ka4MA&aJX7t|ljSIwfIbZs*iMpew^RIZ}n#Eh)cz}~eUO%?U-S&JM zJ#FHC`%$KVjHFh4zwzuwo*Rn{pF-e5y8fh%#|$Sx<|5I_gvZmp?>jF2+>@>weIdv8 zJ1X=aLJny+*bd_yv^?Le$Lkj^uk{NZ6LkQ|&wgyx6Q4wfc3xyaC85$yGAH|OwY$4> z@50H+DK{o-huOBxk%jHGk!Y`Ukv%KXcL+!WZaEp_S)ENVuuY)5yRaR)kbf3oENO{A zfL@6Of3HI~sZ|K^bJgH3NiADb1HSwuP#rGabAj){lqoAsB5t(}7mGRBh$+j0tM-o_ z@E;mVt1=M{+;9Kb@L!UlEY~$6!YP}fVeiZq=8a*|U!6rc-alx3fx!CKIjKg8M%pkF|gn8J?_8>z>tkM#om85?gcRw%I+4{9WtxJ2(=*GYP> zqN^FvnwD#UAgObztk%nGi>$JdH{+#IZtl)7lN~~c;f1HFjT!XedYjC*+R1xJk`Q*R z!fkH*sB*N*A}R}m7Mw$)C&HMAqT~KzrawdugO;!?Z5=nax@Z`f@88;B26NO)a0UV$$3zUMVoc!C zCd;N7k%(ShgrwRzLIR~NP^Vw9n-|iV-FeHu=inp4&F$cE0`-pk(dY8QIpNW3N@m|H z+(WGJ>SFFCiO0@7cLB#M2Ju%_ka;eTbvWs_KfMaIc{i*)Q9{`fh*<}Ays5%Q#1-6b zyfGj|&b?5Po$QntAuLTEQ(ixHf8#t&7ERc;F&^#9R&gs+CPrF_TvJ9w)Tb~@yKwBu zB+k?!8$;)&3_-}_@BRP=VqCTUcXdg|)th6N3XI+5mjHV$V-V2q$Yv%}|ly%zF# zK?IPH-h#5T$)S4B=^0=;4iH=4VFO;erRjLR1X=y=ma| znM4#*hB;Pp1ixd)w(&{GR!_^Pu@YDL(dyMFAR9E8GNAk(4SkKEP`*%5u>@Uaq-+qh zHG7mKBB;fle=acn>ct@0vc&$zdP6K&nvn-c9y3?IlLVIyYQG}{Vqhef!KthIvDsHN zwu+|^>jR)C`>~=N+Q~=r-p&$apkU@Vj?D$QJjOTtK|4xcyU^Sf;_+tZZ$|u&W#NlNzpAqnm`k-8x z6NHJgAm!WjLP36RzV2;M5H8T4k9p30b&&Bp6C#u=LwA2W-d-IO0=QqMF@y0K%?{q+ zur{Is8rpLBu(btDJduxs*^Tw8w>ONHr&Ux|4r>8ZDsr*d1irIzDzvS)=+YlS_Ok>N z3UzPy;sSkJT4WW68@}MQVtv`eFf@hWj*Jf&hssgCS_zKKsk2#)w=juGmTXFg`$cu)&KSok|m?`_x8?{|{zg<`PSg*9zw<8w zWE1Q*uDvEh+gB=ZFzn2vD!-f@;@OpYa+l68)!T7XoxX}y{pgDqQo`QeeMv0x z_{UZR&&Svx*&uXzjuM5fOQ%6tECr9y94s&nrk!JKZlarU>wUo+MNw$EmOnHn$2?`b z1A<%Ww&B9eze`cjijBo2qOj&z@5ztoxLb`RJglrF`ILr;=vZFRP1}q}oMcN1cF=MI zS7IF)Xb82E(hCf`?(w5hx9T}xI+E90%|QGxZMHHh0oc1%Ui=C;oIn*Rm_fBhIr$+^^#d+@ zd;lsA29<*(2#ULZ?3#3lLPN^fJ@)NiOhXVDI&B1)2h>^+=$q&y*NaYYHXvtNij7?q z=2x8dc#fEJ&m1<2Hjc){#>x#XUp7>ua=P*3l<02$$1?eZ4cw{hEVbA3u|U+yH&k%W zZ>C<b=X(7n`(m zcRl`{9EL6*JeZw;zeWA%`$Qm~Yk6>%#__bY>bfp-A^V%?cir>vu&7*XbM7~C@L05O z>p>_?p0#NpcXrn%CfAWqAV3$&mvJA+;4|?=T^;N1i;;rF2EU1gMqte|hjsPzj;+|d zcAsC`O1AyVZnrr;?X+Km1WZzYWKr@*w~}vIKDy~IsJ`eZJY5|IV&pS0=;xoza>D!b z=Fi)|0e0-{kbxrB)-ni#Eu5aa;tiRTW~Po@?G4c0AwxLG4rtyt?^uPZ)+^15AB;Z- z#ePm$uTr&ll^<%z@K@}H08v0Wt3I?jKW!oc^5#7~v5D?O42Q3j`FIS)v-!DP38RY= zhm&NB6=sG|)>)S(HV54uH!;5V@8ui(W3X8`MDdlei@gIqK^x*(am)yn-docK1A&ttiEh*8*Dy-QW@Rz_T2MSiEJIiBV0ZciSOfunYYFQB1+zeVTMTz z@j~Pb8A_dl5(ApO#7nfJw4_AMLGaGDYqg#5=(xl;`!^tIa)v_dOt+OoAx`{`4Cc4| zAVrmh-SnHBNfIZ|J*v&Hg{B>Zl{-s8j)JmK6(8>Pi>YBdo`MP5`ug-&E^-)Gid2qz z5|#$L-Q3*r{qcLZ7FV7>qB4ZKgoTBn@VIDAjY2j{E9(o&i7BgNB7^|o`0z08wb#;- z=n-V=AEIMbd-xy`iNn3NB}vuV%&(6#yTKV1xk0Ud(AEYL@gLi(ZKiBD@)+%o~vI3PwJRXcxyCwi+LItKh6294u3QVVzI^h=Q#v=_ z%kj0hC28F&xo$@&ypd`~G3i*4vVm_gRHdh#?BACBjC67QMDMC*>enYb0H#yQGPPq+ zfZ$E0z2%~P4Qj`i9oo#`n&}xWxKV4oj`~*Fw=0GbhN;2c=LGt|G(0LW6OaLd-#Rbp zaJBUsu`ec6iE+ETD_y&eDFVn}*x^2#)fPi9pujK#&cMdS!By0no(gzBhJ&QYuWXnon;HIjU zPZy&ZRrs)UIa;0p(XGbO=e@Q!hssGq%-)!4%sM$bUc1(`r^^2`j@rfTn_^mO0e-ePS5BvqnP>G0^#$dbJ< zJeo!YuA~Ybt&#=j=49uxvC{|7HoYO6h;V;L8`chFMSuu8s|JDsI=b*JZ&AK^+l@p_yw0=UadxIa<>reWgF-Q2d^lL&glb=Y#t7NtH9@pMneB>z@pRMAy zKGe&STt$&^N8O_6Y-fr&W$GocKiEQE9nR;FNL{{RzXSEZ@Lex z4U)MzLAX{@(wkqsPfnh1vs%N}b~JiaSxWHH>m+Pi6Grb9!aKqthY>H;z0Z64_OIvU@&xiusO2LCln;Y2zmw`I-Ac+=#HjkIyNo zQa?S*G|FLGHlBnFor^$=B=q2nXCQ$?om(T;^Y?+cDRfj28YaK|@^J8tZ;Q~5cKBV2>9e zsHRT&_#3LJUmc^2KnoHKyFKrLjq6WtPu()I!-G{Tdl`A& zn|Jf#{n1Oy%U3UYPd@)pTRI0(V^0UQ*Fc&sP8ZH`G{_oLsT!Anns6?2I$Z-bC!yhS z?G)fE-*4T&ROa!~Up0#{Dc>QZzf@R`XG8F7+vk7z1m?B!sJ}QaIhR`g=we1_*LtjSh2Gvp~1u zN>7zpzYW@MtT{yhTpsAjbteff=CFf5DqvIR+kNgwxL)L)HS}Acd1Uxqv9$7P_k_r1 zHXasrE8cS~-0v^U4w8qaUe1dw%CbL|Uc zGr!O`XL@y!M>%W?z=fRy!DZrjm|i2^7hr@HUmvk_t521|JQGTcc4!>4vbKbA!#=y; zGo+eJpmr4ZX*K3fRKm!rw{L!!k_*HM8O)Y&7F4%KrtBOusk33oX?!QpvI)t)YHUif z5J{@Q*w`v3mF~X2zNURw^_T!sQfRbcR~qC-yrBPdb~nv3@Wd1&g_ZlC6-=r+kHvG?gy-nQz1xNBHb zh$kjXXfm?MKfi?scsph~7M3@RTH53~v}3Bxc_<13TBCd3akSl-K74!f@U_oAxIa7O zs0-4gG>Bx$ttJcv$)tvwjJc85&6BN`mdR3#R(TvW#^{whAxC{jT$Ce+CKR;LibJ)x zSTRCM;PY!zdVjIw?A;>UOQ(-mR@ zBU`W0_p!``1~*M>ms&@39*@=S&u%wu=c4K%9J|-gkkE!@K-j|2?NvL*(SFadU@0oQ zhC4ZOW}apyMjq48MOSVLrGL{(l#PTc`tzQXF~&vma&WM_Pi`dZ!?haZu6`$^^zQHs z5LR#&242a(6c*NJ?5~4HB>AGFpe=NclVWU{LRhG9v>8(hap|1ldLSI_DB9JO>Gzm| zUg3c__cIs_G&T6(nx_0Z$Faz-10mKj)z0|V9j`-FvGeg^x!cZ4;I(bfli^yz zAahWCFglQy2jocKS7cYlWyR*tuSB}fq`;IBX%{D}=5X{Tk+Chfm}%(Ki`LtQAVa?9 zk?l7RBCaD>2v<)<4X`CqD1hk2oRhrL8=ViRRe31PU^iHPhp^tpgpvyZ zL6kheKbm9c{u|37Hk_)9g#q=gz@Eh;`G~Ze16}D?I{_LPR z%T8mS z8#w~e(S21LifRaI)rD>0I0~MKEN~$sSWzC{vLWh(4+}>(fSMwUP#eDU zJPSn@3k6ZddkZgLa2fI@-rxb%i>w)!O2rbxd)pZ%l9m#mRq9WJ(P`ME*9T2Ny*w^VE< zJTww}BUR9m$%-W%-QBZ7XD05`ujk?6Vz$@0+7`}ASybg5&wRR=tlfZHCm8Q5rQj_$Q^S&$T>>PX*I?f zB5OBhHET9SOgluIaB@LW;)q@DlY)LiVY05GPk7hL3s~P;qSLtRPW4Vz%>3qr^2t{1 zejmZp!qyXPzCP8W7UZJ~eA8N0WIOX|*fNm2P%Gmo={$^~Uz&U6?BawzGq+lbY$Q3W z$`g)ITV3V!V5w$uS`{T@Nbiz>5Pwt;fA3T5i#<>us-8euYBeN?-XLqNAd8ObS85h)eM z!7PgEK8KxyM$&l7^B|ceIW!Q?>wu#eC}y^FE$a~z4mB){=ofdE_Z)%d!@n?kwXH5r zQ{;w-j|OJB%-opxL^yeoT9b-A*v&5HH$?Co7Q+J-ZF)D)+s zbli@GWn?M?LK)?;pFhv)KDjacF&gbJiXm4{HL16R7|PwJ5K4K~g9bs)t0-9=3buWO zb&a$t6+j2b6*JXYHZUk?LmGr1^bqZB*UoETX2@e2iMg(7pDp^HNu$d#lgz}#NC6AZ zM;pzbYsOXUdBLKQHAj7)>$?s=Xs1_X-8aRrzsmNA_j|Qb=O^5>_U+@`?}=#H?1=Q4 zR|*YG#JliD=5Iv1Au6dK8X_S*{#UmaRIUY7CEx@O_9Jg_w=z8c8$K0nE1osbu9EhDtBG`ROo@xn%f zqz+|PhECPJz3(qc5aTS`>yzb9eWhbAHX^jR4zdGXWV^lj4XT*g6~Z!dDR^T-fZwqo zv@wHWc00-YUfR+BlK9$TTfexJvYNzrNS> zRC&N0UR(*XP7-v5zaUMzA!6PqoXQ2CmPLH%Ab=ckw^=^!IxGm|2fKj69yKAWoffI{ zs#%v}2h{kocHMPCl1>s$#oe|Kx8mcy3QPM%-d;+pUrE4KGGb|9C3qNlWY?+G~TR57?FKP1cm!9u!3D zluKB$yulEnzIRl+Uq@ZLn{I8Y)b4{({hZDbl!?{Fhww>NeCp|V7{($W{EmwU+v4XZ zlvyYvZx;CNo$xCOUYGrMwd;)9^oQ79ar$Kc0l!0+5?GYXl!oI%=T|ptEQe4qjeBYoVVZaI37F^IoD4hgLc=C9G2D| zv4gPw+;LJGzuys&k<_l7_Bu)SyfKKi}bnd|+A^ z_xx%Y)ArT!472FU#vGLL zg&wP4Bk#BkxVSE^9Nkb=709dF-|sqfSJ$j-HKOj&cbob=Sgcyyv=x+?e0-Rm7KF;i z2IGY2RbdLt4t%6=`KyIKY^Dev{uDN2c+0Dp(b3Tif2Br$CPr05Ip`fDD62`iKw<6i zB-yy@R#aq9LgngiW8Mi~6Rj)a5tt^1DAI2t9AzelwB0C>Y>y3u3Q?~G7b!j&lyiGk zKy&aBU-(S>TT{kR(#7rH6(Y^Fsmzyoy4H3iuI;2GG#V}Mbu?r|o7TB#YM7|BbZg%A z%I;qqo0Os@E+Y31U+KF=HBnB}*EnWI9&DhF-kM~zYI`n!PB&AnT_4hRNkV2Lh30w! zLz?o^NaFKJp-K($`Ef-8)a`-(P=AVi@_d>w|NLFJK%Btko>{@$@Y_?bA(67`m_|2K zX&JYo4yvbqL6Ptlv`}M116xAT=x6!ZVgC2BX&G_Zo9*qUd3tvp=bTu2+Xz)M>JrdI z7XjOL8-ny&F()SnC(JFg$C?LuAX2Fg7m=yA{z89eiBLNH_-^pKlXFxH%Z@&H63RTJ zrL!$AyoEO-)dz^ygmJ4dQVosLSEG({Ny~3HN5Uei2v+nX+LcB7gN1X{9l13}0S9*a z0V!pmp&aY+~A=K3i2OXam+L|JyrKxZM)89aUfFhJip6LjcRtfi8F}V|D z^6ZV4Q-%0|z4t!P`o6C=Q@1Epws_d4oKX85!OBdKgsXE_txg@+J*MJTFcZ9GjYL-O z&C=Fx_;T6}Z+D?QUC4iDcaAc~w9itdx4wU+2vMHU5SsJ~`O3pU_j<|Ii2(j484TO)$Cq85e15 zl~Kqxu=MV3BO1Ns>^+mptl>9WwuFwAYk{&BUz~Anjm6%TFbMW)S#nOk7hhdF6Nd4X z9gLzt53rHbVrW=-Xjo})I&|{qap%*_FY#m0!nh!%ow!6_-@`qYy8)2=tT^M=^1bWV zn!3G?J5Gvn2P#A7FzCd}5BqN8yY>1f-+W{AoQwMjBs^fh2@xq%(Yri_=SqvK3k7Ra zZpR6>qY50^;;9;pb?(x=FA-SPvV2?HuB+6P4S_b{x*(&&D2*@?hnfHVzg>Gt`aFo$)}NXFl<7)_YZDqoQqS3M1Ky=d+*tk!8|^WAa}VB{)m0 zBzm~6?Q|q3haZF>kDY~c3qb=+U~QqqxGakR?}dsW-q+>h13Gz&SpQ`Yo)qwsqn-AF;_VGA>v>;s3J}&_I`A_&zI#* zomC)P{qkYn(b3V?)0FvB^98ehO>8N$?Y9y>$BuU3#7B^;jcNivN&Oc7v@@ zQbWez@Yq#cn}R}b(b(`Xdljd}^3FlTeBE}R5?{{e`8s=H*OkH!;yn;4h*0O-aj*F( z&Ci_>!RuyuwYzX(h{}amMRq9 zw9c?V)oUf1OcvI*t!%0OijMrVDe{XBB2zTlUXYmb3hLrSs(~spnZz6zaXjLxGagHnn)Ogg$=E@nL43 z0dL<5S>?eXiRviwP4Wf$Z*6TMl<1Z+;ipN_bn}K%t3e|0*`9fxBK)e zx8P4A16w1qI?_~l`ad`p6lM1nAp2ZzE4r9l%E~U6CG-YeyBc?jv41XL4bK+MB z0%yFPuV__kxGhzP&1_ABvXaw8G~HUn*4V5ZVpL5{)3tEsBV%TH5(1?kY`Ah@Q!v%e zdEA`0nM-Xv5BV}-$#FgD;ehGY0LoTVg@Em{ORDkKckaR%b37=j5xbz7G*T<36`@+LWfl`P? zd?&TD%q))WoNeK)H4ig<9u0fZBKs`yu2E8;DS0Thx_Mk#b@i|(ZxhpumX3|5o9Q~e z=jyY;)%uZewN4PY$<}nN+)}Ds1T(VYevqGuPYy~WjMAMfHMWO_V4}2IVIoZ|jWZt6 z;WT`82Wj$6cp-J8X#RY|7`hNkgv9*PoH__-5%2Fih{3?QVHOgb3?wnv1=!j@!D{?W zZpu@&RM;2cX866I&o!Ve-atS~XlSwA&^uc5?;)!qlmBcuW6yf!b$p{VVBQN3yLo zRq#c^lS}HlA_nApYoy4U&5csGM1#O$K_jzwbYv#xFz$|h`zI}N8D?IG+vGdiM>wd}V`0ed# zO4Tg}PSNq?;JLZEiqWyzSz{BEubT_z+VXM`6CrltI=04)Ft~0OuOibQdbLOdD@fhS zw8~$Q!HjbjereRQthP`$Zf`<8rzJqImQYR2GA2Tm``O&hG;?_QtILXHi*Ta!-W+G` zXK~f30&D=AbF&j+)M(lwhU31)x817o;+eRT4`Fl!g1+56y||Yz8lT5@yy3N>ISU%| zU4LGyZR~Bx(dfz@l-r^^MyCkEZsCucO1(}<^=s@5HUoBQj&Z6Rs6_fq#Px#vR2W+B zW&=;_^emq;d?9vtnX+^ABH8g$o~QFfVD)>D>KoS&g|u4Hv()j}+spJbW1Q@4_QIof z&k%48^=?s81qISdZEXvM_mG7I`Y3gEO;$cdBbQm0Zn@HLV#m9_lZPWOgfSy@PL-q9 zwaLEOT_lAT^ebZrN~PUB4sTS_stIxESZM`WK;~i_#;DR~IT)HZS<^?YD~v ztccy46X+A56X8y3So7K$Kkn~H5^~$0!nhIt%cL1x5_&-Eor)5)zQJCtXqajfOjAlt z4+4_+B z^}8n!EA#Hw!>*|*?}J5fTz-)B^jz}ENU?=-)&fiEHG#OVDw_l4s&2{>TZPF-Y@{4y zd$ZmbiFZ%?=Pqu@Kj0k(KZ5Tv4hFo zcBAO*A^22S-whTPn3C};!Q4$&awxj_`<|m~m2{@`0}k6SvXI|z`$w=O2|8FC>`wGq zEZOh%d``=SemWfNPx#A-t+Wo%UPL8b{wif5T)LP z>G9pFM2G9MF5-8CE^MF_y9LANi6Vv~GBBA0iY%3+UPKUv`(cEOZ4e(uz(L3C9(}f%7DN)%q^|4t zg``e1UtBNO*jUofc6#Y?kK;G)e3eRpb0Tghc};X~FE1dR*barq)R+qWXZ%jg5zq{L zLlLb7kd&k0RwA_{T)xvE5~NzHJmw302M?5M$c%+(HRNCQUbLme>D+TY+Ui%%%l4R7 z(66dSt$LsABJtTAM|GtqT!Q)n^DCpHF?xG0U92kkB~_aSwdr~XC&$O7way>nS4Ibk z&27Y}EJM(eu%HBgR5T8H@zdn-n0P>J@m2iha5dwarI1%7M7vZ();zWPEYL3N57*lj zRxAbK#onb|GS+~%8KR(QxPXa>Ux2aWRII-}S}46q#1z5S^BP}{Zg_CZEytsae~IvI z#BPi*Q|Ee+AxioX4Ut8MV`8`b{=iy(OW#m4I7UE3pe0+%`y@73PPn5ID z$t5$E79S*?S`k+=m(Oga;56*wuSi*_^xS|^f%SFhO{qGlLtsh@f?>C+MJmBL4`Bj- zaPZnaQ{Y87xK+nA)R3aY+NslYa7nMsY)Va%Gdf-Ha<#R_!d#w?RmjM6TXodeNw>v= zqsa+edSlKOoo2|Z`MoG>BUh&bJ}7nl{vK#H{ysu^MazF66Vua8T+ zO}Nu1i>?eo56ThHX;+FMp@%w|$v34E9pyyH2eU=;+D)}$6FpbOW(E&O;J<|ybCyP! z8_Jt^VjS0loq{9#qNz@1D#u-?(*^JyoI2HxVQBLdbC}|LyWd}1$xB8`UQ*Vr-0q7f zEUzqYRg}kxos7&0CtB+&7iOcuLOZRSI`87ZCUyE{Hpg52ddm4(SaSmdTNf7$dY6k; zD4`%}_G07ytas~+oiJ(Roi zgiqCWg-2Pey14piC5RTDM1Lo+0>0gRGC|hghY!sHnUGT$A`jdS0!UX58{4jSYZI!v z+m2AmqMd)VRUSknS2-SBl< zREiF7)S`BId;=%g6zlseM@YQ+=!I)pv996r7|K!Y7*TkW-s_unru2>2^-nHen!UVn z+0Y1hBSf1YT*>o(QB7sigWt;V4buZ-jT=w!>+`#hRMW%Sh%P%9uVAsQ4K#3}EO&EZ zUlcxqgi;7~R3-9lJL%iD(n)JFZs!6-JR|aasUudi%H+1iXVT)=1752K)G3fDG}Ub3 z&KQRXTt=1G8fUvYm3ZF;$lZO*ftPr)zoSC?DJ*?_VJL4qjyGVfU5zq7E^9{;f-SWE z;K(1Jf0@tHd^?PqCLf`}Z;r$1-A{j}jw$M~<|YXGW)q*%KI=1iqZVe&K_&UD_gRoA zJ9%dv@gci+PLc?_zv01aZ#oUaI~vS~BEC4hDJ?P3u&}5-sMIG@iY-5yrT03__pB93 z3=T-4jnBx%w%YIaL?~}v^d7BFEXmQ{`jGidQEreepG#F9Z(l-}LJlr;5_cYN(CRNH z`&}!;M9z3A4U26$;{u`zhn9^tgrea(ndY~Fc1pNN7&hLP#hKpO>0nG=!0oV=WqVuN zX(dPEXeOOBB-vvbk54c5J=y3_I*b>I_d4dRb(%k%KRG6=U7gLjIDXI?;I*2_nRt7f z6bKw*exO-Uwb&%GZ>%#1hQ@?Y`)k|Jl0`7|93zzn_nM5s-`^7N zN9rI&&@s_56{FVb8X3{y8Ieq7*WIbk1aK9Sd2%PtFzikQ$t9#k@?r#4ilx;X$Z6ww z9kQpG79F1SO&Xh9F5zAb&<2u88>P%U_o%57&V-393|PmfhP);zAwC^iE?XHWY?%~c zJzPEVPo3B{=r9_le;A@Sb+;zDt_i{g)eL)~D4?Sz1S#iga&*I!U*M?UD(8)b)e7b=UMeO#^ObmimZ2OP9go$9AMM8^bE45jU9EKk+ho^- zrDCgR`Hh+(MHL0k`)8B*BVh_4BAPYXy4L2sy1(4$}Q-WIVHpZ;Go zy>~R5|NsBr`O;Qvwy4?0ENbuBYEZlOruG&DL6EkpV%HXg)~sD(6WZDYwKp|WBT|AO z#y9Wt`Tb7L$v^qyy3WaUJ)V!p{dvFNo=6f)qHW0T;kKKcXR3I1_uKI@D{};%I>yu{ zjJx1$ceehtf}nTV52?OV_6Fg300XQ#t2TuZ-sI6eIZC1U(}^pngSdFHU&aMx;-=+2 zh|c-0X1{#gN~7^lC&h1rvb?;6@jsx^*vZ7(ClR+nMrj)kiOMz^MHT=mTx}vkIM)(N z-lDRR9~SOP3tReSI)fb ziwLsYG)ti3A(053xA8$RxwJR8dv%QIDSW*Z9w*5G`2EyHLzF81$yq6gvC!{yhI7bc zN}ca+*!gxvec~Hn$Kh_@zBllTsX0xV7LAi?->=F#*`nFQOa4J|g$b zttW>D&i@T`Q|?&KPlFZ{Q(6qk!^YlE*E$h1)?*ZIQKu%;R|F9AD+$ggMIxY80h(Dx zEPhp`#R-D1rx?D38Ck252CD{Y;L&f4b<#8eTJ6ad-=BCc~$KPRh$TIhMc$TZ`(mDK=*5uPlpY!s;^GFvq?LE$)B5H0Ke7ZBnGYFv5LzR zpYoO23UNCRL3aZw4~cZA*{$`B!zWVp;FZ6J<`xz_$;An(xzaUH)Axfsf#wW6ExG;cB20 zSvuaI-Ga~+aOw}6dL}2@-QN_LY{Y^s%&&ZMFu)5eS5d z2KxO9@#oxwg#5q$wSp6AO@S|3w>@bcj-&6FlOG&&dmBs3Eq}Qk>OFZ5rGE{9tro=! zgM-CNjcsiQN7ZeYJzDZRPWXvt@2M*^D2#L*5kJF4rIJ8hOz{=<<15^v(POQ1=72L> zH7=1jtKq1h-o-kb+|-o-2lzh9UM7uU^@Q)kD-zZU9|h}`rRRXdU0h1CU4r@G6-XDd z|51V`aQLoP?#9w>fV(usdSJ*DNTDw$vb)flsxsGtg(?d7yOF#+#S?Oob;|~TvbZyJ zcS}sc#n$E0u}}NXjU&14%gY|WhF{6bP~VNnZk2Mk*5j)&myw*-Iywp^;xPSD#KP>t zDG_!$z2=_ma@*J6KxDL#zvFUp5Ucw<3}3x`b`DNlwp|Xps3)mJ7O5YLC~W^uoz)zn zy^CPw;X(~mCn^EFP6B3*gFOAIQoe6EdhvJ`4-Cr(u4ype@8k&ESZE_e^YLl|cPPNZ z=n!m)a`2IdZO{QB59%pP4U7dTnw|BRIwm;6eM|Jaxex87^jn05ns%Q8^4QS&TrB_mkVB$2(VU@%#-omL^?2FUmK%| zWplb0`H8#N&gD0Pz!~-9Kgw$zdFXwIDZz2|4hcG{50RLI+E3gXRS!KINbl?WRkFO) z&b~$&WI88`=1MBm!VM3WFE%%9{#_l|cF4%hFej?#K`#dy+Yi_Ct|qlwH*)twhZZqh z#Fe)&7dhl!9JR_%`?SWK)S(FtOZj;ups_Xi&E2fc zDJ~q>Xcn>hOKc4ouK-m+@k4MvL=s$tl5*tJswkp?<$9x~fdM_IalI%>kn*WV*53$A zE3>)fPx#Vt}%;PeyTE_4VH0`ulej#tmdwh!pc(P z^z@^JYNmU%nrnyk26c?=}0J@)-69SMDS04j0GHQai3WXimcG`GQe9w76k*Z@ul1 zhGenpZr`J0+Qk3)bN**4Xrw97@qe)-gX8|1MOjh>uVF|SMvauWOthSDW+=Bx<#qg< zTg-+u;!_3m@;Y8P1~mIRSY0|oAx6iHm87V=En#`+-YE)poPxY8T@lCr2XxGG{hUo7 z|I}C;NHKMOxOb6lSx{bLqTJZxf3$mGdi9eLwm)?YiSb_4sMa~Utel(l###A7vGEbe zBT7>x7O|^MdAyr@l|pbJ%%v>=vbHcVkYXDOkO{#auNRqm3sqKxZ|yLaJHvzq)e1J# zGOCG90*^#TL#y+0v`{HF3XFQzE&dma^JHUr1elFhzh0{H_iN4c07^j20IFCo@Rv4D z3Dz;SdNRtj?z5yYI(2sOi7zJOx9Gjzn>Tp~LW~3Sc;38uJY5z3hhVT(R@7XkL#f545iVvKH{@s%T_}T5@i7 zf2a<%p-bY2E)z{WO?HU*L`V4Raw4S5in< z<;pe6{Z(g+y^UQb}V1@NZ zcS{qlo`K{{&6Q|)5ToL0=^V@aT04hr7Dr%5=+wqWV_wH9yb8bkd+Ts}E~TA>xjJ)M zw#~xBh*~37Ry#DKD#E%cpYjKR*2+(9>5@KkX|8^J{qZI(cR{W9m>un=I_Qrq3nV=t zw4gr@Wv?q{E7htKXASG2?aeg%s3R#_V<;(^(mDL>QdsV6Nl8eNLCPiS>$M~c`sdw6 z-W?_a=&s_boy^~ zk@AzzS@7d<`s{AU)uzRNquR`z>g)ZJqRgWk9;V()t>S+JGd3CKXFhau`=%A=k2in+ zzA)GV*<0)gAcZ^e3t`T_nPce6<$66G)BED0%WKyIwI~AJ3pI5Ngq;Hn1mH+vxnW|- zQJ;%!H21X5g2(t9dnwJrB=cE*cNa;i9#QTD3wEwDVvvhhJ5#Nwen+PAnU}R3z$fa^XrpIAN$3&6mVjQXCzZ>7nsnOnunUGs}5pHx!Tg1%*7L zCJx&wJXaVop=HcHZ>G!;wpKEZhYUZ^U2a3`iVf7IqkH5dr>cVW$orU`z+2k@TbF5o^(fGp>}lN z$39t;fo-+AKINojJ94pmL3Z^AYN`l2x;qbSYO@)BpQA-+$SVGG^9y{|S|^rqyW{fD za40WqFIu&By}7BW$p@BybQJQ$A@17VbPB8~!>}mrXbMo+mK~2T!V2GCW(l|)PqaPn0lRSE zPnGe;pbqFoYX>+j#S642Nc~B`S`Rp){IcY%p}C%bEYS^?n{0ov_vUOi(dLfQHy)QN z^%Wm_Nv(=SUF>Qk%FA@k&X`fuurCvC?>Q5Uj{u8VLSg@d@l?Ezg1dqs zaRLsOSc+P_%gRCz@0_=Yb`>BN^^q@)Cz`6I}P~f#=6+r@!L2PF0ChQ$rb`ovqW2qVWh8`I@qz-_Js9 zrpmo)fzjU(bjHturAqug1>UP?aU}ky#!~hv}Cp6IeaQR~21&hrXwrvurTD94{QocGMb^8;pE@7>q?r*e-(pP^t zE-zv|VsY^gpE~f4*CJD_d3AvPB1(VPDFuN*uhT>kC__>pcr1|;7VQdwsBBJ|=z&1E z-eSAy=Qkup>7>;>(=$Js1x%495`b6o;ggOIoH0GxT<-m`alHj;MUk+x#16%>L*8nf z|2>NwBHG49jO^KvpP5-Y%e(Bo;uhkkEh?@m9^d;-kFpSx;?av@u?=?(8dl$zjrJ8| z0BAYm@SHm3@kjB54B;?BKiPKJms84Fo#389H8zputK+6HH$(`3l6z)hRN$BEq%$d# zA&)iG7Dd#26r?d#Yx!PhcG$9f^^+)^qe!f*4ilFgmDMPV)r!>`q#RKuAgs3bDHAWw zU4(6y=d_n@7Z+E}zqiFvB?3?s&H!V{M~ax2CwxSaSSMph*yzqtvH|@h2@{N0q;Dpg zb~m6VjJo9k0AssYXXniu_&r?sUg^kYFm`jXHG79;sddF?uZ9wfWyF>orNS{;dj@7o zjmhvpo&g1$?Ze}ejf3WKJuC8Rn7xKx2pJp{@WATPkN&cPc~`ByYN!oLhf6g`*IGqT zHttn)?C;Hk7bSTSGBM2Aj_)Jrr%mYP0XqEe&1FvnO?1*B9Ry-WTRS?OxHm-@5n?~S zCr%srXE;ZmG+7<`^%W2}SR!?`TB6+F=HTb`8*es;PLJm+O06p^|C=*i_)EoEP!O;r zZyyNof`wHt6I-FHIWl=hajKQ%V5pcHB@Qc8<}9ZTjDC-dIXMxMU~$nT zI&n5HAmN`qWH-j;Y_MtrPhwO$<_lW8qgdGw)PGTW}3@yO*E^6^&P!4Q&f#dlF9(FpOu?)px z#u%sln(Kfi3}>kRC#)4^JDTSr)PvRlezU881l#k)@;pV93{C!=tG>?pA(fL6yWlq) z1k1uM$awq&K^Cdggmcr^`z|gG6O~0xG1&~dQ&SAl-&hkt-qx_yUmMF;ztSkOA@y@9 zZQ^3(gAGd}YS!;L#086qw0V;=m zEE5O)>v3~EoEQwFuubR@ZfD-oa!I4rD)>a8X07N&x|8{1t*<>b)=0t#I+$nN%;w{SpBW}!P`H2J{Z z40ck_sJ(-|kBWALJ-tB>&ByV=g+MTYa)}r{m&^&Ef;9Xs;s7nJLQ}+R*$CCvEr&yt z=qp?|&eR4Su%U9IZX0sBe4ZL3_{KV0hP&oOS{pyjuGAWbu zNfcsZ<$7Q-W>|m%U}0%}C*r%+eMM}7E2uGa3$!#lfDKfOSZ)njN&=^!MeefgqF2Vg zFUwjVIuryi?F7zu8OABlE}~m6yBsKcP0O@XU7AH;=ZVRwIlmG0lZ|AvleLX+s&$92 z{JN4OAzCfExa%huqORMwOalo4#Zo0W*+|9m%^-%!dfhSZo|IUM)vPLj zcT@F4Qyv;R*tmOK0XHo}@@ge=T9wFZkQ`P?RXmq_QZ#P^iwJvVU@ zXHJWyjD0ry^QU9D2nI`_*ntS!$S5;Wm6=snRE^m|giuO^UBg%c7`tgIHO2Af^GMi! zGg6B`WYdjl< z;@AJ_FdJ5G%Zrr$Z+4ROQqhM$Hy z%B3#P%t4t|}=ts1VyEp7$!82ZX z5JbY9FZ2nsLVe?tVIN z(pj!ay6Hsb>m_u@DfwD~Mj62z*=lA>t-fK>x*bQ&pwE;T441QsG1)6}VFOF|yh}go zDiNyj~c zSQDRc(H&2b_&}bZdW`VKpM5-QzO6^NDLb?Lb$k%`i^~xc(v&nyqOJ=~#{MP|gbnEc zQ|4VgT{%YEjtIi?1zI5LL5FYb-)`H5r503Uo?b5Ocux7`OcD@6+$(mD$ZHRA0O6PL zN)X(ki}JFBuSkxqjm49E1-!pp7+`KW@9d9r>tX%vx0=D}0Wrh9uqZ%koD%Vzo&Y9* z+Jix0kCL*UvX?2TI_9^gO-z{Ya-{XtxFpTOmE@!V7x+(6%ZW7&MIyg|j%DUaJ#DpxCBs=$IOBcu(Lp%MX_L+Ni8vy0xmCK5 zdwAOQ>Bt%KC8VjQS@th+P-784BHq06Hi$G8L5{Yc{!%8*x>Q-WnB9k>Ush{8psfM9 z@b=6%Rxh_yb`xx^%&j@|aX7EQUzn#O{S{R##@tVhc}#d}3yZz(7W~#fo7}^zI|{0T zeypmb3kwNVf;{PB-6RZAeY?s*Y;RD3P;+sQ*nIoNi5d$_%N@p3576=q)A&|zwQR%C z==wLGaDLXqPy>REt zaP#o1US*DB60$n%X!q@F;ME{3(e9gns-?DLhs*=5@ML zPX*Vp>tlZUUZ8&E--nW;JyXT{`>)NMoV{?RNq5CLrpOBWO7(%Aro2}B+=}mc4da3O z(zctWlg{b4+%!y=?6l1yaBf?w&FJR;=~vn7cX!R^?v6)UJE&#B`I@U75qkS0S8IB( zgAb$<*dbH^xrZG6TWuQ@e6(uuw~(XbGQ^a4yw^U>ArU)mk}m($GUqDWbm?Sm1a=x- z|5Ec=Ok0@8#aTS`;-`WASN$z1Vzg`777jh68B$fo-bM&4BDZCy+Fv|tQ5Ty?jrKMm z5F0ZNO4v)>o^VmmHP=C~Ogg4V6IS{39a@^cs{zL!5|57=KXu+rhTye%0+h-;<7X(I z=6}XQS*YhEdW|N{H^a#GANr)!e7J{)x&4?fFUK<3$va;@XdoGW;C36F4XYm=YaTDT zhwn+*U;WiK$BA?Bs{BX~GLfBnDJAnK{$kW7=Io@Dw-M4H-OJ)KU1j8CZXA#cVbS$^ za7%ek3S#u{C~4Mo{_!`jN;=V+VLAIgxKRNosBl-pFhX;)sR#GZmJNB>LA zW6t;*-(hhu`!64n&jc5=Pjw38;xH^hT-uF}*1oJK#k*t;Y3ZXxK%nt5wY2-L3W01z zHhGwjGxAumj*v}EXrq*&eSa4^fY39xI%nVUWOHD9y^`rncP~Z(YZC?v-H~nagW)gV zS3ywYK*wiOLmxk)DK(3k+2~_wI+Xcj1=mmCDsQiB=2F89@gqS?9n`-B9Xv~Le{1C5 zIw$Byxa>bw9qZfnS2ls_$gbDs?uK3+g@l#x=-9STT@_T@(&rN!)UUQFK-#YD1xwOj zOH|0=T{QZFw8P?WM^hly+-fU<<`;fir!d7Cr#=gnFV@>E}T8&qO+PU8ykdjvgOfJ1eNGMTBN-6p*IdZqU7+9 z5&H@0&4g1QdkyevQw@~>ma@f-ph|6{cxy!jYHFDFn*`COUp4C(0LS+0JWe|6F}jKH ztd<7H`oeLtFo}eDMv={XZKE}ZX`t{Lc~-T2%Z-a{P+NO#+YCN%VZcWwC#B~oX7w%p zQV-t&7M^=MV{XNq``EF3Pyh@j$dxzRYi62uHRd5ew8ag+noqbX3 zsyU3j@nsMppKm+cwilo)egVbPNiwED$Dn`Ddv9TOUWnLB**R+4(UMfVbv%4*1$!fX zl$#DaE9fu}$D4351X?pre1h$p3TKRu?T>9v{k1*M#v{#h^Cl)E9+)07R4E0Yv5bWM zgx?s=yP^nQVPqliNFYGrdx+_!R{f0evfpw&e>(jYsJPGzUif&53)QM%g=fM_;o&+h zMEueg`0u7>g(KH4&v;T0Vuz|^!+TeD;M-pYXOrmw=&x*x0?}@@9Ebd3GIkgcBO-dcY*r5@HJAoYJT zRR^t|b3tI$fMA*%sgtj(r=0qsr-KW&TN;1<6KvWv&*6cGUY(Jyrmt4=Lb0m^*nVeY z=jES;?Pmafc&=3&*?!R&Eklq@S}t1cG0{k76f%0|w3%*|0jE1{Y+ye>UzB#c`eMj# zRP?;!en;@pwjpIKqwe!&yS%th;8z^f$A+`QEdy?iOT@1+z?vm(cVE;19w zO@5}_J)e>M(2;ZSBS=|PS($TS!*dDfl>kYP=Z4|rX>;>3>1;YvV}9r-`vV;#W7cUW zFn+0Jzz{A#N;JBX%{}R z6-Xv9;VYIET0@dD%W7MKiRl|HGL0|TvPS;n8h10M)z=(tegV`;IlzRiK{{3=s8vQW z5^R-T;hpz?u`7afhFF!uSAJ~|xEl+pSA-QHk#JXyI#frkf4z0KQ*e~o zzy#OoAEbqt*xD>#PN4np{^>?XA(3F(=g-a-saITD87nHqD}>Ek;q2@_94!(HepVC+ zQ{)KMG||~9dt6Q{eiX9OFOZ>3LUf<(Sy3HIlLtO$0Kk6qxoa*hA3xMnX|7FDQY=r7YZY6L-B3ghX}5vfEH)L zU}0wZq(SjzdI92p0e<5V$j0TrIKAB9Rm7gpYalgy8?gskZ56Uwv(cQ^>{<0SNJQ3b zuS#U}3#e16q{UFTx6!+ecvz&BXx${kbV!f*4xH3&Bv7}LsB0Ar$0PQazDSdaFhetO z#N$A4UNAnpcr>+7P(Rz64?2Ru!4+4c)=uZV$(`Z%rtfb|j$D=9V}Q&bp^FPLClc8~gqv_U}AsGUa_G%W#&AxB7(qnr1NpJHy7 zxtft1oo^m=vj&aCO-8B$EUa^Ol!*xRmSecxXfpb6HFm=_XJDH!qByyAUwm(uJ#~kr zb&yfc_Ixa(Rs-Vu-77WUU`!(kn}i_X30miM}?+M_HFXk z_ZDAv80sE}A0Ch;_ahbFhgVVf&{BQ3Rt~lCXWc=?;2NIRW?y_XrRmL6;Nj|gwPBs| z=>d80tJ+wCpyK+5Z9@dt{=n5p(2YGcI}%cN52Z46Om#dl(eG&mcaRo%D$2Q*nGYXP z5s?IJ2gsARL*(QH&qjr@Fv58(H6yi`!MMm1C1zbeV?NEx!NFB^TZ z-97R#e|pCs-X{+##OUT^8t@Wvay{a_n{rdLpoMeS#LLn}4#DpAHkM=O=XHw;iCOFy z`{pT?LX`_)KG+em=QR(uH|q^M89-0B2n|Ldt48K!RkG(TMSK3-lN3`|NoZJKI?9Uu zIdy#Y-bj8ipyl`k5jo7Liwr+M3=c_vp| z09%W!#Bp5wMkDH4^`srz=}-QJ)ObUx8=VHp*UHGyI~0E^$ysWKw3hULoaYE2R=fF4rHDX@wD^3CWfPFjJ14CLbSNShOd|` zdkoCJyd>GShd}EGft+b-wVx(cM&IZHx2=&`a%*AU$7-!PtIa5v3_a_aYM#c1y(-YM zxwX79pGo;x&zOJcl<--!JD??K^AHc)fn@2&2BEfG_y3EiL}%-mM+!n#w_6x^kY3Qf)to!o`xKgQ%x7}m80z(s3KZ}%nmUFq z&E%a~(@|Uk*@r5ZH!jY%~{hAd;u7qsqDn!kH{ zb&AD}#9*T-F7I%o!G$j}O!zlRQNKaQB`Fs}aZc^Z!VKHRcFv67l(Ct~wNu8AtfJsz zqQ=&iTcKE%JVW39v9X17y3u<{oH4-V%gyOtC@Hz3<4=i`?6S4_GIF7*@u;g5OwO%@ zR_j^t0(uK`fCm)wBy)WQ!i+dNp?Y0cHZP?XyKFSgFQo^x` z&sW^p|EH4_sKuJxS)OoyuX5pB6mJ{)FD5Vu8G6!q46Gr7BL8>B#Q(fq)>#s2^!B5L z`8~xL$1Jy$xc;0ZC!q;wE2!-xFf}LE&gJyLw*AC{e?ZtOxMA9ShBqt>jK>kF%35Y~ zHm_FHtFMU5=E#31C5_m<_#)H$JN>yyedp(T>1QCNfTMk!+rmN;1!RvZ1RuU7 zo?*g}Q4A!y9Uibuo02@ zR8P3`dP1XO+3igV613?1ZQ?H$VJZ_wc2+-o_twI z^(s@ai16Z;4S0rnc!(tj zzLAFQYIJp}siEwns4+08t&tmw_DZF~>(Olu&22>%-*jwE)pOl`NOHFJLGAoj91k?T zyO%@R`ZJAw`j8?NXATHa+1iws-qvK98l$BhqDCD_;}=>WM1d0Fq`4sf7eI31J%y1# zSJNaG>W1mTi--^iw57$bYs)f1$ozuSu&8d9{j**Th00>|^&ENAZO zWXFJ$JRKm@e_4awGAj%P^W?$48=)cJ+Z$Rmfzs0KZ6Oy!_{%+M`H96jfmYQ!#W|ts zwZ*w1_)L@*V>Rt4>k*uR1xY1`R&Xra?x#=ToXv~NqKW;D({R;&EJ= z3o9A6P|5wN#*Wh>LV5YlJOxBLM7kO@F)2aZjGRo(oT#~DDE?gTbZnKGHYq(d)v@U} zzWsD6g6!4G-;&ViG;s4DK9aRW-Tk@_Kpao5d6O@KR+v?&W+1VE}E0i z$b2ThzW2q2=Fl#g-6Lq z+v$|0v2nUF_i9-SLvi??UbPSir^Dlfgk#1!&GUZsOwf@1$rF)*uRaB~SdroVG_oFz zZckREuIw)}4NSbTd~H|#C1JlC3_I6X9M3zir8w)oozrFV;9$fYKwQ@Uhvg-MBbE&+ zfWg9fEN8g*u4F@ZF()azVT^Qt4<>gqdGhNWU9P0h7x}^R z8u0O41I>|3@lfI=4A#77bv%HU9tvn(Csk04XD79cw~&OdhGGQ-wB+RjI_z8mkj3B# z-LHZ8rNt{ZLgnDiW%Iv_ffB*L@2{N)?Ox61UFwOet--Y4 zBWshqJVE|371KMiSeKQIJG9;3fa_?}NeBJg*NySc_Fkf1+}R&i z1^{3*@FYQ&(>~gqOE>`@g23+YdEnboEuqD1H>U86De_K|t5(xz;bOZ-evEZGv@Tja zV#S5&vOM-)mOakUj~Ac35~I3R&qXx%e~yG}KQ%r89QtGuR_uHv7U!u8moIuC$Ad%B z*#i-qKXE&D-bqa;q<6Fckq>q zLzr}Nh=pzl8Y^U_K-3CH;SxhmI%|W3qgeXQx$GTi{T3_!I(HvnbKN>t-x{!M`#U&* zU0k3Nxe8{>S=u~Y-V&wc#v9oW<*3ETmy|s#qEWfiK2$lkH4E@#@|@>Pn)Xc75#_O` z13NAh8cjRJXhdIL?OwQ`95n*j?p2`|FW|pTH+3qF-4-n<{iT^>R+B>QG3;*QQnuI* z3?`~Z6dEf2ijM22y2PC?@4Y1~jAF;+P+};9*z$mHoD0NUYTmt}?4sY_Zpb==?9;yY z^}*?}C%(ixw(Z9j+KzEg1Jh$>1`gXx!${8C@mvWhol(?v+ewntR`yJzhVKPr&#dB*b0GxoV>5(x^ z7o)4EyYt~q*YGtyl{aSGy;3}k^(7_O-g*71`4q=w-X3uBWB(>HdZ>PABy6*5*N9%m zqJDEMq`}XNwB^DPe0KQsg3Q!i3_3Q%^P`s>eHi|$Q<}zdMTZK45udZ0!6Y=EWVLNb6%zUhU5all5u1F1`MB^PK^m0r}-jg+=scV!HoMY8urz~1gyFZc4` z{Js?4D0&;2DluZxFf|<_PFj1Ff<%E)%BLH#U~!5OcIE58r8`b0)e4SQK}+7*&0v?| z^i!X(uz(OhIk?3RzlG+VV!+5d#h3;?fybXI#S#gU?+n_3; z65kzUV=DxNHveej%!X;$>bx&6oo+Lba!|>_m?eQIwyU)=n;7r>`(-Gd^XResr_49^ zik@)aTAWn+U4@jztq}i4aHgh07JUxGxynDhN#Or^o+QdsOqbDF;5Hj`8}M4vsMWoR|~dTH^x&feB{11-~F}<%=`{472{r0 zsi&uV!&Qpoj_3^i(dkO#1CFKrOuk?06YBNu8s(oN#?{|(UX!A@00P-_ zF>R9ftb%hj{&l&>r+0s!7l7PTy(|CF?1 zwH8^kIOmC}xd;P=|5E5lU!O3&>U$^jx!2_VMDq=%7xs4_eEEIthk=oGnUPb-4--D| zpW0!5esb4cgK={qSKc0*A8&EAF^QEu`7UXco;yp^XI75kSMp2GEQ6~Va7V__p+rZ0 zGUZ)f>3?We6utZTM>ON@xO#*1G{NNSuHX5L6|V(8Or4OUrgDsN&utjyzRPEbZEUsF zFMsgmNq;?s1Y^rZW#y1~6xA;(_HfVTacZQ=pMy&IAuib_&~Y;gYznHfvD|+bP^j%W zai{s|Sk|QT>a|FV?+<=_9+>ki6S*gn{Sf{zf8fOkWt>yI;5`8TPmW`8+&I_cuJGre zp{WWd4la|}N}DD3E|PmC3$dyLyuab>F~FpgJOj-Oh>8@3cE0DQwe0i+{=CmaZ!Ywj z#;Chy#*iN#T>C&!XcrJ2kEw zsQ>gS@-fCgjTDXma#))w{(n`DT-WFBROmXfN2d7OmLyT!+J8sO1dwV-a|y4l%7gCy!{*7=kzNMWwKq{$<3}27 zY?ii(EeK{A7yBXq&knFUSh19(b?5f`%N>u#tauYXiS_N+@B;SoWXVs0!DT`GCXa6L zYOvy)cJJM=)YZv<$Jh5gewTcvKnH0=`BM2D@;}|8{XC-M@8w~}2=#xR!mv{<-{j3; zx8^c7s8418otLbS;|5+b{b8$_QvR_dKF;^xd-Ao%&Nm%ZbAkIdwVKyIT&J-VcwZ}6 zt$Mx`?}1-z@(l=QR}4QGbz#dF&?*PDg@YZyu3nwyCCPU+yLo;7tJY+{`)1SC?3f%P zPrC^_94ZEP5Rl6qmmTNXSLb1ztI-U%d$r;Ja{ zT`e;dhdz1hIRRf15IrJ%C5AKb*)dl(mr&)|$*A(RwV$7j*o1FPlewiR9!8K}AjjI|Ud5;}yuE#ejK^4lu;maB9 z!H0=3U(n3FJCh!!KvVl2U))@Cvi9%Swe{uaW7P3Fq-zn)B`jiJ+DwDLLfJK63%6FQ(9q?_sIlGtSYT}`5#NjCdJ~1OfB1!vDl9^K-D%z zjzYeB*OI_JbYJvS3*G2?HPHI8ai!cE<&U`C(Co1FjDmrxlQ%@*{V)G&+u9T%d#U_irkJB&1bn{zK~p>RUGa8(=JRV5CAXIJPmHF$uRU)we)WaM zKm2lAFZ>`>NCEnNPZ1$Qhu6YK+%jGq602nDmGRS{J8ErMWsRPNf?jBI0j9EOKES`d zUS}26`nUR$$AC8Ei(}?PP68D0{W>Z+h^G`7>B(j>e){&6zpIX>&Gi|DM%n8@`_k3R z&0zdt$C-<2@saeZz!8cX~j%QhEQ{_4`b?{C1z&a*Z2iLU3*R&^ZNE3 zRfNZzR}a;b;6cJYpU<8+zF^~fr>*nk2_G%@&*{R7cma;y|LdB__2g8tr$luWN0cTd zjJ;vV&uuc2fAc_ehQ^@Y>FB2)RRC4r z1<-yN)}2x&PCUIfNFJZ&e?L8tW6MK8S6}3hV2-3>SFTBEQ0?LUGY+Pg+hm!X6`x$s zRjAKH9sEigiW?79RGbhIVDTu@%bb0eqh%EFjjrs4Vlzi>6%oB>FUL>jmq+1JCAyvY$M4aP};AXrAZvav|&snNs9?l(MI`kEdk=? zp)l-Hul*`540)`4st)^SdpVwWwPAZXG|5sjtPqmU>D{GYvKUSrV+p3+j+eVed;JYk zIV>b?8l=agWcIk2<4;GX{w?KJ(DGt;QOCtltjkEQ*F?!QG-A2!d~inX;&jN;boqQ! zn!KNdZKwLv6L{Kjv9YW>v3s>o?4Z5g`I{ret2bWxWNb~jYWd_STNlm#O{pe0X%H%*#u&LV1*2<2km5@IP zTQ+`)!6Q0GODqO2IeZOgZ|MVM7;Bya9(?5zoBDh&Uc$93tyS^0M!X$rkuB)UtS89x zP`UxyDQ3IePW)FY{%v6S((*UrMjXGJz^vsP_=m5bjKAD3>?s_Y+LL#luS}G+VgC{{ zb7{gF_$cZrKbMtTZ&~a2wV#zIq&FDj(2q}3ilZGSR;f-a_X3wShTZ0ymd;{mR zWpFPB?^j_^1ALE-|MMt_l4OFkle9=a)`hjHMEZyY=P{lq3=_ml1PIOwCuhE(HN^ zKIDd1#=_M@|9$(=GSK=+|2Le4HQuW%cXmJK1HaKtiEnf$i&vAZt@ZWk0n^MvGPhW6 zyleS+AE?yfpbcM2>u!npASTuvLil zF5+;2k+3b4opW4eQK?<_2I9Z3$afDt?u$e58WZNd+S%DGJ#!Y7J)eLd5f9fRGM?0c zyY5F)|AfA?-~FC7b?yIg^_D?xg=^bra4%jcQe29=6o=px*U;ip+*;h-rC2HM8eED5 zcZWi7EmB;A%a{F~Iq!S+$&dWY%$l_(_p|OxL0sLjVz3v~LrJ>IPW(UZhE3p5rI_Ng znu+4kJ@r^YT8b1ga@h=`{`Fq;Afy1!|lV zb;OU<{J#sBxr%?>g$<4DR>NxXcd8D}Q<)7$2AeIP{P{!C+tjISaTm5v+Yl#VF0tpu zzS76O<6a-Zb5uM|g$KCc~b%4?N^+Q$?N6ybHbFDWLkGkF;qjH6W z=Ee1qxB#|*lB1y&&x^}Aqb?OYtLpb>uj2rX~uRAcDfe2V<_@Ru6Mg$$+8?T65ItBWnO!!bDuPTa#13U#x^|iFAf%COu)d3 zD|hk%cWP4-Pw0WS%~ullGntv0Tlswr_=zabN4aqM8gyH*^BE?O+eGDc_iydqC`-`d7<&fL=0h0c2RJFV*LbUj-ZQ94b)*LI#Zba=RcNk z$N4<0>}M=Bvwlm`ntA3m!72APzWPSZ<)&Xbd+V$}ZUxI$)$PNJl&N+M=#)a5E# z-k%)reelt%m5rOaBc;SI%B)AxO&xoFbmBWW8?$Dbt}XYXPMem2Zq#_)%BzczkXI3& zAz7dVM2-k-s-;Bg@^KR_m5=HlW}BT<87}&>g1fgSEcRUzN#0_U`3k{K>_P}vM0}-e&<~ds7 z$6KyQuA-10@6uGn)!4!+?S?`Gi0{3U5w^7zQwQgtMIN+$Y=jX1+$F9gN6_{ZWwwNySqWIpa^&XaLdC8b{7N|s~5jwSb8$3`RHihavUlXL{wDkcaF zo1o5LIbxtsCT!BQv1~WIlTL|qP>7VSodo@pq(>_mEKm5q*wBBzem;@?)w)Cn5kZD! z6)B{}zm@PEgLj8ivjgr{@8t?Fx`T=6gi!;%e~I2M-bbiXy$;x=SFeG1`dg_!_Gy^C zImty(5AWGMy2@OM8a-ad1h13lh%ZIXDH}Kw5tB7X^tFQi-sO+fGuqe-wm|hpUs^Nh z)N&=9n^r`4(g9zm+!-04dVArcHI#)6znvgzda) zwAV}cNRkJ0p%Q?VWY`v|!!#XbyPipJ^Gv_9B1gC#z&2 z%*po=p58!(=ImheJfLSZCRPAi0sZAhCTy@IrPbYLsj9Y3Mf*^VYmjDcZD_2)?o@_8 zO3}h(1>d-z1lMw({+JHck25Y(HWKZp&MEPt^ z8?BJG4r!HA)vVRkWe_}+bHP?T^x5svx}-!~VoTuVlssa|+1zf7)a?lBVE3tTiEXTY z4W1e8FmaNZ`R|*1E3O753Pxh)&n&|)N37bxA5ew3+;(#P&yL(4mjR9rjxYC|9Q*6V zP0gGLf!Nt%0XzvuT^-I>V7tu@QSPO?(fz5@Eam4-D)*P2d*wgL4I7@@ckd;bPu@g> z!BT4mVb=BYiAj%@9?Rv>Wd_y?KEOLm9r3T8uR)o=(-8?%zx2?#Sjs^DNXe_}r7ECe z4t)I=_>(=(Gwl#X#}0!fpI9pOlFgjjHHoDhuc)mTFsy)4ppK3og&cVN{&L2LlXj4F zxu=vqR*LR|2rxDtnc;~`EDgZc31+^>qph_K!WF@JFDu8BL{p+c9VRZx$#eFc2Webz z^7+CtIu1Y30ka@>NkwdoIs}?&$GaW{zH+Kh_hy3pDHYfgO4zdgL^>k0)UnL^8FBbj z@4)y2Iv<0%|GE3rK<=+L?k_!5=2pde3a+Ev0O(TEBaFJR1;-9ZW8>35x@b}&A9 zIO3<29I-d!l$Tx{rY7JAO#$77DB6p#3PAsOzdbzRB#~P4L2|NH{Vj|1cm;?^Hmhj7 zh-GlG;9BR@0o_O^qm>MktV4-woVaE$7DW-ylq9Y#bk~GA#quD=_b1Xnp+|XntI95j zpT%U?BYP@3bbSWm>BEIiCZQ@f`X;NO|b7)g$3BOR((64 ziGuqzDC9LVEDJ#1R0e2?70lYfdSMFAljRkrg~(^hw1l!8WAd2V8XxLK>*IqKWucML zEFTo)QJPuW8m55#BGAy=K3xx%mNPe4KQi&`>{lafL4NGX_adG*!&@O*T5-B!WrB1U zWO=S<>kvba(<*SyyMOt9by2@s&Z>5V$rlt0!t40P(+Y=!;}bey|BLtx?kteze$`R5 z5LPNWKT4D4y#RZ+Eqw`ixUkOsc%=Yi!8hHTL3FJFAR-pX{qjA-QhQ?ayWJJ6VYHDU zG7$I-`W@2hM%^Mdy~-37!}M8rs6O{CV_#U@6qTsgdH)O4f;EWK4i>gs zOek4&x6C`lxR^S+X<3gh^{9i^o92*zRQYI@|k1^|~4H zx^~;;=j(p@*!B24mRF>_@R-ere_@#_Y z_H&JuFfujJ8XFn8Cp|tUqEe~OBh*4m6bXVU#9f7=wuR!MEzJUg0#!|^?Gx4b;^iPS zl-Rh%{sHHoj4m}RP7A+YpK!axcs0;!r^#OiIMt>NUfUUd|i$NDV`{ zK}gj|yc($vCc*3%rLVw)W75My z9&}8p-s(11MS_HU+Z|JOT7kj@%SCFhUCLKLVgF|gXW&jUk1_JYaMkEFvSiht3x>f7fLIR#OMnxVRI8jKgmPSWegtc~r5p;$n&x-}At@)3MZv9qG zVSVl#?y6Dx2%0Fd+-zo&K#O@19F;VhH>esrpg4>(!GPz?E}HB&APWPV!p04_a@qrz zGAqV>vf*V!YiL`@`vj)<@Z7UK+j~5IoZflGJ87aVl$~ zL@5BMN1K1VC8(gl#V=Rr#sf+NxFZ)ytq7%u>&HpWv2nwZ%Gxx%!^sF3ODLDf@k7+h z;o(*YznL$kJ|ITUGPn9TZKa2k_l$k}$S=I6Xn5N!n6A4}uKNfBhgrXuSYW?iK9Mzp zh)jdydS(aDtvNd`CO8Aco6C_LJ;sc?E{(Q6Q7nL2Y^3IgX`$*rI1L7z`2@xG8SKGM z5u)~BhgX0|Hi z)XB3JcviN!I&G!7snFXGNBr1|axmBfl%o?S7jODWMiw&HPYRPTaT}8JSr{1LPlPWT z(9u#SCf+h|GbxHvym^#${6duZn}>?XY!*L4=h^xLk2B&<-|Ej4bS0?|fB}w^uo;ft zNrBOL#K-6H1%-5GVN7f3jPHaax&GVv)>J;8JDBBW?$R`o0G^09R3hK*7H@9$cE?B- z1e{tjDL>A6o(-neQR2T5m()Xh{tPQm$RPahDHVX04xmo1M_-|66{3wO_(zf(u)QQd zC5qUsC(GPV_hNTe?_3mgPK|g>lKU~2~;M%Sx7@iGD?TKz^Z-4@982U*Z;VYvo8joE_h|!rTs8% ze>xTe^wW+ZFuUwzRtlodLq?N<3O`EyP^}Db$26*d@sXS7A=fw~r{Q-gU^47k+1(7z z+r91%1ze$RJjn4nJZ-@v!7+`->`O&tTpV$I|&AmJ^H#mE`MxTXe`fJq`R5 z^isLAd~t=p&&BI=Endp+nv@iGs_dGUdme{{0SH2gMTCUeY-3q&KIOrqd*SFsb0;ux z)B~i`Z7-qowJG|(twHSRZHj+7Suoj7J6Jhe_8~NRYe+&Z_h}dt zk60GJBR3}}c9Ba#7K0jxPKpfQg!r<~x{T^8^70=+ck=L`k(>E=#drXWKm-|Jjd44q zaXNc#U+{F@z@RN{g{C|+XSq}fV&vgvdC}doTCR7rU{vwspbAMl*u%r%9t;dFW{nx=K&K^nCley& z{&x`a?cZ5v^HNUM8+#=xOto7_NDfXtiI3hGL2F6cc!Ixw-NuUJw|MsIgMg<>z2xD< zVd_5jjRpr)wYsKgvj`&8m^r#+o)7(YKaGt!>ZetfD{plLTP`)J4M^W$1+yeYg8rh0 zNJ;+Y4`8S+T$zZq8K0oko*^F-+~{<6@viqjm^B=;%k*NjnI&?PL-nv_P2-}na`~|e z@mVm~uVNjWCG8sw#p}RT|KRs77_HmB!ik%ws6G?B((cHvVA)BLx3{Q z#%-C~Z@M`7qXLdiAE(40@54m?lkEW8Oj2w*y__F|QjRv%^O{n9beoIK`2S&2d6J*i zK5D`I*AvWmI(`53m&;RmBTJTpP8M0eX)3S2HYd!e{G4w25Rnm#$KI?dy9Pr?LQ*UaJ za&>hJFOPD>-uHUfapvCc)Cs$>&h_DltmiHY`)b0ovI6N>Ai5ZoyWI}MYVu}RAd~;Y zI2Y#7veJTIz|4^T(YEpcWuk*bLmjr8s>! z|7~*Qb(&V&j)qyu$Q@+0cx}z4*+2-t4uQ)#FQ+^j8O~XK4rY&W* z?qd}XW)0RW073k>*0A;`b6zcW^mOhRX)O(`yyOPwe$43^Ed%?*BLxKo8IV2Boyla| zBKR*6iGhPR@I`R((K_I+sn0DLaLUH$brbxYw`!2N0b)!Q=MW3<2x6;Z=;Ipfyn= zougIV9rV3__4|5s`=RS*I^%(+@2Rt4G2W&1G+*@_Q)ey9H_b4-M!4FFXi1cOoZ_E8 zG~Kwfw(cc!cyY^_PN`9B#_M`!Kb}fN%PZn+do*<{;8`yK^4cAA=6~A`^L|dAP`;#| zcmZfBP!UGmMd=P-Uj)cgDNa5^TdJ93Kw$U7opN*)$+TUsqy`6&jFFg$Bw_^%2dvOg z_Ey!!$Eo==N)>OOT1m2;AQ)2=FApC;`$jee7wZz{$BWkDHA8(PMOyMFV>p_PHF`^l zGk(^dG_rtR@rTeU>~ZS#a##1Str0fd?DcWtW4Xs*8cm>nJ}s>jy?UN{(%ks53?MjC z(iEHgZ){Ui!?+4?kc>oDF*T5xfgJvG+J|c`I6&!kxSd+LP_set1}*z0bU2=l?j2qj zb@&JHyg*CyD;%Y0?);?KaG(>@i*`Y@vhfH_j|QK=-c5C}2+}41+$$9NOGf$+F9kA& z`AdAyk22wjOm^%DhMCXoW`Wqm9JYye4?Ngt25UOU^@wB!g;E{eTn5pG{Kz#ldi*g3y4=9b zt(xcf()FCVZ)~nSSzDomhsgR{=^o4wCur3E%_Csd_PYR7Sb_TOc3uId2uoiH8u;`Y zp+?#}FuyoY)76IVeiZ(a==a1$FDX3$njHo@c;Impk>P1q?LJ3L(ep2lbBeh;SL_@g zrix7Lt&-$Cj<+ry)U7T25ApUNBL5qn%BP|T39a_;_k$@lV!r254c0jbd58^!^o*X} z4tp=IiRjMlAVS)QJ?r;t$B^$%%1VkaYib<+XXI)UODZ`}4|J*514!gS8-7=s-yX)d ztlA6X9|t;i+$6tzS1=2TIMP~ZNn3!nY5h6rV5@QDC`$2?K`yT{rB?BQwovVv>15+$ znMn^aqvOT=h|@cYrLwgh%41PJZ-HRw=R;dd;!tu5@RM@dX@dTOJ5x>1XE+QmeChnQ00$wh( z#s>$EQNH9pU+dMZ8z%l1r^!MHv(8zL|IK%!eRX{RqP7oX))g^7UtcpkGcwlQ;QLi= z6|=77J5K=u!|I9QAe^RHdUC$S|FKe%l#sRmvFSrrEPBtDVNnUm&*c|Kz zp$tuA@AH^KD+#o9`s99!0R%J%LaxuZWL)flN>(vRyziozxj&R`P@zYIAked$(b*x5 zRU3DAA3byi!k=qUsP{qNODhuM47EzFMex@NS*8SvcE87F&jjYSv-=ut&kvFr4^^vA zA!h-*Pf;NN$12Ac*i|}I@3Jo<{%x2Zz8Sl3&aKaesN4DUEz|3bywlA>8*E4wkVV|N zUX{;wQ@ZEoCMvR`ndguqMV%$alR^3#HbtS;9bT2;@xjr$qCXRuVXd71#xrq)Frzth z>K`#GSw74DvZb#3+PIEH?Bn8C&#}7Myn{NTJ2sf+7KtX`H}{?_&b&C=Rv0gjf$jp3 z9`1&<^cKIa=U3In>vrkKt6_7fcJV%!hV-Pe-jq8G@kl1DJ92g|^4D>J(Hv#(^>N8vv zlW0%{N3Tl^P)minKjrg9B2X8E=3$ycY$sgVMQ#VC#IZJ&bN!mv zGVTVhy4FWs{}#Y|raH8Fr0@q6jSjngc%Wg+fjk>$Y15xGin8W^Cj(o<>;!yUe=fIi z<7f?ech$V)efp(@6q!gHPs@DSL0=#QR#pd?5zv@@$TGLg)Dz`RX~NDT2}hG6aA*Ej zu-Eyp`d8xqo>Q>-?}$4Exq6m68T%Q;bh3WjkW<_u#E4FDL9mS@T84Q6x`4i8zw;R} zuAoR~rx=xngSztTcsEU`nR=Ew{9USr@`!RRwAh6`639_KFfo5h5ZR$Lj=}de zV}D>8^z`D&QPrPl}+H1{&2B$yubkVUy}UACM$4zq(>nd9dljf_%Y z(3G#pBXJ*yv72;yKDZyFu>3^`CV(qBCM7HpgJuc?Ibea5e350dcj^#biEk!*gQF)=?vJP5w-PU#rN*8+=gDfK z7D418IMjY;hj2S0yyo2Pukw^wLvt%w_F-&+bK?u=@8jH${G~HHPd+W}eW{(txzfB^ zep}gghMBW`A0+2XE*yInhN{JhO;C_b(50kyQbQMOEz4Mb4wLl-{y@c`X5_&YyH?ME zh>h1f2IN`%@!p0o#Xt1LZblcZZjlZzqpQneI1l)G)gZHv_L3qM3>zS6{QwH+mYE~| zUGKeI^HJ8);_cg_Cf^ZoZEB?1hD;?3d2L_FQ+fTP(b1Ww?y0ClT``!knKLY%+KKM= zy{o?qNXsOadIZa9Z$<$6^JG?H5u1O$K1B^n}?;rQ5#s@MM z5{h^Mg8US9OC7!8_ zeGbAT?#Es)eY$StnV1eYp0jJ7Bf9Q*6U&)KR2z z99URXh&lwJ?}dH!uX}z82brRfR36z)iMs5K@D3q#cwWq6)qEM3X0O#*g8j(3t{afPH?^L4$SF)(aOt| zBjy$y2q4}2g@6!-EFfG)^c`EPsXye|{r1(WrTJ^R^LlaF4adE^(xCgD+_F|g71c+T z@3^IMxQW({$(IH;ABmJ``;miKY-*`-Sz=6Pky+&9-(MDYdBZ@U%7s}z^0$4a%+Y~g zwAfM5X%x;!?YHThZ;}IMrsYLn&Y4~+gT=Q*ZuIFjiDWY~S1Rr79+{Q_%l$i$xt~jk zl08Li*DG4VXPFPDXIk1)i6CMQpRLH)?*M#6?O!%0OH-oWfA`>o-r?YbH`nPp#3w0? zU&a1&%H(P0$}&je+xhg)^|gpo7ai@S5V2_qy<#4oM)Rc1l5`Ofanjmi7}}LYF{U3y zQ}FHj$D=IXL5l+94}QWFH>i+KCidv@MEK1i2*gF`59tX6Ph5SzteW{M`ZRoh9~QPw zt$g%}WWbA=V!m-xXx^_OTT0`-DBsok$*xcOI^xrwm6P{u&PR9eW`41mQ~J2OY(wQ5 zNIioTnE1@iN~@{NjSX=|QitCt^)2EVq~0`8-l8B>^Pxw-77aUeb8KW}HUgUhP`~M@(o0|#8r8zBs)h+s9nz!f}BS1L%13C3qie?aJ*qk z9M&7dnQDpP?q!50uR-KxT+dT%7I_4US&Y1%MV7PYX0~6o_?wS{fAkD|)0#U>Gk;7Y zGH{JaOZuib;JQD5D1iz!kE|Owj{M*}Hj-WXbCU*@U_zuw?BB<@jyC9jOQR~7@VyFYYS_!oV6h~OMX2gGv2HzBu|xqOdm_SB`kd4*{I ziv~T84!^&H<2@lOnjDk2mL{3t5JPfE*UNwi7=$Cry?FY?bKjBmQ`%c6_WkrfC4PG| zGH6yz2y8XC(I+KSvR^Jb=Xy7`J1SJ#vV|Ixyk?mHBx0m({Uo0E=LaG+_}r~Vg}60v zLN1pFl=XgqKo*v1I1UZO2FdA5m$R-d?HT*x$W*~9pHzcu>pI(NYn|^eeb8>GmRDEx zjg8%s)=uGI?8pBQLli=uCg1J++QbaP)rofD2pPumnFldkVPkI!<{!Ts)$8)SnTwi< zZ>wmMV_k8VA+T-ME@8K?d#uKHPZlXTqJDYp^C*qiTWj~b zaD$yq3ix}3Vw)NkkF>5Z$GEq0+w7IySU0yZM^~*-?ZksUX;zk~r(=EdT|dIf-z{rg zs0QL{xecY=5aZ1Pt%wBa)A4S4z-_B_F1*3h3C#Wq4<>s_-+0P)8+zmWYG}Oj*??Up za{Mbp>(L@RElZESJazluVqBBTl`gkO=(R<=QB4FjjY9hx1}zrW)lfWTX4YZWHC*jR znUnL&cFxYO($3>_UG>lJw+jmbX}H5~#ra~Q8K{o~EycNa01T3n5L!#d= z%lt4=yWc{)$WK8#(fB^|IFNDca3DT7W{cbR>Gpt|l32T5@|}+R=f%_Seg@Iu_1A-> zh`}ip{w>s>fRzY)5N+01=4QI+tzx(}A zYyHg6=?7OqY4=t8-ED}0{ra!?9=cAiF@vHJVD-nRCP(UEB*8Wk_Br^3ARAKMuP~T4 zYVp~>c`^~I3ZIA~l>ft5u`uiCaeg}BkrCIV+Yw7|IUoChnx}9I8K1i{SpU9r)0xx3 z5QPO9!+w#}JiF3Wx}g9))6h}_6NB_Tf5{$^E8MU9;o-2(JVN&^$=Q1Ab89KQhQ>UB z$g?Q@af>VW-yGfM;oAJ}!2Fb`+vLgl5R4w~lSM%|-?n(nxKe|fh6e(SMcZ&Qo=2vP z&Qyx~v)4wAiI+y6R!v7MTSFr)S}63t zg43#rOt$V7yqnK<{?AEI?VpUQrh);s0Mo@$hUo%U^PRN{@k%C@Aq#a zQTnOC$_XC49%{){QQ10M&%4!kGUyek6)e+|784u%S?I{5=mdI#iwhooSkoYO?xMdzV*YBSs^lH>iwWt=>JoYgJ&l4R>}rAz+U_&#PD`)^0duR|`^ z2lw0yXF6Q(U2|~w|G5hWyxbdqQvDR8L@>l!$qJuSzkPQhaF?U?5?8zb2`WBLL?3k! zD2cF+F6=D(yqYqjhu=-c8cj6qVt zZH7t)R0}(wE4-OGiwWVvL;*$V?#om`m74zrb>L!3gxb_N!NW2dzt75Ax%;<= z9dSSm`MurQ=jB{RW1myrDKXgU>j}I>qekWQ5ndv2JD1VWvXWiJ{KZyVHq3MAex$Y> zvA6jV!t)R6Uc-F({CEquk*~FV7l~85Mtcss9}rS3DYb?!EWkr-EZN?f+cu5R+g?8| z4KB+WS&)-wo*A`yKHYJL85@k@?dF*Wtp^v%9+|RN}9W?d!3NmLV#BGaDfB z+Af=*IJ3XImCs?tFHop^#!UbC$dQM)G90ZWhruQs%m*~dN)_L^{$!z<09iE%{qjX6{$({Q)&9`h zIxMWQxIvgTmDtd@22r_!L0WvA|8n_fcTJWsYHAI9Ni!0#lf(0NTubNqzdz`fIq($S zlhh`d_p$=>AuK}Umo)sJ9z(6tQCH_kyI?;TPbofq;(pb^WkG$*S;V5POD1c6O28)) z+@DZ%uAM4H#z$^N&BaR?_4Tc5AL{tFviWdC!C~3T8u*|*D(WBuwtMg7zk4+$dV?N_ z{xg={><9siBFo0ZRsgkw8ZfT#lZlKnQm5wr#ua&uBZlhbPLD}-T-@h$OEr#CsQ_Ku z#fYlq1JFk#oP{?={k#}CjB?FLhP@k`rb(!Re>sfAynwlSg0rgZvVV@PedliEkGxfB z1Z_>{L&a@RhC<~uJ`P+xS2(Pb#M2XjcS+r=^7({nI@0j14x$b+KZ3mwXT9i{mGw=r zqGp;bafLxRPb4a_j>Peel~rkmZ8RaHKuO|1n#A7RyDuu-IS19HAWYfII zMyminuh+v|`BD^eH*mS4`sw`h{;s`NfnsxW+ZnD37s!EY#PP76Ck9!6;%W2WXP;M~ zvfS=(KFxWl@eNrMZuCfMKvEj4!~tk^?__+}Q}?{z<$S38H`o5ZC0l`sIN>YGM@@A5 z=={`Xef{04m`fXe09y0d3SA4J5}$}pyPvgqxtceF-LEEHmT(;A`n0&WaEW6bDspoX zrogyLCtP#j=TQ8r=k!@vXo)T6^5&^c!7vpC@xj5}kM(gsTPaiNaDZ=?4KETLiO5Is z#xG-G_8qNe-8`qGRe3Pg()scA&hfSNI>g=l^(6$37hDYmJW*x2ICs=GHa4v(&jNvP z?!+i%Lifm{^C~+Ur3_9?)mI6UAws_0U&4+Ae=?6X?C$b+ySMwHMANE$a=l(mO5H79 zYV_Ni!_IkU`xJd z?|#Db*4WC9w$R{liuRk=a6s^v%0DrDR)KJs3Kbl3AaK>Z0X= zqNSJR?ryp<$CV00k%l(W{otV7fgi-{HYtLNra*AR^KX*;djo^c*7`=H${}i4r*nLa zndj{~<&g?AGZCp(2-_3~#KUr9+`eJ{_}elykyqNFOXYlY79oy0S3-#pMo{cq+DhdZ zod|gO?fU3tTBRB?FwxZLO<{jMztX7v(jpIT)3S;#^o-q$Any0uOd)mMNG#@-hU4DU zrV}upJY)1ndpd>Yx)Yy};6!I`iyLUhCW6H2up}22p};@QCB431xuewXai zHfZoNR#NXnX1*$ITRaS;a|}B-jh8550n*c^HZ~}IL8m!)y!p2t0uXWZ)BJ*XI%cO$ zTo5EVUPb1vApL`KUtDJ?;|g6J-?F4a)r%+`3p@z~#@5jm(Au*j5DR9_*$%cv#|hGv z=-+y-2K4UHE@y(&C+IPWY0o~NK-c0@Q#YK4`Ki7MLK}?M%UXU?D8wX|t5YzjjK|VW zy-nAv+9hO)XB^z|S}Kz*s2yh^lQyX!lAxP3Pfz2AmenIC*@pY&QN{m?jiL|LoaZvM z8>=V60oFo+;erp45mgaIJDtp(d*_0+U;i54i+k*i4CNwHYw~1hV1lXf_{|q$MJm>R z)Pgh|OAhAxLqqXKdm}-M6H;fV*bYtDvytDuhfbD!b9+Y{N z384Z0#zaa6*wF@aM!)|VQvYsX`#zhvYFQ|hAoQW#spfO<_8hPsUCxp>o`5~5%bI=f ztC*TPb7T=npOjT{%a2HycGL}?q}O#G)9PJ{jMLLTOex{&sh^S^1zl<=CD}{IwnMi( zkd3(K8Ve8EI;!b{Nj$5)YO%Xec@uzj9~ZhF;%YGY*)yQCtZioGQ zgSHgHv@#Zf!KQUX&|gwG)`F4VAvtJX7#5_GB{a7E*w^_nYvBD2FDwpmmrYL#GV*js zIO-9F^amV4CDAntaq;N1^QG2(OTZGYArWI2_+u|YRgfy%zvv;4coWi2u2)@iXnQ-I z|6u-y=^>0}fk+F<#Nh8RkOL7<)}@laF8M@Wkz(PfsRC9(G+A+!(i!_R_4k^sAaIS3 zq3L0avtuXAVQ#i~U|w`8mcQp{Z%`L9^K|Or-?DL{HmUNx#pl_)4UFH(|I(gZQVEd zpjE!xGiRhitu-_@U{7GxwexUaehkd`E-b`J**u)qkX>;=HjWC{_d=-FhQx>LhWsiH9Lu zB3XfRxYP1?%C+&epY#TvPR|7z`@Td+;!0sy{2q4GVehau_YT>j!fjv_py*`E@Tr)1&?47jhV<^1#-o!c|A^}R_E4#Z^s8}KRY#BftN@u68kN{A^x;i=fewU85HN^hkztdFi*{I&M^A>egUWx=wADphce10zO5+afqe@rR+p~FtTk$CIGPmwh# z=~s2eI;2GFFkE#@K#+}%*KDkugbzOqx11GQ0m|FM=Tkeg^A>3p~ywMZL34*RR6i-V-u*F2M8x_D`74U*A4 zLlP`?h3yn{7C@vtV)`f&C=fZYTaVGWA`jwSd7G^6L-kJ{_k-VNf=~El1atVhUia-q z%=qJHQaR!BM&M8XpC= zU`unB8vw4vnH`p;3H{PM;)B~w))yYp#};p{bKu?%)>ZZZ@&YZuI`cgy(_i@*nV38* z&DvuZA0Ffgm@Ey5WRr?)T2|P{TwPrYHmFFORp{~hN|;Ay(Ikq2rahzj#$BWCm3xOpmVz866jaadE4*a;IR$(JxIaQ-Sw)aRxw2w?th(ezP zA>P+x(;O%A)1iWNAe>R~B3U>&6L8*rd~Koe_Id04bS-SSxCsRLXqB_yGgj9pzkibo zqesL;T-2U#zeS5VjqQlmq0tQtRmWQZ@greMR?q(W)#MoQ+^8B?2&v$Ep02CYYc5fz zVU)SZUWr*c*Cm^0d~@o=*D8i@>~rRrw9*JAvM7dUn&7dtd*k7g>oThTKuz(*TZh$A z$!-TnX0TLeL@ptkUVkq>vlc?YFw0U*Cf%fdkK%2Rwr^0=?q~9P?9p|bZ9=}_$oAR$ z2&Qh;lAx*l$YId+jsPw?Y2ko)Zol2q`TVP6MsVo8l zuUuGcQDAL6iz7m4cjEAcikPkNTC%+I?c-x4?lb8nYhbK3o(VFrN8@ZH#5z#xFV%l< zSbq|Y&l4qi{^U4zQzM_BF5eS!7-IZ;gXO*%sY6^*)vF#(Qd?0;OS;kWSx*X206koK zT4mUE2iR{@v_0UP()VWFy1wDjUgu|DA`4e1OCWYZCy^Zm!gJ5&nsU0+=XRHeyBQGs z+VcAHVKwgM*0;i&#rrFl2EU8hVwj_#qFqu9NxJT=P&2fwK+{IpZnR!|Gfr@$%@y{L z6!Q3H{1YzfJ3_TjQ*702w?2KMoDa{YL<~|u#Geefmb*UBlVLGhTG^F)HC13Xv@@sm z-(I_GV=!-C9(*FK+q4z|!4*QVIGpZ~k*JZq**PdYW{#o-KtxwNApE8UeC{O*UJark zJ5le4>!f_1wMSl4!@()x`m$O_Cm$TT z0@wLhRg2y(?X&6G3LW6q=P`E}8yX7Q+u5*_?^SUzURw4*6__`?_BDSAge#P_;g z&i4JOrZ$Hl#At^QlJ^nQgx)gTS(chKkwJ&BLt4_vtMNGGyNof{yP?EQ8|_>=5$Q-J zR!t=QVOA6r1)Mp6=B}iF)$^0nfVL@p-QuI1_hy|xc4kStMY=R;GbmkmJMAzjUZHQ) znVeYpgmw(pc-hKiMvYfzn!Im7A?xya=)JIkz&He#j`vwj>U_1m{k-(`Ud<2vb=&yq zidC=r>3Cm4`A6sob^}{HMZ<@fAEbdi+;th5nMkH^y=4rXF_@d1GjxK$VvL_Umh1;z zD27~eb_tV{;p(b#rd-i)cg_ZB8<~5X~5%EW{ab&OIClK+a%gq z)#IwQL?%6q>S+^goy3M+%=>zgyrxUICE&FWH#avU+v{K;9ZtFp0ny%2{QUXzxBv6Q z1tHV^{@LWjr0-utV_s-4T5WKdnNo(Qyw(i{<6=S*rYR5qE#F9ez}NX}!ulc|gGQ^z2aR~+y)u*!>eU&YZP&5lAgm4WJy zS>XlW=CG>ncm9a?)Xpx~pzuoKwN@4LdZ7s1H}+|r&teW2|8xk@#=-mX8|vuc&N&r9 ztu*dFr*Zqaxiz;?3DPkx<47P9;L$qYjJi0Cigkq5;DFX`aPoEywSY|a*6&{4GOTew zQIu@DsIWj+=VCkZC%=PGVPi8yz~_7s9)Z~qz{uHgwI`Md#4N#&_%3mWN39G^&xzAe z$3y8OV?@`l6xYBhKD^4nc~@xpp~t_d2U{DPVz~(Ewi8h^*{d_7Ii{$Q$t>UVj2OUiUmGiER}^pzv4059R7+a z`k0*|_~skl5B1%y+iz4T2jW*$F-Y4Khg(@vae9tHv%Va;l^aLqXa8Mth06Zf217xhX6_T@?KoULi66 zE&r{Hgp%}}%{X|{IN*h;>+VIc^J&%G+MUF?#c`DtRm|`#rKlGf%D&&$Db)ig+jnsl z5j6N`!h)gUC^Hg9M-?Pf4w&s9cP9%ms_t$r_q*`u@?dt*8*5l>_1{9k9^3A1PaiG# z?Q(S!)an=R>Gq$`-t@Jl6N?eK5rY(YN>51wCX81O7 z!>qx*(E$MGSj^gHU1}XZAzvT za(8v;$=*cfLiL8{!-4fS9|AcFI|tj#7S;3C_1s**Q}XKx72I$6(fvu?$!Gd&^B&1t z#*z{VxEc&UV~8r?oBIZRpvQ)Tll^C+B*IeFAw@9stN-(n@yij_>oJ@qow+baQ_Brd zzzM@+Gpv3ZasSShYvE>kn;rf5=imCHQF&D!UYRs93-T1bZpdz;5aOn?6ML|yxw+?Z zD*K^h9Y1wN*e!uHQ7g4num_YUxfieO@cuIcH!~2Zt-VNUS)#FW8X3Zp?kgYwE}dL1 zU=vIeyqTI5b%)P3SdVkN$Upb1k(>U)1Tk#MfuTAi<}$pbTeDaia{%%2a^cK#5D=St zv;vfZS%1>y^#V)1Kf=e!4LE`o(|#EBwedT@G~`_CBq2Ki5s8d=7??av!xJY1{p$%% z!wQZGQtc^1LarO6NVIaw0A5SUdHaXz%5E1vTdLl4xjo?BRe(PDYlCNCqQ| zI@uTElglx>l~Ikuv&m1@@3m!wnvn&<(fa&}>7Afe89;cnlwE;eQ;zpT%pWWsCXHlj!+UeTUvCoKUAG%R2$L1u7hif7cGR~QrumF z(;~&)ol=Us6?cc?uE8yZKyioS4lNXyAO(uw{Lj7Ttb4x9=d3lEJ$v@=eV<2FmPrVO z94k%wZMcnD<%P&>fcS`&1`r#GI1P!cGDBD^j3FopO@_|5nCEB{tIt;wrGgIB7lkap zQi-nPTG~2bt$$bWeB^@nRy^0o(!p6r`S`24!${b4oOA|5IAXG=qpFN*_=fOnuTzOC zHX5!gBp=muubXKzX6N*@emwz=guuhtwVFZvj&4}85X`sp#kL1}zcHOFW>UZ!jNturp6`Wc z8~7gXoi^i1(u335!m;_k_?2)4X9ze?Om3~{b=}4o&d_uE{DhH!I|8L)r? z(qQe})>=*CyZbL&@^4~lx0w2ezV&66YQ-LpxbaS~RPCEkvDA<)6bAa0w6}F<2#6xm z1Q0$G!{e9xyQR$lKNDmRzcuXol--89<{k;|l~WhkP=HvKv=zczfZC8v9gkT6zO@#KY42HQ7SZ*G}CJs_mUV z&_)t!aX0sUz}dLz(;1=-f4^!#s9-$`nX-bc@C^Xs$Q%7Pp3i>|Q%m0d>^CXfbZBE@ z8vPc1SmoP%ojo0NvwR^7K=032q2sU9YM&YJ`5RwPZ_`o?S3Px6o4md^TV+rWX!|JRJuJ83sFdBdwvL%Za};fXlG z3@Zd*PkTUCOy!V1*s78>-}=(ewS-A{h}MFp9xb6^eK5`%zk-Tm7>5NTnW|D_Ta2Sak?F zBt99~n>iFGq+nwi$Dgk)Jrz7E#Dt&pF9{{Sici?%@6S`irPX-%PhV)5LF(YwB}8u6 z#HA-r%jVozT++EJ(jyuM&HPjj`tucQ*EY5rR}CBM1^Yh9=@Ym%$uk@wSQBF*gS^-b zmfLBdnbrZWU@6sLpI|wyLezs2u#s{ar3$m95FKj7@DB_g0ZoqRq1pdkQ! z9!ovZ5>EBcVVV3qWg7g!nypmCqYpkM`diCK8v(x;Y?_n79c(L(PvbE8xF&RTo| zoP|*pfC48lHOJQ94WI1|R7CqN4r;|+9|!JNS0D34O+MdG-0?*R-CXU<#nQ(!RjdWS zZhB`bQyNF4>;QqB+59O+!PwsxLL7?eR5U}O@4)doqPKy5ju5v}e&Lla|J|{}ImDJn z>~{OuWvc_KUIQn>s-{(*>w748d>oX2M~;i(wWoW0iuEH@IGElpZ9YQ(_Rh5DNHYVu zmp3&!)t4MxjYt^K50q=TIC<(mUzQ>6&d};&2B8muH4g{2^vLIu86*9Tf4^%;1$BH` z6=zq|+1kIy>IVEK7=%4@O-D@XbgmsEq<9ZA-G@pG%1h;qtwrotoyeYkcv#RiL0Ik*jQ#(OJV#eewHgXq zaRoa-lJmuUFBh*Vy>#1=b1a!&(}?qVogVGBUOU;?_zE4k+&;9@Ur52`sQ;b%IpPeJ znSlgc#mv8McQ9&_dY)O8S&wDS{DQ-X=hd}I`W4DEjvb61ZCm3 ziA4pUzUs*7H6lxE6{*?`c*RL5NLui*(8Udal&g=}Bf^|HkCrpg9Fy!oJc|x2w9~l^ zO`;`h>IQRJ%%Vc(_bFLXviKWVOvEIPZ;9~GSH{~-3+!4yV7#Ut2?z?fx>>wgtom3n zf?LyWE7!eo9D*4A>eM8c^V{4&6H_SwRq_$st|`mRtLv)?+Xrl>&yO$rTaOQ2%%J_W zKNrK))UAv&t4H^lJ&$+Kg&m)N&;E6P$R3{7ZTEI_bo=sUdtJ7|)~3RD!z6!K+sjfS1KGY%Z@-qmqVsZk5@ zF*m1t%`-M#F)}a__PeC##)-!3CC8w!CdDsoaDVBz&2g%TTjF8}$LlxGpifTma8;Ej zDIF^|`w+)Xi#anamo%$b$DIBTlP)|@Ycvs+5rhRIv`fs0mKbWRcPM40lNms{Hh>}i z#@FA=ZX287oph+0n~kdU$o&oE(69Ep-u1LmmDMpS+w@8a)Zz&D<|O3wH2OwMBZ3Yi zQI2tSeR)$-xWZ2iS3dBrWL36Ay*zm!eg!chip_C^e3-Wva%3N_131_}{5_nzJ*y+L zImT@!3Fk(Y;yXXsJg$7B`8$kxB+^*oHtcuX;|2F@TrMptPDC+)r-3!2P*;0uPUzJ2 z|IbeO^Jqy{Jy_oj<+RiIb?NV)h3vJM0ivDKg|Cyj@aj4|PcoE9+D1CIXmtD*Sb+k7 z#I6{LB=(-p?`v3nb9rbhXEY?D5_cu3i(?}47v|+NqMc{RmWtq-1q||FC#U-`v4oF1 z7RZLCAQ!4Dm;PC@qWThXoVz|+fcFVld%sx48kdv}P2mr>B|*t;PfVGyl0os+87 zyNQN*p}bmA-Rda(Y{k)NO<<~kNZ4;3=I~#&9?EGXy~~)WA;=)&IBu)rC`uQYM|iw5 zf4Ojsauk2vUqs%7SmT}j>!vfxdJ_l!Z^<P^y<7K&Ohj(sn zV{>oY^L9De5<#Uonq)K-oM_s5dcMt#4ZPas+~3cnn2hG#pE?mORf723?VawXPx`uj zvG-`!cG=ap&x(U!n0EW!c^cIyD2WGWlhXsyxw*GEi5}0>Pr}A(lcVo29F`9Ph_eD$ zHL7bJq8A#@unKD~xBiq60n%GhJ1DAa)lJWGKI|-$_Cpj3guZhn32x;iZqFxc5Qjah zFQ=k>l&1$X%!~F9k-=4fQn6zv_U+s<_?ES->2lDGw}>OQmTqqEy+Z{RPHQQvxEOzm zOSboOzrwiDftDpr?1kIon#8=#yc4*BpN~qR4`G%4@Z>)z6xdqBQY$zl@vv?(n9;^_4-=l?ul!>3AB_)6>%hS!gW%4 zNr2!w0FjUdCI*T%l|*GRrDZj1(m|kY*p#YTT|Eb*2CkXVhya2J%Y63w3eP`ev!J-6 z*aDuY_Nm3eUU-Yro#`8sk(Jh|Z% zh|v{@oq0zQP2?q+hs++3dr<^Fm#S3;{t4_~`PLtpIFS|4eZl`fPzD5@Phz3ZAS8Np z`d>zwM18#W37O43i$) zoPvg(6RrvEY-xnSJRT1&vR76r@&nuS z9Z7zeKAmJLIc}eiHZRq0knJ6@8$&%6erT+&oPFBRH+fl!B|U1Tho}*6PIJ0E?Ue~i zkHD-M4QIE~gv-?N>FTq&FKC~L!PV48if@%1j1n>Ig=h=`Ir9f1n7|D(v zdr?4#QF2q(WP%H&TvV3kT6f0N7Ak^hbvdflO7qdL_*&_zU{D#J5=2gUGb}s1h*fzr zcA0sT+D1W*O5ESOO+!UAZ*R>77I5=8O2m|yFXrLo=GcjOd9st}{Lp2+Q?-)a&S?zg z?-63Y{sX;QI-98HbcWPk2VKVMQv3dyXz#g2RJAWwH^T3#s;aIM#r;pG9xrwj#z8$E zUPUw6?&B(_(N#8(i%Eo{7}CxG&k^@OSngdm0BDq4jOAo9*(SdGWIP@~+{?DcgG!R0 zE0UFNZBiXw%OeYOC>t?n=-Uc|6y*ipcdHA$dcr)~+XNw7B zql@+_@GE{wwS^K|l@2oD+Z(~2i(MwQ$wds>0J-2(ho zUM&5{bl`K%Ddh1{)|S&a#iXYOq@ST}q(Ug#AC()s!#sYE=)U{kkGieFO!6Pf(pw{` zeGYbYO*RDuZ{uD3ecT+cn1UYW3!h4s#bI76)`akX9^eHdvU%t8bBR6ww#QbF9G-q6!51P`dYLgG!6e*SXEr zQH)uYzp{*ot;|^HDE93Oq2qw!*Z?zpJ zYUR$66a(7V3i9%@SA+Cu<3BWWWI6Ls=ZmovQHToMt^nCY-}3|Q0Ec#+)^M~WKv!cc z_^0P_)QM5W(u5;WC>+9Up)J5@VS|+D)GuKJri&t8WYG%6tU>$AUm0=ah$>22FS@1J z6Tq~E)tv_7jE~Rdzh#P2o+}eQ%u?sv^uyEOnaCh#X9arGSd2qF9g}PkNQMfv0`I@S z=MX+vs}+D*mH|~}_h*4s-klSzk=YSOb$Cv8yg>7Sm-Bp1o`fAfnyH8(NBxH1^}=!d z9ykTuZ_e18N{cvsXAiE7T&?EC2fR`T?Fm7)^o`b--9bEb4uNSi+?TSC%R?55*w)CQ zGXnxH^$(;b{tt&0`C^Umpa1q(|5u4d3zOMKq`4sAOvS|*p>16d#VEJA5yWdA_17o+ zLZf}d3GhbWi*Igi4Bis$hE?xkYbLV=`O>19%tZz zJ2(~7Vt=T_&WFkz1<%+SS{G-1m*~dE*eefRJTjL!=`~Od1sA*Sr~EKy9X?Q$RDZc% zL?lLa+|TPh*LgoBxJB^wLyfGl~iNO6*8zlBJ7{BHU^Tk zvIUM1h>fC)Gy02wYh}uDja&m6t&kzqNH^S(ofri`3HpbKm^t!C)jL=z{AFSx;^kEr zSZ$82F$6G{f0N69D~1rnr6%f-E@#_qba#6H-pljagsI0Khjq2M?%d~v$LfBXJl!g& zA@aO^qvI?1ozWHYp_!lC(c<=g4RP2Ox?$*I95n|9B;DYwck#X)tnkJUP3%ibHs9Xt z!)lXTR$HSnUy)ev|AppeyE^o$sJIgT*;Nf1S#D&+s>-M@kyWcM7TY9~ezh-bk6qA#4;VbB$}wjp365XE^3 zLPf$4W#@25a0`#wr>8zh-W<>Y?|vr+&Yte8sEiM*<)3_Vk-R*Y&67u{HcUGFZa1@= zZ3D95W%LkN9GuvoD?v$X%X(uNg@SAI%2`VeHts_|Vrn9A9M8i>?f2&-{kT0Nlk|4d ze`mR|MDpRTK7mT8qq`f{(BgjocXX}&Q-MUl$-fyC&gVVBmtD>mo1dJx8v4YYq&&q+ z)&C@@l{#u0RXZ7CI$xXm7DPg6WQD$v;;~MB*4LSGL@(9`SYY;&)tw25FB2#hNsnk< z!%BSRR;wCHl_yKV1J$e`3jZW^wGxeeBrO7ZyDDjS-3KPZh8=%Xr3ra^Oli-!)zzvj6#_|YL4Aw#ejsv{B&kuJ z!DxJvQUZYKo?Q27Tq?c~S0fTWDk>w70oI;p&TW7xDIJHXcLAI7t9)}3Ra9g&hE*2P z+7C@JI{-Hky^FE)X{kR@rk}GJsKl3IRd1lpF~@7A@+5;-L}N zI|#oM6xTWpET?ZHmrUwYv(VvlwYWM~?eXRZm@UttB4(d&i#MGh047%~<0ivi{T z3?=`Q4t*^_X4&n3)_8o^woF#HP1@Qn?I$+ry=Vh$3 zroE069bUp$GzN7{D_{VUJbe~<@72}I7WwS~M@ZA6y7X0N?$QU3*{w2$5{+Io0ydT? zT;UM1+i7NT+JtDdO$!b4|$aR^LAN#J%>Au-{S?P7ir+rcFho@bTJ!z}wEO8iY;Dc#_bFBY}K?nBM>-EmbX(kXI!<<;MMFv~&OGNM3+@M#A-e;-~4> zQM#+q%fdK(s{WJs^Z8xtX?!dxCux2b(XoZ2@K-9JrKJs1F)b>7bK^3^3{<68_0~1# zBMBEVD~K+lp*oWgq-ntbt|opgoUs$K6%fQI%^;TW#wTy9J_-PWEIo^djEY(54F)Mu z(Xw!%to!QG>KBDhQBH(QJ?H1Lf_0mE##`U=ofH~#Vr+wvKLa+5^?*di9qzZQ@!H32 zv-IIrSWI;pCf@^>pq0js|3LNaB3`76XWjR!aQ|b+iVsu|J3$Wx_iM-Ynrlb4ShXs% z@z<|n)+C<~U0%Nx;+k=iBFUFR9%neecZ9bwzILg*p^I_)6S{|ZUcg4^E<0K5#REdN zOF9|1G(u$awGfZ!xZe*aGfXwQR^!FpYjA<1_m)l?X<)i~Uw`Do%uw>OKNxr|$IiEV zow|r8-szjg^X7L}U~NHadx*Lc0XaZ;BlvA`Jh77Ho$J)33D1gdX$Cv*># zSgpA^wQ>sOYx^JapAHj)px@m&?SKrnM7DH{b!74Qq5Sf#UxamoyL3a%oAAfb5n+oc zc^{v+^Jv?0o#xjK{8Nj@u*KZ$PdyFLMko|X(}qY+V-V7Hy;z38nN8|kT|`nVZ?7Rf zfS)g(!yf!#y@(v%(vl7-X~xZaHBLm-hLatE*;hljh1?!8d%R7+4VG`UYZ#PL_Vl}( zcwPo})Fvh;yC27Dh72?&Ce9vaGket4YNy46*StQVP*4UQJVHqWuV=>|(Rl;*uBO`z z;+IVQuCEIG7Rl%A+k>vhO(pOC@VcIOl9(X>-VkwJ*ebR>%s1(%045Vx`M=;>zqsJ^V8d&VIGvx1DSuh^nDeJeF*FURdaK zt6qvHpN*ddZK}2C=S^)Muc7R(wR;I#d6;8Vs=HDp_TRjvGPM{NXKTKBC8ceSp@@ts zs8l+yStICSc-yk_wsUF7X|MmWm^+8lZU1H>?{MuMLKcv*T+B>JkCp;JvVb5vY}GV< ziH}>@m==bM(yY_*G^wLW74A*7YKXDFY2u+17ZXb;IKTSWJTdL^X|vn&y02<(dWy-F z2=k}6^$fMpFBpcKaAq{8z>=UXsey)*TtDnVFee@z47-KM&v7+7w;8zo5VtEJK>4Xo zTt|7~L@-igX~Eb}z@}*Z=Z0-7RUtY_CTV$l`C>th!#K-cKo_re6ZQmb)9F<<)1*+k z)Z$mt1cXhn;fIoKD|EL$;Fc*fm!)ZYFI56FstuJ{S$ApDVQ>F%<6)sUb4xR@UH%HF zS?Kb;UX4Fqis?Iba-N)gmqF|7#>)>VHeV;9D-Qo&c=i}sKtGf((-IJJnkf0i}tF)Ff63>8>ftJWS0LRPgR+V2X@7H%R4=}Jw8aVHrtV4I~ba%}mY z_pOwS$F~5ILDf{nVyrRVCqGF6V)U$^&P*OxL?tEt|5OD%#|N=VopYh{Is4^W#ITfS zAuiqP6wbbw<$w_fCoSy4mFiUIK=0??HJVLKsfpI%ij98xH`UV0h&up?pk0UKZFM!d zU5rJD%sal4#njXh&8|kF{#VW@o)+`*UnTJTh ziY`l$rI=a5{jXigg1fZYt2hFIK1Q+{Csj)yKfO-h`WI43--jg{6P2U!)}bamgrSL~ zQI`mx!~z+#ORvt(_$C!KAuRf>9GX4`rRugbaq%*_iv6792?NJ~Nc8rqlS`!_yd_e& z*gb-Ba&Pu%BYr#5#s6^=0}nfoRMeKjtrxu6%#SE{krQbfprI?K^)b82XNx5>d4OfK z-^A06Vdb-=ZJ9HaL<|ysWhUdvNX6%p6zpse!Vr>@qar8goE$H-&_+UQz)oM|;>uY5 z!Nr{p9pwTtk|9%uUt2^9d)&{T-NRq56Pv|QaLt0r3K29A1Td;|ZS8LALzey9sxpkU zZvxrJwny`|)WZ3E2AxkxxD}J-N)7^_CMTv^L{a3f&S#pl_d6CvuIJr0a4o*I2}m+e zBnI8vD7lLqo00#h-|SpH`x*~gC~~}4vGF#R_U3IG&7FB6jVw9; zT$$2Bi3NUOO6#Zl*Kff*uWj(rDi^ZsSN@T@_^acB{pSy@PfuHm4{&1Ya5-~d4xV9t zt@PYhT6*Cz>kmKx$rKva0DD;sx~f&AK9^NoN=FhNZ|1(d%GvuvL10gmUMQeJ;CZp# zB;bzCmh{b@V=G@cm>(pQ%hx#B91hLujZk?&Nqnp%EVP~o@l#(z+z-SC}wBt z8M4KCDMo3->R0-+D5= z&|(xIvgS`!O<_zrfx*#g4z|pM4Oq1p$l7M zt+&&P?Db%GNVX55L&90k%3?#(V0wXi>S+KH#Xch5afh7Ln_vsDmPGJpwzN;L`0!o9^m}81R zRJ3zR77Sb@2L0W!Sf<>d)%+oABs|q@j)az@o>&~lb`=rRx|S*Ve2^#kl=`w%zj=Qc zc5SHCFBWifcpC3->1CPZLUxRl;GMBTSq@q{UV=we2-Qi)W2Sf8^dk~_s;IOCq0G#| zPAQ&lPim6haOom$THV<(R<@th+4{oBls($(j~6*EUaL4k=kY-}KRR=1ytbVF--1=1 zwT)v|{rIi83&Sziyi@V2ALEUUO{RbsMvwQ^<=L+@$%j2hsY~)6MP+LVS3Eh6o4v5- z^v+X1%CIiFMnZ;d4K72FtOE%({_HU4g2DwI-)p)VO(7{TE;%$JH@w}y)M6{* zQO#Cj0OUg=SdeRQzU47idX5kDCp-SOtD5S-}iIgl~qpfAVk2?r4 zfQ4+SFf$>ywrDAKGFNUW%2J^+end*fJ0ymSN-2CY5KcoMR|j(p+tQ-r;rimxA|l)b zfB8-GxTs3!5!${P_!5TaQhKQQ+aa8Hh*4lK_?Mi~XV+Y63D@n_R>xR~fuAF9!Va%m z-pcCgT~5KHNW6oqn5-TCg4xHi)yM6b>&a_iKMDrkW@r1e>GQt_&Y-J_G)^UT%_3lM}V#%b!CK^GF%%qo4bXbN(^a z%al<=Nhtz`*Css}2;~`R@yx*tDrWQEhfwg^TDUx=Y0#-79<`IW9=yfiO3s`vM&YJO z%_UJQo{&X)q|+jc@q*1XV3Vi6dFge|pMSr@4ZW5;y&@#1J1@eYLZ5ks6&jA+_hZ*EGP}F3@kyr4HdCbb!KNDtaDMyIcx_ZnBv^Q0r%z z`d&fW;p@=3%7r5(;v9--L~>)C#>LB5Elt5)hO8tA?FHb#p$B7bMk3BE#FZVxtQSwe7IbSX@mg-FX zwxd60avJ;IZrmo&NZxS1927hansx>5rq(uhKKwL%sBFU9ko-E8CmG;Wi`6?E zy|L`n2~u;^u=N`5EJlQ!wuhAf3Y%jZa?Ak^7|OhiyYGh2;jM}xb z1Ya=1denGpz_!EKbvyKpslb2xX!4=cr)SY=a(eoem*DgM%kj(Z@z%f1?b#U>FG&%% z8?FASY@2sd76O!pw?tU66(#`eH>8cG`ogI02F>q>Sd#z*s3$voA-~Nf);~C~T>ZTJ zG)d3cl;EE)S}!9Vg?rN>yrQOcYTq39dz}Ox;LV~`DS6-}s!zoPP+~6i4=-tw33cx@ z1}IV70l{&@t)g)UYOh$UljFe%yV>j^rwAiSG?pf7FBvAuEkCP(S^w0X6mbQMA)6E? zQp3<{88kczZxOzAcP05Sm)U*O*BhpQ9AguZpEVwE6E3J4uoVBQzK-h5qwV`zjzS{! zgwajk5~8{z@%ryQEKhoCF(P|p@$m#1pt6|6guNLu8ineZH8)RU0#-^#RCZ7cs=T9` zqS5HT78bU2=Rte^9Y*#%jE!3ILS`B<)BRr+c;Gk%bUrPX;du(|8{MANZ@~ke!fmJ+ z=3-m_@8%4#LsJfXe~}Xcu!AhdW%Hh|PbiCiKgRZYPR-l=MY`pGG*Gl)O^JXo%nc9* zODBn*KErO#b`9IZmZv_=9RHnEEy>$YQ@DypyP>tfDk2?@ihEP!wEE3Gc&1|uHYUjO zLWgX@Y|m^gP!w}sxA;}8@!KDbtmY5+_Nbw{rekG{ghSQf11CK_OH9;P5yJ#?S}AlO z3ui((C2|BYu`-!eBGR7c4C|`~;Z+4;UNzAEQW|85ORk%^QKyNtJhCtCc0r{thY5&Q z2lA+gB$Y)iqo&7L6jz7Ui4j&SHzwnQvJ_YT0YYrYaK8{02M^3+x6%SZ35vmm;Cynu zmR{~v87gLZ>WZJ=e&<>TYtio}A(E4qA$DA@V)(pVO0|`pMp0=>Nbj`Gc8?dvH1)qk zhW@~ff#|Z%4@1H?)?W@ydp7Lm(|u0QB9!*tS+rRjXHi(F-_ zTir9Q@!4%S<@s%bYw~`GF3902W-fQKGjZ~jxx&$COyl+aV~EPy?b-9+qmRP@ezxbM z*Cu?OUpwB^$f>JUGg{E1u@Ok8^V^wyMiiL~Ka567IdxIWv^s3pmGN7b5>lyn8w@Gf_23T0Z?WweA!f6iYK`+}iO zP7%TzY8k?fRRU}I#{9K*Lw~Jyl7X|!+Z|F8PB}lz5WV;Q6d$eq=9d6o|8`#!-5f^v zfOo9#xhSp3>ST4lMA!X2nL11l#+{t(1QyjFmV}(&w=JC zGdXM#StDteddwxnBPZHaM+09~D85Q%ywBeaCYYXzj;F|=gTovOlZTyao8Rp!YmV95 zvsW{4g%E?QJ^u!1YB$h+{yk5x!;;1>5kefQTsuH#oGCNDN$}0g`$w4{>`?`)y8C=$ zpm0N4FoqwCRnA=K`r>5q>?|?xCRXVA;B2pT&8zOEM)F?QjGwEsQ*P${>$e44ha0cW zo$cG8jjk`fPoi#<_aO@;DeJG!7D-9XupLVIbCD>bwF^xuGDe*sqsH(Bo@Ux2*He_J z>NbTZ;vJb?2w`hRK%rBmRNn+<^^uafIZ9dWCdexeX;1)y5;YK;!I0tyQuwCDKvY#- zNg=zq+9!-vrk%t{Uv9AY4HflOATf%rHeD<$3gMVAI;giAZGaG%&!^|uRSDrgt8=M; zIcI__I`wS%oUPdQT&%j_AV+ojY~qCZ<2N1{Fxv z#2+ji`c5%)3-pBrVOBZ+{W)6xXNlO9kOGKhVO{x->A zQexwb_>-Llq9y9m#!UXGx$W9(duM`$D_f{})JHEwYq(19JWF5PZNbG?_xaldfg*_o zaigt(gcU_-jDcOUbwJ8)dRcprhj~_K_&h2uf6O+0JXlT)mtJsk^<;(!fhITJUuL|> zrTju`p_6$p8vx(%%(L@3vl4xsPwpIP@HR?#_d9V2iPhbV zlG;w*SKiN3)geuNrX8-==@t2{KX(`Ava=HF;OCNo*OFMm?_+57Ik%rfZrD`?yalyZ+QjwBTc^EbLIZk#AUPaZ^~%s_~LIz&op8gt|R_+Gsl&n@C|eOL8g0FxW~;zE#XSE?NANpv!FmpEly% zJTtJDSInNPIM|h|cJs$RSMMSz9u>fhA&N+YKT#}teEy{gM^IqMV81E3RsR<4hsI&t zUr={k%Q{;Bc~w~ryo86-e2ES@41{vMP1$L`2ETpyw!C*?5L;>2w!r^Cl3*6~DC?M7v?BpcPJO}p zQLgvSx%05;_!vSQRAu&FdaVvFDiK#JiZ=odc=uDU+QUratV7(%1eb7{XRf7dUk&y~ z7;pEncsS$W7tIP+tJ5;ava%$f9Fl$|scit_6idCKP!!KiEVNSWMJ}0e3YF5*R{Y^m z8(q?}S`B~jzKv&aG6%=v%w+3bz73JVYMs)Udn}U$o~EbUj){R5KpS3per( z3Xru)86r}_vDGET?vd9L^*xw}?ng@cKINfYsj%RqBvW9=;aA!H{=)cWlgPqGMLJXw zbBc_uxV)6$_H{AgpYcgmCBgzRpT|ha$C>Rue<%bBg>LjFj3Y!(FQgHZN4+EjPR`Dc z!;*LPq*JDRh#L8){9DmZXU13CelQMR0zwlyBW0t&8A006P*`x zAnYGO+8Xcxk_#}ymAdCtwW4}>>mtR+dM41e@$1lA2769@0eZzALCm9_Wn?bbQt4sY z>M@>mK?!#&`rItO8!Suv`a{b^EmjZ1?^|?AiA>tsjF8xS@|GHl(uoy?UJLL*l-B-? z;=6F3q}p64Sotk+6o3Fh_9mhI%BK{73G|%uyTFasGmJ-X#-YGjic9U;%FoO5*s3Gb}ssN9I^ zEe)i)WybVr+O`@Ljs=BiLaDJ}=9-M}>N#<%&!L4JZ^LgjXgCgNICB8_jB2B5!fkX%7`?NjaMp83kSL*9L5l}PYliP z1SC+A$n5~+`(ZK$ZdW43=wE}jRFm+Wt`*}g4Be{ImiP*~nWPC-kt zB_dzxHo3AJK4?>1=y?X;fVIyZZW^wwuN>kwbrHN(a*7qwaYQkr6_kLkM#Z`WJ><+C z|L+e%%Fm_~00YQM_+WVJMt+%%lVvc2cd=rs99B1J)O&I8=uHxAJ`#$SZNr0@#P**5 z4S|+GhRzyDlLcn8WlFbjC=DqwbPU-rGPzODr|n;X7*jVHd5i6b4!8hVS_)Sg6NiAr{Y zgSyq@V^dOw`3_UjD8HV{Na&%J31Pq)Qi0BLxhKy%<<>cWs@;Nm6>@uh*)_Ahy1CBr zq8CEKZDvj7(ZZsj&nZyJ*co)*hkNmGnxLODC2&a0IIMq{T&GanT+=sk66aqt`eu4= za<;jt*VDP6r-#cJ5mA@`{AvcQ?PJw!q}<6BDEYTx|#!Uo|T-W1Ei97wj6^ zuZ0L=TT?8r-7jW+BZ(HDW8aF-V`L9>hxZPu$jj9yz%j9F-xc6Aj)r%l?>jk-BuAz7 z34Da5`EUXi&4Sj3wIBw!2Afx!Kuf#KT_l7mEs8xYWK^UvKqgz^6(4nH8Ed5D%D46x z<9XMYw=r;>)LW^&E9T#P(PR_^lsvUI%U&wu7+J-5n-BESmitCfGCT?5aL0K% zl9?Fu-pt}V>hj&5=OdNPPEoHjzMS`PW2!-nlrnr76Jqr!Y~EM*Ffp&`o^w?~CjAU) zZr-_=9Yw7ohuUn-ki65Sw<+#W%yni`3WnQy$mXzNkm^an7jCS2K@KM_X|H~ayhfDr1na@A+vl_a-l1r`Qgusn z%M$6rq{4{z8$(b|V#WjqP#fka=g#Hjj)I5cRuL~V`w!Y{orsYIoZIzXmBNe@uDYF4 zuW+M0@rc~e^y2Z$lyE*A3pq3hfe(8pRq{zX0{UD?EB;_E!Zt<2y&X70oM{u*$8H@-Y3}fCdES@2@$$M_bUMisl*gh4 zHP+UKumsO1XGBX^%hQ%tD-UHAf`B1IRQmmdh%VZY&?_Wt1u1$NC!#j_@yjLvOOrpQ z%mxsv?!e2f9J4f-&MwU+yu+l&up@31oV*Zhp%|_vo0no>bYcT_Gf1Sfl-=X0&{xf+ zko|_o%X0!Q9`S{bl4(Y`A{oXkcUETb6?eP|4HW5r=2p><%cxjZxMdcPm?`As&rl}H zMU#=EKbfIIFDR*D?Jw0*G9Iy|*jv^-wdrhzHk)4-=ZIggaf$`)-}`+)kZw)y#|un? zcA+j#buLO`zm~Co!oBE{LU_PmWq@eWsM_W>HrFO{;4u8gRslt`y4g8NS~zbI>}2cxt44C;|%~YZHS2+-S|1%rhW1;93isSa+INP#X~7DXEXny3M;PQ~b-# zTJz+~K`|_Q>KB!uuv|JuLgeOj_sVA?>$wG(%(|E;5nw1ijI7)DJgQC0EWCT6HvXrk zi4u&nPe>z0Uu?Zp)R>Vq-d}_CWD@xDJTy1$4#`9M`>2p9xY%w0@nO0A;^v~4)0bw#aF@atb+7MA1>ew#7=?4YlR`?l+P&DhuOjGCVTzU1lyVY*L zCCM%vaRX3XFu2K$t9H>gcG=a_&357i*Q>1P0W zk)kZsV742@$dElg(SV#lv;*(4XxKx}EqTM&yOl7G+tS+n5uzgbQkhLhi4iZIOq;-m zvZaLScHkMoO38n(0*IyH(lLf}Y#bj+1Q!7Vu`gj-GYps!C(U2@LFcR7T!e(Hhx7$a z=(N*pZe`8O%lGN`>|=2xs;fzA=G0rCz39P(JsG5>D`ft{C{;pw#$|9s|Ww zA}KqMNj2^Bc!_FTT%y*Cg_O(R3{wY-KH5OZ=d#FlChSoBtSrFEv{p*MvBbu7cwDF} z>#LuoPwxlt*`&cm(%J#can>E`ySs@#P0@K7Gqd?o+NCBcG_}r;qj*8j7+V4FmyQ4Z zgVpCs<6I{^)20B*mu7^Iy)6T zHGr8IjHX4+2fnTmu5$!3XHjdE30_1TxwseW*kZ>&xo9;~C)TKGGqwh6cx%(vFnR_^ zjjqwx3-ghQdn}UKs?O^@9;|kfx;*1Qe}=Te_i8m38A>x(K)T6#R?5{Dn zMPP2V^j0okTlkg;RiDA_qlN~-;s{r&j5q-i7#y87Ue#iC!JtL$lCG&>crU9BeiaX; zRAEO1-sN1i{z!ZvOqL36MNlt>dWY?s8oRIda$Mfg2ra{3O$E6R4!x2lyzgYWRFwhZ ze8q1X_<}ij=D9z(pYMHk?jO+R^KfH)9g)Q@N30q~hldiJ&3I<6I{%4KGA56;H89oH zMhpEhQ{*SBCx+t$YQSwqVvTWZ8ANBtG zUYkJDmpA(rVpTFQAVO%4kuO5PB|(9H>LHT|wn=8)zHajEe@4i9^oBGg*{AAPt zVkg&XRHBdbZcH4e8{N!9s2!ofe8%^TU?jv;#MnYDtkP^d9_9YvUFQhupyg!EPu7QE=l zH}Pcp{AcNjMDd&+qMt0d`Irt zn^xY>AW|- z_b-_LhpTf6uPp4gbgYU|VO8u@Y-7i%sAAg{RBYR}ZL5+U+uX5Lv8~?cboY7s@0)eK zF4i~KH|HGV9cQAOZBCx1wh!8p+6XZifRQwn;S6$BW&OrQM~?aZiCc5?uzO z|K)r4N_p)lG(K-;ZSIPfQ{Owp;%Ow>^_q}<3p^EL5T4qre8yT|C|R=Ke~R}`92qxDliJUKG0(5o9Ol*1FIhbbA0|3&(pKVh}mx{ z)4n3T;^H*f`L`Z5uN+~ldA@}H5PeBM7QI2oDJGAMNx1`QoYmP8^4E*zhaxshLla&P z*e1s^B^I@*bS3RP3{b^Hv494Y5-8;1KoZhKI2()tMc)gEsw90WR?ZXfF>jqpcHFCARA z8~jdh`%6;-?hhk$e#Z2&t#*2^|KC5w#gW#b+KEjqOvm~<-MBAjrmr;j)C zzmEs7Tm@`5v|@kD5k$L9Oc#qu7ztGeoX|ap9I&~?GmSU=E7i!9MCU{kz)D02>dBK@ zv?$a4>t@#m{)X;(jU6@C&(~tuN~HU2>e03LDDRL=U}+a^Y<@ozAsad%IAC%SqHs!x zCk@~kS5LBCdgGa&9E&ye+Ku_VzstKO>YulMo!c4*5wBLcKVcxnqX)=M6g?%SnewB= zyxFl!2OXD+_743F-s6LL^)2%3TNveo=N&7Y4@H~tA*aCbFF!;5VfQs^OI0;Er9dd# zBuzp(f}JI?Qdnw%hfFv*y@C)M35OJwNP9fGk4GQd!3dh2se!xM1S{780!my)LKxk& z3^KG6&47DC+_10+-Kb#zfoQD7;OrG5FTCT+i^WizijOKW7i5#9e2RHHGX_bKK@C?% z8Sgh0!FMwu_6Swgp>Q{nI1A>X0T7+yzI%=-8EY3Cn|#=OMM|R)7OV_Tc^Ual{R`Ni zXCjAyxj?&MZ&H2juY!*as~Y!XvX2f5gK8Rp#40nXH5>K^o?^(83z@3LL_B|>ecY;r z)V}7QsrcuqzR=^@FG>$g1KB0t$5uU_zr2pu7X$~hu6mv+DezJjoFHh}2BIvO&|6nJV;w`~1>2XDc5V z)_46DsSgDDxW=u zg)CasQ@(zH<6XTi_cnV2D?bk_YqLCDz6%rdGY2pQM1yHREB4^yn5RPmNirimdhgk> zu~BUvTSb&!Imi|Xyi;sk>Uh4MY=k%exV{9c$&y3l+MuDnI=Mh@$Uknr3=PH#$+St? zOwcJB(qYA6Q~1G2nIKDT`kY2@PK{D4R82Ia3f&LHqcMGEG&3?<=cX@8l z(o4z+I~Ts*U-j8UrysyNu1 z+nE#hd!A!2FE8#A-5A6Cp{{Z>pPO?rzge0|5hp(1KaN!YD=kY=xYTI3dIUfjg#}Ak zcBY}+pb2SEn{$j&J zL`oAw>~nvY$iiYz%Oegq<4Nrn>U)Cn3}BQX(NqQe&{YDi>tM{_ej!xl84RAamMIYB zOmovZXVJFiLay_X9Ed2Ht`=fAzm2l49@5y#smj22Mv@l{^cg5 zRH3?9pAe(P(Uib2uOS|S-Y2DPo)%X9!+FT$C$@NveZjzx=t4gKeihQ3FbO7l9+cGZ zQ$8daeF{H&7y)y6Tr_=W1ka z72~&`hgv-LP7d{rdOEL*L!X@whnL=`D~j8z!)R|H15Ty^veuH*5O}o778VfA!H!HwP)(%}R>K~T+Qo5cIzd}yH)pZE4lpHpTW_efUqZ`V_!b$LaXUgQnk zZl8ULgg~q<-SEP@^G6|%^I-F`n3a@v*V5OE*VNBhA%XH{Ex2;aGEWQ`b0~+6<&rdy zUiSqHAFl(Dqq#gd;_vWg<6*aV$gcY#0ory8=y~#Qr?%UEY9KyP$Nlr+v*k1%h3!0-JtCB^nVuxJ*3( zB6FyDGw)l3O$&~h`R|mY`wWzv!N4DtAr$4Bgr9a1r^M4JvCkiN4VJ6ZdFba`ZRlaq zl!Wn+GiZ1OGEk*}G4>_^451VIiIbcW6nXENMP)IT6211ig;5YhL0m+oazFzV-6lE) z_HKC58wySxo{{#Aq;ck9*nKK%Qg+&yo|Y1Ru!tIA+IH~R9uKQv1v+hD^H?)AK87QM ze|j=TK#Hf1!(fFUX)zfwfi+OfPlHgL6KRu0Y_P6EiKHw76RI2+-@*h!qeR1Cp%J4v zPX>fVLj&dCTjCU$LX0S5xLbw)rOYDWfZ)q+#b@$HF9beHc_Z|A3|oGVT}pmj5_;zF zjU@#pa)4n=O7#vM=1=iF8H&6FG&UQ6NQKHIp(1S|b@JG79%~(I*l0{rN^*QtqvB9rfAmbxkHrdyXe(502s-3;!+j^d&DYg3_ z8T@}sLn-r+3RcoVCu29sd~4M&0e2w`n{k-##s$Dbro1`+TglxuSZ1Y23T9%m(&Tte7q zdXKTbNQc&LtY_$QFag~zb_ahiO@2OK0#hVN4}+GGYE_tScs^~d@xB|K^hhWRJ4i{uq}{ImxhR`+u)Eb2BAz8I30Nu}Azda6$(cmQ2tL@>{_1ruxjT&E;E)>OxaJf@o$&3}fRY zQr%Q!GFDd6Wp`LVwtyN9C3>G{Wak&+EAk~wAUkiOYimjzAzb+S$JOn; zUxUs0y!D&rinZQ=sa=1iz)eRxzbTzYc5jcxzG5tcMk2fP{M}3RDH^A%0@Eb1o`Bb9 zZ?p?d3b&qCw4WiPkB^xHf|uJtbhfKR7#0EEKh-+864HyTrHbc_G$o1$#wGJhBr) zre+zBs&Jpa+lj|!pJmkHZ}+K-e(jIrq6@i)Xp-!#>j#!9PYRNqorHOZ1>V$mlHwJ4 z;@>74cFLur+89`Rwit%}A^6}et`eoN#B&Ukb$XggaPNc!(A>-j6JL@UGxgb!a*~rQ z;HUdi5rZ5|JS&1sL9uJxgN8Az#pS|Y1HrDN^h`XSoHV{nQ5^zhE49p?; zEd|0a2&{jd;fxVCI|}S{QwA1nG}IDVmyF3pkS=e9#Y){rI_ZO?enu31UA~VrtTy{N zaQ)cc-!)oEQ(04%;z6G@(NjKCsCLGBbn+;0_Pj z+kjeJE~@P+S3OpvY?6*Fvu=d6vbC!UZTFz530hn1aGFRW07f;K%`oh!A*h8;nRFN$ zlB4=T39K}#P=yD}o4c2eHG%hzpb}&{N*pxF*jZyAN)5J&P+6Lfd*aT&69_$yq2P^^ zYfZB&-{-?AlUa|#W}D+-I<&&nApFtS8r{F213NgisJ)un@O2GwIowb!>GjpAIa*f& z=9#l|aslLy>YNdLuD-xOZ`QS49%qLy%_6hqix~;-b+G z4g#j+zxt1^gc(B!n$#ovK6Y$L8-EWm+J(~OQ!fTxr)Iwv5y1Y6Ug7A_+b=Ssk0n2*W9dSJqJ+Kd!F_dN8HOzE!QFk2}@qA zvO3o$?C~$q^Ada@(M-@p$yf5{CWzHo5jkZEi7S>x?VCW6eOp-$!{{_OSiXGJu|1VG zDJb{{WiL*O3GVdJ7DrZTV!W;_GqkMJXkm`gLO>eE+~e@onzsXEbQs)U#%w_6scH&8$} zR}3-;ap2Kyk2V?_wWx1il6p^A|MEk}%Slo-_IKr8)}T3VgTe%mS>GuI#}*?0jB0k~ zmETxuU{Xg!GJY7W6)?iBh?SHw<^Iu1R@m`tQ7znQi%*kwNg{)V%`)hlcUc2mDJI1J zihWis6Ot*Q*J5jtN3Y{{`|urp7_H`QZ|l=VM|S!-T8WADC+0MQkP6H`R?F~ zR-$L`u+>RX3`7e0x}uj5hpkx+sEjbC)58!EP?JODC_Vn=(#a$HB2UqU5p5L_MESF$ zNyYbKpfVIUgMgLw*aFfYDbCCQM%4TAB)2S zqv7P0-M4es2r<1!IX3v%->=7%PZM3IKaW0N`W>mwbNOGr{2P#1B9&qJP1K3nMSsu! zS|ck_x$bS2RruzM5%v`qt$nhJkH#wg3t325II@nClfL`430P{hyy>x*Dx|bTi0YD` z0n##`0^Y5!;C4Gc=-_JGbvie0TENbdxD!b-I;IM?-}H_4D`ic9j3BzbK5u~c8*0|J zmB8lu>};?!mizA9#oW`})VbYkw?DeLpE?!UzJAu{Nc~cqinV1Va#@0`3NsDrmm*RH z^ktqOo;CS6a8v9(yc;Ddz6d75@^7P+&>lV{Lh^KG6klW)YmW79~7O+Y8f&O3J?ek|Xbi2JT`|e-q zW!Y{UXCEX!Pdpz%7dGf9ss#!)I^Xp0C62Meqv6lTx87D)4$s~^Q+?m>8cUD3fljAO z@Mh;r`feZ5u_2F_&f!7Tu#Mnbr`SL98US(1s2l>uIMc9lZRm$)@liBAw`IUWv4c!p zOu&q@-7`N=MWrndCF23N@u5KgLZ(I7%qT@eL>A$fXo)WA@LhoDHq9SBQS=t%u-lrV z?m)NTRhkA+1p-@aLuM0A#LCKw){oWp{#FoJSp*@% zA$5fbfDO6UTo~UQW%g*(dr<1N&jp4{;};6D_sQOTF%c#pDZ2Y-`4D;RpdvCcq@{X4 zfaM&=D?>@>jFeiymT~1B!?P8^N<|}0L;odQgw8KQ4ZBeokxDop0iuko-H8~bEKvX= z_Y)IJ1Q8hkSv~_?bDgTL3L@#VA}bUT662MaXyOuy6UE0?0R+SlMddEy@>tC&Dg_5A zIwP!&ME#gHbzqsbK*HOQqigIDMq*}(MSb`Pm_wJLVj)D8{(0Y`R_~N=auG@5`wH@G z-g+L2EX~2(?mK*`FNUVALl^h)xgNS-o6g}y-3Hzg^Kn5eje>;z#Z??pHazx^%y-j0 zY}(^y)YQbmxGv2LzA5YejFn_9rlaWd0`;a)O(xp~d7|rtN=E z_atwHi|46WNMQQMC{lvar2>P{I}=Hgbt)sMl*vt^P!LE7Q;xea@VOLK_a+0;wzkf| zh`Z@;8mA%m;~RL*5(xkqR4o|8If|cv2=uJ7Wg5&`Mb55RkWtD&1 z_4Rfy=L60Y1HD1YMxBQ{W3rk*BJc9oELJv2N3hc`W zFL2R5uCMBszHhNUkJ{taX7R19wzb3=d=pftNWu){w*vivVLOYD4V|2_*a%T(yt(&% z2bt;$L_TA$LG7db25TWwL>yjvU>{FEJmJ>Mi6zA8Bk|jAc5dg>dVBI9&v<%|Zima` zUaW7)oF^C#;(c2_*qr&u#pM!q!YP9sm~a@l(cAqw-YPHTaJ9X2ux8Dte%b0c)#&x5 z$j;aPdGr024_eEL;p6H4k&&q-3Nfa$b^REHTb`USJjf&Cq>ebEM+wG4ITF`g&72?v z%QPku>Xh)@w)^V)ZZa4!dYB%I*>n;u0=lKEA}QdOe+leG`*_GUZe3&QzMDudRRBKr zHv7a+Q?gA9IWYgsS-05gZfSPeA1Qwhe%@dCrM}f6ziYEC)fSc@67nFiR)Ud*Mv|yO zmTJDnl&f7;JbwPu#!jbl$?|?ZLb{;3KxpDCQ>X8E$j9nN=I7f&`^vNV>Ur;I@BuX% zzru+BDmdkLBig4j9l6opQOp_#3DJg!E_E`tMA4E}K8C8QK5<7E3%e>tcHJZ?O(jMk zfVIbTV9vslU%rDdTIHSH9P-D8g-v=`db)G~TCXfZg-VVP1U+qURR7IG7|OTDQOk51 zl?FVJL@+&q$#Rmh9w5x{ck<666F@Uf7E1|(mr7Z*9QGP_Nx?`|z7}w2smG+MI%n2X z0Wk4~25K9S1LH6S2%&r6zp?PM=db2ZA5>Tbl+OayVwLyUWJ+_3qGW#lz{+R+C%_M& zPG5g7tZtgZ|NgrTTLY3Gsh@635ocBa)$H>kW))=|zk{d}0#J-ulWavrHwj$S{mNQ$ z5>ND=6NZo^GV}*Q85Er)S;=64eJ|1O#an*%>|ds0dZJfZazVZ)qjXsGbo#6Jc8^JF zxGA9wQqvj&9kE^sRsn&XdhVKDbUIWx-q0vnadS8RSAzVH-3(p>ukcUcQW(0@j7&i+F8>X_cwi%6GvTBBMPv4q` z!Q|G~sFaVJnznm*@dZQtympsot9PzWsy)r+i87lhM7Y*~_tBmk413%!PoQj~mh=UF z+J#V~9>cUh4)*RPUt$0GnS>cpPk$p!q~Ne#!GR4>*ds`!;?zouzNr?eWBvE|H@n)+ zjMg#Oc@so9KmPy8d!vJvuWB4yTmK@>0Wm#DmCJ&**%+L58k!tcRTCOLID?C_#N9VHjiIOluxAjEappPRFaPR;bGxoVJAv@HM+)5@ zwg=O8z|ILJ7(vr(NiRtLs;ghMCqB$SreQS5=V!J$tceMz7D=V zGMT@-D5i$SwGdQ>#)2s-UagHdS+c*Y3Z~#ACUQp(xCTuhf{07Q32dc zM&#Ckp)yj58Fe1rDC32XF$G0}Sc_b?&gQ<>4+n+KYg{c%dL4J!TOQYy@VJoMS2vp? zzV*(pch|n3pC5MNztcwcH%lChOTM@Abu@FI;Ph{O4tFOHiTj=owdQ{EqVJWVC19A! z4g25uJj!n!!E2Z5eV%4lcXXhZ!QXyJrkYL9)F*3>vdJ5qxQjflzT2k!BEnZDbg(Kv zj1cy1bu)b-LrX6*!`ZvazDa*u_J1v3u9XzhAC+QmWU-09RzwjIG*^E}EtAi}>WzIu zV2(~%0Hs-R;+4KbR#;VAvJ(LHLem4$PGH9hdhtkmGT37>Yi8h2(bUw@Do=z=R;|67 zs_QX}=)eTGh`>B$1%SSNl=q3l-_o0Tx=(8bQO*J|!(cQ;GBzj_&zFXTj1*=%FaGgc z;m|rF=~tF`8W`4{+v4}zO3`w!c0sqjtVcb7F#pT5#*=DzYLuZdvbLYSQ{@mW^?ycxT3SkMwki~JJJy0XQUPvEWJ75{HCT^-{Sjd#P%0{`V!26Z z#AwEHJe&#KA!c;s=H*Zf{zPdMW#I(b`5(K5Yk=9aiF(3E7rqWc=lLp4v6kmsG{JOj z%?hR(WZf;;f-rP|w^@0b9RaGqtmvZoiahxwm=dy)rJ0^?iMe)hu|0L3xrTND8;{O) zq&==@b^30|bn1Kc(LrsNippvJ_kGHSZh{|Z^q;-@9|5Yo5&P1m4wu^x`+rg>^(eb2 zjjTO@5FAk?v4c5LNJSIr@CpK6ro1q^SrK#yGsfTF1zaF{cElQC_s(5wIZl3l;gI0R z-LMssFVM=+GCKmmfV42U#tgrk^W5fOg?(=f`t0M(S8yM`Yh+Us1VTlqm=!#oWZ&y= zX?s4;oKz0SLbR=3fW@)C&nM&4LarA0Ll-VQXw3$Hp^p@@xEtrUw6yuU`8_8VPTs4l zo8em-;6zw^Rs(PwB#iHqzVy>`F>;z^N}_&m2l6O>i||nVcRp5kL?+)!zdVyq4iCBB z<;$nY?ewqgq@=?9q4&2x@x%nt+lhKkhv(zV?%Q=@XiDsNP4Ah*K3K5{6DWpaHf(S( zx??IH-_ym?)Q@Pr)%3;oMdt0TLz!|AT4vt#l~qKJ_h@Vgu_=~rutRyKDveiN@Z z951GlTF=|6ab}PV>~oIH_c;0?36`0fPJHQaB4B&ZZgCXHrbJRg4-^ly=oNpU23Ku; z?}G!4rWAl(*S)-pJ<9;QK}lJ__zJ*a-f0|h0& z;a<)j*~ma5iiiiZyW#jFl}AmO6gMDOu1rcn8 z0v!eNb^4UnYS{7s)u6J4Z^_T*o_oMIRWsx2W(N~way<38`V;|r5>LyIgWnkuiKZ=b zrhY3=s!_1x1(-vI9++0(-YVRx_fjk^(LG>(7AxSWHU!1>5gI z9?lPyH0T4&koLiz|9-@%NaqzO?t>vFQy>CTDZD$RZ-UPYvtKjRh1x+(yzJKSD>JzA zNDa~}iv{(?B^)fB7`XUb$Ia^M=DD6b=~~{1WzgT5?_YY814D_|!3vNuYM^3J0be3J zoLEZJPS(ejy50NUQded>&39zN$?_-b{~5n0=>PK4Op3qk7v)_2wlsdoV-_LKCJVzV zDl$qVjqk!Q!%{#Rj)^5)Y#}Z@pcwbZ#5`hMx7}-PMqB^+VJYa}NPBT4-|1;)iyE?Z zjece}pPNnj(j+IBEBt%sVg|?1{`1VLboVHCOzS@EK1;{6{)=kt2RX#|T#AO)9E<`2 zD9BZ<_tREJUHx`nFUx$h$;rv7`|P^c@$sEt8}omm;^)+Mx;B$`XUH#G??am8Tt0X6 zLbe0c5qQj%1)p-+gMRSkL7`%7>nu?)_X zl7j-MR<1J0AmC_7RYZg32J13g3{K`)gEbm#jqJO$%hT@LowDkEfk6W&K=i6 z(gPt5?XTEB+b+RB-T`L#A5Mxz9xvqx!RMvSJl|eE74YFboLU+sbM&m@GRBzmA!wOkn?gMCm;-|LRoTtPn9AJ4yzck>s? zb%s0abcv`0K{Ko@(pQX2BYzSYC#GCO1jZ<}$`@0-t8+fbh2Bo|-{0P{e?A6w`*^xj z0RkZv<9>GVp`|SmHnxn|4jemZueD!YA6P${ZaACcDkPEL-<4xQ0RBs2(UcSXdYau1 z`cv4BUVP8~8(n0PPbjKj;fp|mZ(1JW1C#48XQP5Cb+SHswE7`0t8O%A+k+Ag+V68V z8ogi`N(4W}236$}Vix@Lu+IYT_QS&H(=@snjQqSp0cb4QxIUa>ra7(t5ODxb0Rgpi z0>$R@gRSRtkFxJJZ}xwwsEqF`g_IDFbj7w@>)`Uz702kkkQ*LP4n-2iZxyjdjIOpZq{zsjR-mj# znKbH9*mS34N~$^`kI)lDgkexZLcu$htBhxa*jt|Bvw|KVxZg+=jjn`B7jmaiv}cCdd_t8Uz)E%XNUd%vQO>i`W^45 z^nsI;V$>-q3Jhz5;?B#!O}#Z#Qc6q?VS$!=JPdG;7W}S<;zLa? zUdNxO=k{O_n%-v@hGv>3=fXtG62@Rh;MIHUG!w{7q1(&KqnGo)Ej0i27_FuCA;<19 z^UQlUfQJ8C$13OduZ>V1Y>a{uLBP~uK?uhEF$f7-=9WocP751=7+P&xJMDZ0w^W@@ zHH%j5A49SVwSU2(2h_L?dJjPLY5sO>G;Cbk^RD-gYLV75YPPEO3rmEdFUw76eq?+F+0>co^a?jx{lAXpj|L^UyzFY%zz)KzuH8gY}6qA!i~hRko7 zxjr_8obu*N7dADuzY`XGu0i07Jp1jxftQ%S4CVvZXV-noYRD>qEb$p8=8gI=si0KQ zQrik%90h42cLQjELM&#Ntc=D8>s2I16B}w8LlO5pGRzPX=`b&>BYR^71W9t-F>`T- zxz=VjSWXj$4o@rflkNAi#qyT-&v!C|c`)-O%7 zoal5pM1%lGPdu*-MuJ=L>y51!y}71t8}ri*7k=x-gZO`5CO;fgP;||YJZrrUuI9!D z&pXDcQ6;wr2SJY0g5F1x-L`hNvXWmD|DCsP>F8y3KaC2oe(Swhf7{(5&vs?ZeKcwD z%r@{^Z{k|*&_)w%5xjVWYfi6g;`XgQ-8OUO)HMM!)>l>5LEF1pWQ=b2yfH;fEFv6c zNO-SVR&Q>WWCAf3GZAYCvp1QN*JAAX&I^*^{#2|TO`+*J` z1i1c*fp|=eH6JGWh(0a864{TPb@e>&ecS??isF0a4JpuE&e zQvjllGCgnaMbsKe_)kTSp#mgV`oa^Z&zbCfiBWX?0=gXUTD`goQv>5N253}O_V4Fg zEVM#AW7M?Y4vM)HVJ5c64iKR51IjREI9S3u(dbTe{g52xNPbw`^=d_Lo){x~%1^K+ zS0??c==+kSf{-tV@{3BD?IgqPpWMo@rb3ooGK*%fU(%3#2!T>R1?3R~BO$6bTcfg% zj-bsZwQzY-WwekNKs1gut`b`*1;gy`Bl_wuAG#)!AC-3X5!6QgAqd483BoeT0d)m+ zs!o?;^24Q0Jes3v4@2L>3CiB`k`2NP5)2?PFuz#DamO^+TuuB6)%Vz0IM=pbs?`^` z9heV}-yE78xy$i3J6K%OJC*hw53VKR`D1GKuF(CN|04q#YcM`qoc1;W;}uumrx)-e znba|x8>22vb~j8VFPlbFOTfwGRHW2i%q=qk-zBn%W9gVLFv~5&Md&}O0yLgM|F{QN z=5_e^Yl2&7fuUTD$s&F{Nd*)YQU#r0gi3U)reW~1GHHxvV4}$Pxu$dqlsO#?xd;lY zKG-irLGI7ntdI)d+$%P&k5Y{C((<29hs~|4=RePD1t(tKxmj7Y9Lwk&@O8hW(T#5S?uBah%z|W znvg4qCoE)t`j+sFugLXGtsaKRs(Ia@{OV39$`MV|7i`P?rB@clrm~+;Y?A~sZ(P@T zYV$lle63D*Yr%l$7~xUY#@maA?0-DC7+%WZaoe^A$G{ZoceT%N=HzB#X<{LVi;Iw1 zair(!sv20g!lzP8WLHSY5oqpsgz*j8jo9i^;_s`)LNs%tFzI!7cWrjN9ppG94NV${ zrkW8n-^2fxztgZfJJWh`P7Or=(jK`t5SPf@WFvjrPc|urzLF$NDs0HlQ=C`fw+Nvi z_)~5q0If)7C@zShxvRtTNd0Kb{UO~P?Tbb*QGJM4_gbv?7nf8%_PJUhmRX_+0V^}%f(&L(pv!fD zCiRbGjTG~uQfZKyLJ>(ACL)X+Rd|x-3U4z^1Ov4LV5VTCrKNRB@cmBcmD<r2K*V=1 z@oik}CLj1BCY(fX1W(Sk!5#1|qz6eKZm9AP?V_rEvg_0ke9SJdUxFj4GA+>F^L4Zk zL#Ajzu8LXfYs}2%jH)V`_Rs@v`=kFj>X4G37l(!TFoXOv8lq_jceyR z05fa=j51^3$!~-tHmt;Cx`P}*(Mb%=Fiv6^t0JorxFA%L_gP@0OGn)ZmqUdJ(*(W` zsnQiAqZ!XqCQAmO(^~e5kA(rG7jb)2$T+?>BT*`UmpyXYEtgiMWUiD_H0;NQAy6qs z`b@}Ek>+T=3sFCu4ks5>HV$B(0?x%>+42i3&Mli#?msQ43)445sf3M$aVs~b(v_X` z;A8pm_605zgj);J9QBEpBx!NRKXhalL!0B8WnNZq>FJgUJl^382Xsp)WSda<{SG=@ zZ1wTxYY0Z>zF33k535p5G!?vcKYE%SOb`A#LzzR)oP?akg1;>c!=C;%hxc|O_VN?( zUL&<0K_@LFj|7{)K4(;7Rr!5@&N;Z!8PzT?RB`wfJuUho9_4Kl`rNMyI zIgejB=NnVWm8}<>+Dtbt8LXL7$)u1lM*`4bP(Dx7sSD`BE?4jw0P!NGsom{<^K{+# zA)uh3;^`u%t-@T)8!bbK+yBYZ@t~(W|L}CT5f#{LeMEv`jnLrI%NOsjmEthu1 z5IhHfEQg!&@)%&vyt4w$0JGjXOpZjAh`F?*eE^=)JVTMr~PZ-=() z#b(ts(YLncP<|PL63)Foml>F`$@COBG}W}S5r(MW3kmR#Am=td?aDzam(2q~bVA%R znpJ|Zq+&8`2}XJlRS1uQ-@;POm0B30p0IJnQkLs# zni5Nq76_1voFzn_IFrBH86$U-Pr+J}^JBJRXot0BL;N~~ zWd#2So6?Qr^EB)~T7YY7Z+mf|Fw(Nk zlR=y_Z}z#1CwgDc^4AdvJ#m@AP^f~NhuW9pYO+)Crz>D#gIGgBqKY=v%STlPkE`{7QhybxNR zZX2H~ufqa177uY6qCo+PR$MeNoQ*F_KZg+FoUFt9XsEI@_xbYU)wgBV}&jI>_ zJ?L=u#q{1%Ss?-7Pa%V2=-Rr$%?vFp%6KA6OAPIY*YA+=@Y4Ud`F{0`t2gGEoqf(X zEKi)Bo{l2iv2>0cxtZ6U1V$ggVe0rU{n3g&v0P^-D#r_+CDHUXp!Ju&sp?p>gO=em z!g}=5i*!v>7Nsa4*=gt#5%!Bx169Z*dsH@s)4pe0&P)C%B^I+67@K`SPZy4DxDyW^*WZFfrGXO=UK&Nh6Y6AU6DtAP1BOC!Sx;_BGgd|NMQR^l8< z`b|^{;Kf6>YR-6^g3qP;`YFV%|Jlkt3w07$IvUhEH!3BkNa-h)Ok7g)K=A%FF7N}g zz#k=)35@OJcd%q3!mvv|PL)>4XFW7YvZ$(E#90`X9n(^WX0V-=?~>y5h0f z{`1~x!$%N}V#VzBG{hrOMIljO7KYIv34tT=!;Z5(>a}5$U=9=+@s_uNq++4?wfP@I zvbUkIy#XzxE(9BcAd)?XgE2&L3d}q!@-IE`tKfUg15ok4JR_P)NzzVy^>Y-m9RFK=@15nb_0a=z$&0GT zjA$&TTyD41i&e0f)8$q0cTqj*o^a1fZRbqW!`^snODk_EDsgSAk*eD=-{b9RE9bmK zG&f$#y6Js;aHjQIVyk7aXy;>(QBtz_V+@$Ohv|G}$Go1AQc=YK zWTVAP!|ZlOR-NDfZ7P7sdIZIpVo{){O3*~_wau@*ujzMs@62&H^nV!GUnTvXAXb&n zLS8}DbF;l(pKXusyq^`%)(xK~(tO`voqBQE;ifVUubL&>7jKk5$`M@L(BbQRk@MyD z3y1W4p4uSHJiT8nV2Nhs2u(+@8mlr_5z)Z1ikwBrLjURgMhI z%ubtkhn4L&Q<<0#Fb{y~0&*q$O>_sTC&vFWy*uMhy4c0zLP)@IDlA`B%F`d94^(&WIpye)=+}jQ{pU7@|FnMXN*G(Z>4YH%9@&Ey00ed(@ zFCJDO`Z6UQOiGWi%I2W~l3&v20&^I57!p2;d_V;zOI5xJZ|MIqbc5ScFyvtiyO*cG zD2N1-u7K1Hu_!=M0v#Tu7+sFSj||a=Id23x`-s62D1wpYPenIY#-i!(M>Ea}g)36C z)&4qgUAX0b&PTu-jLP}PLzA&gf76DwznPh9w&sfYt{rYu z?bUbw>&cam`2IDU`)h1gltiM^l{-$+(ac zlhdDf)I#a{8vLFYXTfMfbd|f$fh%^LGg+7kPjb$-*81K z5=`;M@J)U%oT6^M-@^|p5Ly3dlA2t9Bl0sVL=D;ovcR3-kOgfI4u${Bcm%6<*~XRi zfo|quudUjSjlGX*Uw6Uol$8eJOZrl@Qa{)n-;=9M+*aR*O%E;Ez_2zsF$_(Q=V0pS zoQM&KD|97Z_lJegC*lvHe28KY1ro|;B5!{%%NhL|F$Dy&QB9O=A$or3>YkwSK?IPj zWwhs&F5-KUY3Gv|N4)1bGOyCjW89m0rw7w$C|#?pFu;WQ!g;11wsOHQh%U}z`ctY< zRRG^e)zr*yET*quWS-l0!TLPgCFNj9L&=Ig({h!_?6(ppaxm{vc4Ba_S>@u`ZPiK| zsS(B}+K-bD2dqdmqbS44%$U$I1NZXthS@B)JM*jkOHGL9K3n%H+ z@`5lKL2#aE4mN;DJOuHw>rupR`EzWgvF?lRna|zMGj5LO@w(h3G*`fSozm$YT~U>gRS@%|Z<&6+*D_PJcL{JzoL)zVh?)0jKSnOpO_w$!VXga5+t zVpZt8?_jRKsLSgq_4(POx0urprv@}PJusZaaJFjjMVHsu-sSqx-IWPTxKH)?jis6v zlSCd6;`G>;q%73jeq+$DM)FsltNq* zkz0Hp)I9eC3Jkm49bE%Vt{2NVMxsW%9Xfb=LMv-N(uj!{=iAV-hYz^fCjWlAWzUd4 z{yFqEdXTxrT|qBK(=yHrG~DTRG#xC(j(Z$^z;^8T*?*Roa!@E{7!hvT77D^|Ys=;= zBi9ka?{DZ~4J9d+10{Tt7nPNau1RPJ?V*~ul>YR6yk?ggQ%nwy97J67JBCwE^N)a{ z7RofQfZV~^KYi?EaV0x<(>?qrmu+ulvO+wYA)FUIp^%#?yPQ_+VCSb$1R8`OSQ;jW zgl4o!e9eGPd7-xx*to04rHa5k&Xn|w;{7kM50h+LO(9WX!Kg2ZHQjaI%)uL#^gkH) z0Goz1(e>SV>DD;BedPw(fO}RgmPeCc$1@B?GO~^{|Nh|OBs6GA^@~LQDy*USMnAJc zk^HulCNFZ>BrOqx*kbH_{@F9J+Ze zq3Yv^3g+pwwu#nT|BX&j#2J(=SSR~oUlR!qK}u~y*orBCXI-$)SXW3Au;$)=*HV!EL!L#JCbo?BivUvY`9<#ctDv?^$=Srs?JIUDVU{Y4Tl53+-oS z{pR+zS0<`uRJ<=5($79B#4R-bAZaCL3ZsA83+Gf+eoIAvEWvnj;f#!6QoNq|3L;$8 zD^zSPZ0>-gIS$6L@61utyp)TXo(qJ8v3_m`y<;n$apb}jNx}DGB@E-h(G=HI9!N^E zSxAs~$xNrm)5S$zQGFv46~GSH_7H}KpSNBZezXqq!zG#&_Q>p4?u6De}Wah_cM^N!iG1`8k zRmA72l`k~$_?~3jnfV{RypEUr*~knJjXHe}Ab+drYX>4d%@Jzu!ASb$EP@Y@Z>)W6 zPkNExPQZ831tQhx5nr{?r*<37-Va+->FFikeS-79Dl;3REwg6DlS<<+4NN~>``#`H zpr&B?xomdO$+}u@x$X51F8FkinZF~7*F@&vfR!eJXJ?7wMPik;c(MIlSN}B6!?tBb zYynyyPMxPGErrrRSLyO18C0|NzwT+?_j9Sx+cW3@EX za1Suq9NMI9TLXq+d^tl@2jSwAiCZDBsv(Tvq~`>dRsf%;wh^PlbNul_$%V;rT9t`)foCdE)20e z_6rL+Y;=B2vIOn;v)Z}kNckufoYSroR+8{HU?`IIyPx=1SQlx0C?O+H_R(sTeUB|! zRILtII+j~*B)sEcBc2M#t3M@vKa!0`>*$h#8ND>2^#KnfDAzDrJ8aFQNwunqEN5+* zR_Dtb)*}+8<+fOxgH@Lg)A888mmHs)n(kAX1N^T3 zs8x1Kas$%Y_`pE7gMv$KmbJ<`Y`TMEhwxEVoW-9(X$pN1pJD$0TE`S16N`eAd+&ZD zkeb<#jhib)~^7FkDYPkA}MMgOm2+{GqN&A`TsF7H3+g}$8 z-uHdO1%Y=z&x|^24CA!F3wj;)yw?4&Jt9f5LtyH*G+jS)4Pcn>hvJzM=B98h9M4fT z5?0|<6n6%l$8TN)rcL$uSU(|$>CX{-)D3H%i~M~Oj1M5Ydae6hEhRZV?re_%eO?7J z)&7q595*P&Dt^W$*W*4$NY(`uf~OVs+58vgEmU7tmUa34%$+x#f=JTV$Vk6P;G|Fq zV;qe^R_(a=4^`E+^Ee;@+hUvHV&XJ5vnY{7+#b;Pj1ob;-JwJoaFTZtzjVVwo}%Mx z{!J+dUK_j8sz3dn&IVH&pFwOT@h$JVOKmwbn3&~o3Bv%0$9A4+G`2K3mgWFZ833-2 z%Ttm37yhf>Sqxnp!0Yw*cQUDMK3wD1O}(M~WyQbpvzO|1Xj?}%9c+v7n@5%6CU=CaF!<(P8t4p1A4}148 z%fJ#9iakzs$08*eeU`p~di2kR_KDnaWWppxZ&0zwT5jri>P&D3KjBCWAe}9G+0GFW zAmQL(4lur#$g{8l<0J$C*Ny2~yrMgze|3rwT)vo`P2|wE{NPai`|uSC2V};TN=Veh%_$7vsA{uZ=QiW!=7wBi$1G)fbBl~-E+`*LjX9|1OR9Zq4x$2q z0X1*o=ndMC-S0L3T+a9`1DxVUD|*Gj8s%fs=fo<>$?2P$n*mSKs}o&cOJSV7*dE*5 z$Ec^f7mF;Uzc}+okftP|R=9gHkXaO}rO!r04}N4M)HHa5U{WK3x{=FqD^B*%q9Tdg zQ~SB=MT=Rl+QZgkkB4lf62@P5EvHt1D-&6jmn-@&3C)D#;gjaVT*oxa-=9bDZ^f(V z?_iYp$IqM5yJ0-(P8A@<&@{$-3j&B9n%=2 z$BQ*)09Ga&aeM;EGDpmE@cJyaaTzJr1OS}AY$(rxq_WBa?HhiaqCi0Im+n~7Ut5QdR#RMIBF|!@w4)7nW;(k70B`5)0OnRo$p|Z<|LlANZ(YzAclHp3@25Tt|m9l zH##0?372z>$Y&!3J$dPL-CU6VtaSU~s%pS`IeurO5d-gDil%-Oq`;8ypTFhTvD~>W z5EEcPFepIanN}258sfbh-wW>EUDG|QWBdhz42hyy)YKNd5q9@n z`DqZ@Nr1XDT9%?HRx$t#t01H>uR#8@V9?*V)~_$dt55zNzJ7lxlPnm3R0ZmFdLKqc zvUTj@VkypAMV>D>cs#==j5^#8|K`zl)B~zjEQU`d_H(j4mkzc^JeiH|4@Ov?_8k4c zX1CS3cs|{@UksmmW$`O*jObFy88opH*dEn01rN^40)X8`PwcI;9J+L@5=>t&3J23-CD2xdd{0-?)dvF~f9{)s zF_Vjgp*4s!i0V{j+l#CS;m!v19a)Ps;ic5q&72$VbN{AXEg?P;b+NTw( z53h=ozOILn9PMorYra~Ec)HQff1!kw-d1_r48&ZJC12~((l5xHfzGX%#){lqPF!&^sP zv{QRt^Q&W`1r4TPDgaO3kKc&z%ZHcAF@n;N#jA}$pec2L>u8H~)xfL2CWtGjfeYD} zBwe;8jJPbA8u5<){Yr_FB7jO(-E?_^aR!bhAkelyo_%lG^`f8|DetARU_q>Y z7M1U}yPNvCpmO^&;VH5OWSmU9rt61QXt^YD1RUW=;KzddihAmWh%*oiXP z5rFv!0BB9fFA85s@noSraZsM^O}tD{{OZLPH2|7-A#{4xTF}EPt8Nu1w=L=L?;|3e zm4LG%>2zEgF&Y}CK}GMUCA5hZwI?`10TI#H&Yl zyE>y;!5O8;fYtBHV>EikJZf?7e<>kM; z7NwAa>d^SnQSv47lg_KCqvw-M-NUvuJ60lm36U8CfVj$!4`lP)@b3+GAb3|@u5I*q- z;A?&Bat!r_Tc+q!HM?I>WAAOv7s?mIa~A)PO6G#4R&A)~?t0|C?^@?m;$)33?EC{pTP5`- z6bg7x8jh|R6C%yw1Sl*8G&GVURP^(@3rZ{S%UXJPoK8McWy*VS3n*&O!8Ghz{n^Md-tb#=EPjr)3<}lHgN>4JGcd{;A>Yj z+ENsK2xHC6iOALDW)n+?kib46B$cJ3V>e^5aGvQaCABzTb&u9aUJ%Vxk&@;f;luWM zW3qtdM0U%?sZwv5Zk^s+0FYJGQv|uQX&*BA4a_}n#>z><4N#AwW=(Q+u=K2Uv*PPJ z>?h2r`q}8ILL7tOR`>DN@9x6a@p0?=YfDStgW$+uzk{Z>Y$CVy>R)B9I|?9FhW>|r zW>^O`0uDQEC_AVp6ip-P=hs%sJIEO+ifJx3uNfwW7-pYBETsU=HLKj>3Y-{W>T~IZ z!dxjEdlQ9}U8zA?w!&%hp}!RR{M8pGROH# zeF%#wX55abIHF}R7Ighg<0rf%ogndof2}I6CZD7q7?D^N9=iMHi&Y z(w`$pcBievJDP?Mu3SKRzKG36s7l4x;?H$!e82ZJmI z2pT?%B?(Y5r-vW`26Z_g;C@k{)im}2U>1=gC&x9&KM*PRKI-hLnfcDM^Ct6)ZMm|b z+vfQjLXXCXwddpASFKA`6IY>9r;}IN?R|Nbq0y4xpHa2J35^1_QfJG7yWeS1{1`lk zN{y45mg+HZw$_Bj0mj++ymp4)qky^U6ZAA(21Tjc)>`jY+^&)8uNS^!lUJ24=%7=%t2B$q2{N)#+nLQ2Y@ zmclPh+t=uU5#J3il9l1$l(Yc6gBKv&NjI55m7hfZHo9`aWH9de?o?&o2(31M<6 z+8v1QAzH{c_m6%R#QMi$&3$Kfjy`|uJU! z|HNMRL0?GmgKSDeU9m6@;V1)x6oJPRU3Zn4JdS(WN*TqT4jYekBEGhq%M_@*epml~ zVjDEOU*7E9`)v7fF_WJk9v+sd57u&K+CAo6ENhxYuRkEZi$Ot=43mL~_N~u6L`?Sm zu%cYJX(p6#%!Nc_8PxhXb`Yhmze@sIP}&FBMapO@DD;HsW#+OqY zWFD|P$0qBOxH-Y(kC=Vo_w4G3cx+$iy}POc?b0B~fY04Bo1LqINGd@fK$zt3S0Qsz zVqcM_0k0b~eX((j0zt<1MteV$+fm{{8wSw(`RS~oDnwU31O&)d>TGB^*(L`#jZ-JW z6qIydaRjDcB=#t<62f_8dUeMry{sF4SU%47x$wyI_rQxO{v5bNQD z*VNeFNi;O}*Ctfr6v&hL4o+9=qD%V@*qsRpU<2T)?p4d77%J}>X;>Lc5uUG-7qm~; zboKdtZXR1qsHsz4J+CV|ZI`2FaUl~B?A>je$CHhx=HE)w0=2CH6QUCayn#;_$txd7 z8RD)4I%wIV6@SsqwNl&(j>Id}#M&i7yLIfE=AA`H+09F)wRGg5>f8UVZg7WD(9oEM z%7#0%G3Rm0)^RGf(@JV;;`D{Vkm84F)0k9NX4n0kP*tPUe9zMPhF$E*sGxvq&Zeur zuMuwrWI5B0JW}@{I29-rW>+mUI(SPv>MwnK;(%fRQc~Q*ErH;3K~({P1{yhEjq#rc z*Uyr@hjL$o##`vV{At!F&{Uj)K;rBjH$Fuk{;8pT7n>)+@$}PDIY<9>MzOq%BRcqP zkK>YD8ewo;TroBUq8vSFCbKi$kUipQIN@s$i%%%UA??pnk-)3>i#S}-4PD!JL0 zE$mrqzGT>9@kfv&BlvwORhkZd890~>7XcT6>q!0Jx~}cRhfwENMYm>3hl3I-|KKQ{ zjpVGLvg3xq-R6Yh%KG|vYX|#4U!I<*gnBIqE6G&<<#AV=2zL;q_FkOR2}59IMaQwy z@#Dv9ynZmBTu^QM!@x>csYDN}hDX7s9$CuT51x$;re8}f->q;ZU|MDRtgL^%P}e%q*<(#NhYrM|DkB8mF*X83B505z3_^)&qN| zV>1JTmewQ)nW_89y);9P#f_p);uAZQN1RYi$6vS5zSl;ZIN32qQrJ+-3QyDJN5ZKm zI=cc`?1cm)Y`%1k-G&BNN~eD2#NN(<&f4Yvc$kA>Vy&gFHwHn=;0q;oL3Gfb4%c_9c}fK{SHDLE83xk^brk3g=A2|6qaPI?ug$~ z75ny5AJ+l~g{Iksg=*RQ1`zglMD8uPnRs$O+|#6-+h#!Jvg#q?$Z$a0tlO`7Q&)SUeo38W^;t6!OcaM&zQe;Hee?*&eM%j0V0AIE*U`O?&( z+?H)vQ9xT#(^!l!9TX2FLDt`9_Q2z)QCil(SLrdYN}ihp$EW3u@!C~Di4HTMP(HYz zsAdKeHSb`I-kXF)F_4(JF+@_sUMc~a8!jp1`&JE6Jgx6w`*{(7$nj1cQJn6q*gwY9UpCs$*OQ-*w|twL z#{NGbAS&>d3Hrv$xLw7y0@>trB5;8u1+>*-F@` zl%)BfaUp~ng-c4^Ns-eriCRHswZhq{s}Oy3l)5H8cy#=_K$qO!lG8BbNZ9|hcV?fN zbK+lw(SytTaHdp8>{qQJOHAZ4N3h(;Ys&onm|lTyJh<2Yap9TftRUt5MYp*Z=J4|~ zGE&ZN1-kLC*uzDS$#Qftb`IaZyl6Sx1=X{jhd(~?y22YURk~j)Ll)$sL<`9yt)^P? zS8KYKBn3L3Vu7QtcXKHPf-M~kfFc9>?c`XqDES+p2!G~8ikXT}SW4g!ov_0>Y&{%v zizwJUax{3FF4%W*LHTjEEt52L{TbOthB1M$ zcmRqN$rhq1T12Afl;wtoN5DyIp89Udi!iZ(6F@KCI9-Q^fL62)9c9fz+?Px@yFUm|C&Z&V$m6N2OuiWbR*xMW7MtI zb;?i~1<4^}<1qp>yf~T=F7+{%DL#rFr^-8f{vlK#0++K6CLs!{X)l;njt;?!gf!!R zBOG;E{fFYL;Rz{z6g@&G536PiMybR}56UND;&ZKhm(V?1 zx|ZJvyB}kt!cVAW1a`B9szRx+Wee1_aA;PmO06gqyN|POsZ4`p{y@8faMH8k8M-qL zWpZKmHRpu~&0{Kvy|*j5nfVHIrVx7E6_HR(E}lHYp1yoj73t=Q*hnZzK^WjwVKuwM7lTFZJbXMVu6yvsvFmnsLwM$FVr<-#Ig2j~qWd5S4ZMixYBIdcc^b^| zQo5{YYpGj1yT&FLa6cQ5;bGY@{Bx_`$d3`1t)oD`zSdqKhl^Xr21>R*<&~gEWPggE z;{4CX;eYi?bHM;2Gdgi`H4YRzwFoj_nj|6%(?KivTg}WN+;^s|=^(u2l@}QqboyV` zJ`dw^iFbXkg##ma`Qg8s-Jh5K94cXb48I>sqsPx*cX1yUeq`dDRQdt*N@SA?W0xj9Zcq19VgS&68P?K9_kw$fW{3r2w-iS6--1+M4O+Fi>nH6 zVDhHh$NdBcI%!31kRx+}O=uz{8_5qs!KZ6d&JvwUH8PV0LRZLU!thcs1lG_kZ zc6705PUJKz;pGzn_nWQ^DI1+Wm2mX3?Q`0Q*92xc4PAB?ARPpTO#(CdePz<2u}~(Wl_e)D%J5f%SYT^6${DiUGjaz1%{3 zwyt|dnHhL_-QyaU#mAj_X1B)Y_eXMG=<4MuibtTMGXtd%0Q&Zpq`#Y2dBlMBL(OQd zo%O-a{CG1Fbb{Qfx;4qNgUBXs94AvMH@tCi#G)2kr`7IY|(87`f?xE99gN~fGJm3B{MBq(U=%$#4ni3er4CL zXB~Lrh+@3XaR8GCoEq9mCoz;me&lqz&+k8UWylu5kEFj}iURYA8yjLDJ_Oip9|hlF zdQ$G_eT_?7$XFuFMnaZ=G&M0mq)~G)GyK>xc^Mhb?Lfvct8WzNZV=|m5_(C}>i4}w zmIDGYRw!gGD#MR|UvlM}*_@S8A;8PvAz~>dng%>#)(~@W&!{L)_6Z?My)q44GJqyw zMIH2+)e`cSI)l2F35v4sKe>U z;mBO%eyW^#>}9v>Ue4ex$y__tU%Rfr?e$+PXKQUv&v`L14Lwf3{aYK_{O@OnKc{~8 zxg6sOZ$L(HStIp~umQ3n()LAn404L4N`}JRwMejV!3nFJfB!qV+Df$#peo&~XV4Sl zz=PSu{zY6^bqj32cph}7qbba7rm#4@iIXN zUdjDIkhfCtc(jR(vFf>DWlXrhLz(R}Qm_V;}B!m=wX`h4K04^f`30KkR&+KIBPK?0s_jq2^@~LrYXmO}B4y*+KU6thgn>CA*s%(lh@hskO zz1yqKI`dqZ=XS^yJm2YOpJm!hiuL?f!cp$I5KF-~t^9O4uC=VVZrJhk?>s7zY$;|A z51U-1ZSj+5)4cZj(b%4&(Ygy-NegL=yqoa3zE<0D#lks0W)v?x{2ed#!EM}44ae$Q zcyoc4cX@e_hwgzA>k3`HQS1x|%VFNi^gZ$@5j_D$>$DjzN`7`F2^1&(RMi%w>= zk~j;CyT@mn$izsO*W#_Z3g3vYusW=il!NC)C62FspIQv-u(aDmENogoJ{6rcV_hmi zAfY|RE`4@!VEaXS^@na6y}md>OGFGZI zAf_IrS9DsScy}ora@kEMR3k*P2uv_3zsQQVq<@(0MHZC*dj!{`AR>wa)HE zXZ^Mwq0;0#kSH23szAoT_yc~#6dP>%y@>ds=TxT8a=pa?nlGjMudl)VL(-A0G8S5{ zm*uFF8nZLk_`ssYz&}t{n0W2Q;?J_)C}Gfb6LKT=ek`1 z{tlwP>G9=MR4yT=;x19iB$fAd%)d>>N~6xDMF@ib7#roY#2NjT21c3#qtOkh2tA~i zjOXx?7XTi#H#%K+=l6{Kj!+q5$Ndiu7&1TcPl))QRPr!a`R%!Ox$Y#qsp@jqRh$uQ z@!0N&A{-Aq=lSltImiNHV;B}TK{u8`$o(|2A~`_Z;CR6ZaAtbS_3NsQk!Sn84V?sOJf=H~8JGKILGq;C|0 zjKl1+(CZB`Ur)b@orhVXLwyqqWkU58wsJH%sGxCJUJH?(uActXy0#4!>j$xo0bex{ zBGfBi&z$CU=H$>AZVckjyTX_yE3;&>QD-%ch?Xu@P&5|A<+89Z{!}$RYf^?RX$+x5 z_&LzcF?067NowanD8;Iwq?XhC@meo=YG}2hf67tlX)$SEsq*w2OZ(r$wUu%Uq0=$9 zk0YOZ1igBVMQys*6BgJp=6R}axypN+KUVy}8x&Sd1b@f{Xq3MvzN3?!B{WmzhyVa$ z{Z&&$o`$h4UHJWXW(zFGgEd|!4}$*3J2eF(XYKxF8%7!u?5qIOA4dtUiS)wAEp^_G z9?aMA3|Np0H@t(>?CbT>(WN(*D7)bpjj4NB1ly{IiASkbLYF&95tjQ=Iznzcs|_dT zT9s?Wo{xWV07Lh#aYA=j9SQmdpWcrQ?#hUXvz_ie&tS9Y^RADy5d&R_nKxQ)*HR6Q zHdfs`?%HRLX7!I9vV>D6#y4ALq7bgKDfO4u$UW{|;Y!`4VDauaCd*mawJl20(e{qvGGxUDoaIJ8L&(E%f z+1%HbRyi{gfZznMxZHv=_IW*w+w=MgqvN{TPK)U9O-^b;mXCpWG^LTMBTNX1X#+$I z)7H&ra)aS)E4Dqe{-(~o1o>UN0sCb{jmqme3NjlAB({t=B`SUp^7gyk-Ji{ugTSZFxeuO)=clPT0kwB~%ge_8{@Z^N zXcw4`d>@C^l?87mZ5`V=$WG|Wy6Sv<51gt5QcvvS()j_fV0BS|CPp|=l&aD3D`@$! z1xUac&`tdPKL;}H6?yU)X>9(v5Yi2*yaHgpy1J7$HukyhkdbPhcF%W;*~K-#Dp^9z zY;E1m{5SupB7;oR!_g%1Nel9$u$`snsFY~lq}%SM=65iD!Z zc_vBs!%w?fGp1FbFy9R^4o(|d8w`bavsU2%g&|2R=X~s~VsVN}Sx$O8(_~D*ESrU{ zUMez4iLAJ}OlgqKbfLfEbKQKK`F!h2d^`vM17#D6O5k%{lxg!sPN$FIvV*{VqVE~q zp*gKiHuUoQ{0Da;cVp#Euei;-O4}w!yOe&VGTB6SWPsWv)BLzK{>qX8b`N0#1}c}T zG7Sz;?aS}dw;FBMiS7pcL}!uEeGg}P8dw2Qq*_$8OTrK)i}Y{ua&9{c5@1@f^=mA& zD1tfbk43}-hjl4x{PHV;lUDM@cvUKqKYfk&DnUJ5U?!#b`;L>WPk1oXB>oM;u17(KD%9(2;9(wguLmf4^AP8MB;ZIe2i2#X0)j=e{^Se$ z=upV~W*7QP-j<`BZ#1qVIEl8TR%PB;rJe=)oMDR|Nc^?fD@fR(igPeuEqZVgk;7YM z>n0d>{6f^LVC&ij{2Th+PQ>C^lzUk$a&LPeUNKVO^kMqO25UKH^)_Zz>GTb`t6AS-)uz4;Hr5*Lsdge*=IWj5)Q70 z@a|gfOdFb%<(1Z+;Gd2jzvpNucK+SOrpTCkxVqMHJUts*4vv<>66*JOun)~e6zsW8 zqJBT$k&I0e^q;yk{d?t4@$oGn2PJ=otarLx9x!}QlhPrM=<*A2+F9%+q!hZ=o-k_l zZ8|P2);rSpcY{G?-c1gxwlo#zZwxdjOK5DQSpKHqB(Tj_{ z69KLHKl&M75TEBe%6Em<^Ta804VsFNqg&Ix^W*njFL8lf+hmLwA%(gO`M1X#0Y~>{ z%2~dru5pk5`WMhX^&Re}8WFrUb%KD^a6~F8WH2(Kii6reu_^pu5&}3`d&mD}l>F~w zR8kaB4Nejre?WjEvEqrEyN?4|n_%=*#^{oovb*rTJ{!W?BQjpSqV$UtbWkX$U*Gc)&(7+HK`rXaNVntdW0G1ePRf~<*2_XJU~ z7z`Dhuw~F8EFS|?6cbt0R>q9=mn#NUVOY$sH|?(B_+u)_z{&bD5GyOKYdBc(h(vLS z?;XHN6A6sL_$@;Jq-8?r;&dg|abwvaD|2IY6}=$%%vF)J;`hEVqGUKyUp8d_b}NO0}QVr28kv9Yen~7URx#$xXj$}zb~^qU2R=H zar^Fjx^uWTW~%S$`}~-Wr!4$DIjw*mkI-#8|DoX6!CvU`Pm862jqe#qsw}ZDBudzP<3aY@LNWN1@xY2?|Akv3>hp0ilsTdsvUv+Nyev z|M6(e<;zd)@6R{?mgA}nEBQTN{?49J*P`lfbP6Hya(F(f=Lp?)oUQ$FXAF+4LH|R5 z^)c*hrnnjL=h1JQSim;$K<20N)H6TfHfjw71z_bD6ubWL3kXm}MwuT%!(^D(2hwqR zia5`G>q;k1!;59Bq}>4n5Q5Z=W0F(BxRvSvCz(Rjwue8dm)3tjxXhwJf>6suaH!^s zRkwt@EG$Hg@#jb!?z*LE0Mcwo=6gciA=~Ed1mqyAK zlHOr0nPL7(R7(}Iyz+??h$Ml)iX^V8hDP-p4d6k1gmmA)i~SP`+-)t9H4|}BOhn0> zA6_{C{U*~RI*FuMkzR!DLcF*{Du3Vqq@8Z1NY zj>e%EO+Q6N!WfU!L>(Ozw4-hgL0^WcG3RR! zTQ?(eXnZ`@jDCkvMiNI%43>pfYNHOGv|G-@qf(=>I(AXTArPd(8Q7B~Wlj7%6yA8b zXsMi?btL?e9y@K~^I>TB8Td-%6(!|P_o(&rDLwQ&zl{%yBZhS!`VM3cZ< z8<)ucp`k$q6i)5u+yzSQzFLaWJR zVPSXYJobKWQ%lZ|_rSX1d^%a+%^RUOrBH7L4KZ<16hujo3=Re{P*jF=#j8NxO|c>5 z)$)sW&;8BrKb(XAHI&#=p~O&^!r6HT4T+$W-l=Y6Z7Nbo2r71cvh(rUif?GC^z5Dui?`E>d&!fFsApO%TIMRRME_BLW)B(O1D}!b_2f&jwAWy_l4v&G=@c z_Kj(l$>tTZbA-<&KjX&walNgxwpDm_Rp)k8pv`mL?_n+dS)robL6`xZ#1sl=f zcuHZQM-szx*3mSdKAf_L-QPEd(bRN$GFzN@JN@s^6cXUaM(5LZPS*}@;LfNT%Rxow zeQ(#xUR>wJoyg;;Wx)1rz}e`s5#g(><>_vsD*NFV4LifZYI=Jc+AFmop7QY@4@*QbKPINlu2el*GY4xwh3 zI|J7Li30X+i5s8;zy+5FF-Hp?+)b|!`az}d#`^?N@Zv*EM_iduM@(^ty>V`P3t|;NE8) z+x>6D256c%)-;c&uD^kQI_nd_$n*`gD8Ba3wl-+DLMbW}^Kvt@X*m6Lps6w!mAPmk{jfX( zdF%<874Uhdogtqn1Rk7|RV@1g00M!vW}?w}LhC!;a~ZhNdzE-l-OxFAkn;+g$uu6T z=Gvzoi#Mt<#FuwPn^bV=)T=31#~+A=X;v(8CPH4nW~HGz8k+-SzMu^HGGbit;`$TC z+F5DM?S$guRSp6baB5ak=s)xG*9F)!FeTjzj&8jA4TYTsj~%Z%GIr1m)@$_&35zl9 z<%DyZ9HI0Dts?;@y-W1uF}jgND_wr#YY+_uA^q!qU9yXC-aSS>>Q_oZ#R{ zK&KfjY(TWH@)Uiiauy#7_(c^V;`nFh(qfRtR}d@5}^;YM1-*%_%2J5ld{?Q>PJt0Osql2&1tptUSi4aN}YIL+Io4Rtoa z9CaO3d+`jsEf92ldLA{df4C~4@VTjZ^Wt-&L}1$XI-EcPkP3w6Gi%0>bm9P_M`glB z#6K4}>&7a6;7~}6CYSH)_|9cMOSN9Y1eRJ#`V$~uB1%7Cn@QWJkrw6L;db?h(tkUr z<8Hi&$EYK*8G{pUy(}x~t`W_fEt?+Z+<`7&D{<4J4ntpASrK{OjH77t`fjk~iZ&oT;HI(x*_QoJ655p*6 zJLWTs@bzrJIv^FO_3UX$(dE$;aI~N5U~rVhdpYXpe?8UqlDD`-!t?nHtN-R0Y1KNB z1D4tH2}pxxoKs%o01v`(#-K}SbzAq)MiHp#as!m#5exZ8`}yX(wnBquE+D;35&jgg zt9?_x{cE@U<=*JU_6(%hb=A+}fBwP9nwuc>u`Td+%#*p}gv`D5pzELG^KLqa^fqU*sCwkBq;lwu*PI%k0l zP}Q94P6DctZqKrcQhuS2SZu~%K-%jw|IKo!!?({h@Ck69@#8b-srX~mHB4nRUuZ+JQk{XTk?H2j8P_p9%V-K;!- zkw!K#ZOMt#ZW=?g=f;#W^L^uP9aSkZj?{uiHBksnBfLlJR0g^Vp97iN7o6b6UXAC7 zw7q{`Er{UJa`3-%?P{1kd$~$Jax~OkqDY-E5afG>P9cl4N~v=qxEim@j1a9Y-_OUa ze8~3eskZ0w?v8`nM*u&tyXM;d7X~K79fLFER1|l->VNw*P;I6ZboWz+Ar1&|Dhyz2ydEYa|@S=`a->w?snIb+kMH zDx!oiVj4k&zxpU=rTFUXTCQJN{F!y zqVHRUwDIZ0$XMBUvA}w7jl^LlY16628s)CZP2CRyaGRWB zF+12NGT9IHtqagqz->Hk{!AZ_j+LisBK1Jg%IptpmV1n8NCD=WoTd_5639cm!>t`A z4W!8Gsf9373=i?>FlWK_wdE!6?fGmyc>4k>!09C8{FM#!if~O;_y>zB3C0jj03~CT zG8TRu3^xu(5{?`wg<9ZCnR3~0jfu>tqW3-Kv(BG9Uc+>E|5{c#R2TM@3vUL0A*IzS-I!t4=uN{T798-bq$Jt++90{bR46-<2<>eo8 z(e0@X8tU479~aT~o$dYI?d?xS)9Wz5*4EV>WlvnX*_&1Vumz|P&@^>6dSC911zuM@ z-#!K0teyD%9BtK$ zk%7w?F6;^CUKjwN1~6#I33qn(!iX~UQM}t<3H@4Bk~D)FH$6Yi+rypUP^AQh@mYW= zn4GqTdal7IhfSUK;$ppw3AbOTP4F6qs43|-Zi|uM%AjHj0dT7CgS$=f(~trbxB4^I z*SUwgV9kNK1z?~gEhAqIy*Fw!j(kZ_CFi>G*N5)Wx&0t63 zV6iDcV-91PN5`%*c?=rz)J9>W6)`z)S$(&hMeq$ZuWC-YcagLV8gpKMlYZUS4pwa|Dwjt@P14BI>?OcX9> zhdF3l$&Gb}#}fE=tR>}bc7Voz0ol8lL3Xui)=AA^Oi@iSjTt({j?Z{#$oXaH0;dzD zR@t8F7y9_sZR!J{9kSXTVNYhM0aaBydwXD(1wE35C|C9lH7O24S|2E^H1c;^8=NZ_ z-Vg3$fHB#c=adj=NGeDg4}7nH4R9ew5x*BOih=`hsBnVn_lQDK7b0JDR0#H)e~67L z^c3pCbu{B(WK?+h#65-zjVEt@lk8^!>10DB6~0*g7=K4ZJsRm`*1xP$RJ@QL0VPPat{_OA8{YX#G$Jd#j>z!`I3WmN%Ye`04D1cK3 zyO-aVB5gkVDM&(rTUYvBNst3$OYLOxx=3z1MjCJeFhdsvC>DiEA{FMVN=mk7|48F7 z;NY-}sYO9CHB=lMeV`-3eSi)dJ)c}cOv3k%i25`z-2_K3{2-@8%^we2m1?mdx)pQy zwcnFm20_gFe&)^2ZRnxSTtALBC9p-jPgnbUyxh)@zaqdL_(@~#OR#!aMFa%|0=tYR zggtN00$pye65av4Zl`Zn&$qekygNb!#s8$o^smb&6}_g{bYWRPlawj>+v6P^E4%!+ z8}@~tRbRDvCrXj-biwAY+$>nj zkEIj9kEu3wvw&TpwJHz7Oen5{w9-8}cWNF@cejp}F=G&rz zf~njn>JR8XRzFL(4YpU0k z!leP7vF@64x*4(I1xXA_?yYy@<4Rp3>X;};<>mh$S7+JPW*DXGAjL`{L5f?TSSf|# zZoyqbaVKbz;w}Y>y9Fs$CZBrBH7uIu*gZhwb9I>*wIwDWvZOHjbESw57;RhOO~>Mo~SYR(`bAQs();~gQ(5< zZjx^isWCtaN@3#G$n90_=YK==9pnMmlj*Z?!#V5#tu)f|7Yf@O7X$+`nTHmSc5i-E ziTVCf__JJ|QRO};3>_WZPvragp}ND(%g=8*?=O`O45W$ntB$Y+f2*rT7?XI{Q`iI8 zfL8(TLR3WoK(FMOl>N14Wjk9lZE}Ii$2nPtM@Kph<63mmi3TlpYm(&%CW{@TSTGT^ z!0zCM2Qcl@O5McbOjk+)(u-96P6pzorspX)z;`t_M@#bb^o+zA%Hm1$64tWAk#Q6K zliwwoc-fxuVqj%DrL+Lsk{ICXIFN9)zybyC)bVB;(oBD9VIPiLyV>h`@IM-VS}$hF z6Fk{%9(a7Tne&|ee4r08q>mK3SZXgcDK5yp&FUO zd%-P@&!9ME!s0Am;iq&AShu}$xncc9+YzgdE z)VCe7+ao?su0>1}kwS?JQzJv;Vi_&gGtm@P|Iwvw-vZysyr76u;6s#JR-8I-?IxTpYa})nR??n z?W8$$ZHdCZe(EzP3EuJYa=^`&z!>Khoe2M2!HN^Jb>u+2L<5)M#ckuSL#g0DT!vqS z7{2HJD}f`n2}CEDyRKKoM1B3Mo^z3}wHhbT=?l7@_p&_`4D@xfcH^QhN(Wh}_#d{% zgZjjO0z@M7J~Z;eGUfJvKq3Iu&*}W8;7s%w6?kJcxIKv#3<7}WLg-xpWZuk z-nG7M|JQBk+>Tc7JW5Q=Wu*o*TrAfN4A)45p2!EC%2VxAtvx+nSsJ%6Ig~UpjXv%z z1-;R7{BXo9o}Czr`o^y3*GWMqZ=d$*9#__uTTm`-#V5<;Ym@4ysk{yx;BjT;_~`iY z;k>P2lgpoF)S2u@=h8*smv~r=;dzIOvB!#pR=*3$90*y7)*(UV3bkP zda?d!JIt#QyGPU2-Sz0QJuWwQC1oJt2;Vc$`@Y-7>w1Iko^^-_O#K2IoRs^VJ&r*O z8WkCdJQ@+><bJ9UP>J&5tTSBx4?Dem9?z zd=Xg+{iyml&96q684Q#~8@y!Ks%ekIxbk8Ghx+72BisMgIY{`PKzB!H89ckMb>Aw& z5|-z2>5BnvkCQMG<;jX!g;+{-VxD=_IlOp>)^8Je;`)aE{x&;ft<}@xX0YIF=Zi)e zeC2Y?sXCj^SX`%1gQQPIz;une@&mqaZeN4nRkT);q%=N2A+c%Nr^?~4yD)b&{D^Jq zdsK)F0P~$refvn&n@~Xwi?R~4UIkVAjx%2BID)rtvnhB4Hp$3^)rj+$m{)~7sHygT z0W{1c%6^$u8%|~=`cLTf``-I?J{6y_BwzPNLId@$7hA-R@E05DiT;8^mCjC6{ z-|0SB-h*$E=^lj~^NseYu;Rg_d+qIKb71+3hI{hk)UaU4<-V=ix6J=u%HHsaVQSaY zy(RSgX1?*>u2vcdnpsq01Mon(hbGULn=NAo)BvoKvwy2TI8BQzxe9Vd&M)?QvG;G( zvd>{}ja~AGZrSb8473PNA5+e|*bEFK1Oyn7W$N=DjRPL(C*LdJn}mTouPd(a9gbJq zI%@pSo6wI4B8rkcT75!g*+AU-yWf2J1!nnUCQ6rbF_0EIJIiQ4=7JbFLBJ%)GmvT} zLBqFH+@fgPa7{QVy{ro{clRe=Ded30`yQ~JTIQMOz`|Y)jO@cF-MV+7%(ayh39276 zRB=>PvpF%6LP6z-k3O^8gY+S_@Q`BLRiW4#-?K>%o88l|0)99O`zM3FZ5s=H)?HpB z2(7|Yn&8GuEypyz54XV3=swmseFUp#^;(+t@Ui{ z%ndlb7kWxjC+Tg=%RW2TsBWad*p=J*Df)bZ@o)Ef_=`lRBZ&(n+#L6{*AL4u1FtBD z-(P4P{qEELvft53!F+&F%kERqv7FU z;{kvAjA5J)MzN6B;K)fx<7)sY#m)U7OlX zYoDXG>itEL2u0HHkS+bo7}GY*ST9s$?8P`37OVHNNSo~K`OZsJR;#w9vHeHcNmFKC zUg!0{B51SmOmT11kHCRD{7M{vxMDzZNh*=h9cjeC=ZC4wM;lLTZat&j;@lfopOpOX z_Gk0!=pks+QWo9f)`S3S{0C7XVF4a?IktTnn*_fp>Kg!`xgBC1~1wbuCqvu?!K4%a$Tu z#F{-TKO8#>%Fx8Sb{ennsgq>v1J!1=cswO`8oYY(lK>l~?4A)xmg#~lfo&~;r<=G2 z;IW%scc?C%xEfA#Ps)z>uV)7i-`lznvZ*^{(G#y{X*!0tmkFbHH8LdOb%c z((Y|^VR;~b{!ggs%nm?|Jba2V|M zNkFl=uf7bLLla&>j;V^}AfaZf{hB z+m3UGS98)gdU8EXEsSSY5M2yRIY!bn9K`oF|IHZF8++rI$8COoMa#@;vEEt~Q7}F* zhA@~=0fo>ZkOYtM#E-167Y#_6kMimPXU?YjYs)5?LvpQ7u9phm zQaUe>E=Lbh+UV`0*|Zy(fiJ$7=Xrro{olqfzX%6rU4O{;L&cCb7hCr~6Xq!qEd%CP zQPcXt{Wk0U-}0-M1fxzX9pa12e_2L4+!V^ei+dVJToQ7;mImESuW~4>XPI97{iGNB z2|4!#>lbsl?Y-iV(Y-fEc6-o99ID`xJk<{p192W!j!6s|Oiw!o22Q9*m$s>kufG?` z_2;F2%$9gq8@BL&yubNGrl+5wxjqptGw1AB-Y<4K;>S{RKjQrG&2N9d^CsJr;iKPM zOc92RB*!mns!m#y!sOm5C3?w*XAKW@czo-6@=?S@;VBC6W2ruQkNd)^92WM$|1 z9j0Y8%m*CK%#DlRmp#xNZTC!Enm%lGCS2&fsy`Ct;<0v=sl=z8^4utKxVtyl%22bo z3w(xtj-iGIF0r%6s&2omQg0F09B(bb=&@zC!bwL~3&C~ue-Er+U$VM9xPk9B4JX6ZMyR~_`9gt{ms9i7n zj7DQyoj1^e8EjtD`f+FWIPfq=K7qzQ;l04xQZZ|?(1GViKqRyA0I3obU!@|2`Rt+D z!e|CsI+D1lDwq)+$=@(&52y^!tFepC0cPXbVuc~C>`N#c+RsAp07}6`pD37eA$^%f z8~K>pka8LPV#(y}tU?QI;Wn234+jXM>=+XKUx{7PljRjh!R>AvPC?rofK(5~co89= zZPz4|fV1r+l-A<@Itn#p3AMOe;jU1<_WF3rN0~{9qlX!5hR3SvRv((As?~{qtWI5b zcdgp1NU?REviN^F39mX!t{XJ7n-;!);&BD0(zj%3>XS2o@j|m0f@Oe`@4D#CxhSta zo^6iinrmu7ar42M(F{qMiaI;mR!3Hf>BVMC9^Co6+wrvGEuul|y?<_K+aLNx$}E}Z zDF}E=a(OWZh3#yNDmyRwmGc7cW5@{J7z<)P>l!ZY0)7d&SE=jaVUw(0xsiv7D4RC@ zBa>)yKO3XJ3iy_U4C5l2kguRRELzVH_jkQXDi2s@`Hue+NCFhf&`>Z^=Q`UY{=yy4 z5ZN0n3MNC-!bGR%!GzLdko0A>qyM`c(@Xl4L29GFA=wUIRZ5Xfp|za zxGl!HxIOZ}7~^dd?ezDc3;FI;;4X82+AhPF48fP0cO|gsiont`>^AxO5*XH!Vb%WV zzbZEC>h!%`$tRLYPx;7AhODrqSGJ~wfLrckJkbxHWueLAu^i#R>f{Wk$bGl24y~O#|fy>iTx1^_0dXzAH8e^ubaj1kM(DzYqBd4j^?(0 z6c7pkiF?^^kM`VLJxx8|d2B6eKLQ175bnP>@o{kLd6+%+#{(QykZvu`P8KPjHT!M4 z;6+i3*VNB!>>pPaUDT?PSp^!wU(4G`L)0i6!&sH9WA z;T&x+2HMkY$m7JRy)YztIZDDHTv);dhL}GuwcRUoRgj8JiZVO09uC2dXzNP(ibeFxn$KL3rYpsS>TFZZU88Bac5HsxCzSzt}& z*h!|LI{vtk#Xg>3#>+2=7W|rzipo&PZ^PHwhD#V=C=fu;1#tiUE9WZKQR;Qx!I^ct zKg`YYUwdajLFsUe`qA9nww>_e{!>*ae$B||K!6hPD^6d!QbtN4A9icydU zGZtT3m5MX)A6w(q1w%dV@s;%}I0eJ3buKe4d_)s%@|gk>Fp1 zLJc68+qz3o=reNfonT2-vDQ+hO==-b3m6Eb>FDpHS^?E22>w7%0w@iQM1-K()ve8A zg{ZQomMC`tFyjy>zmv3|6XptPNwqZxZbj7*zPa26n4v`%jU%m5QK-}I$*Z92)1%vV z{4jeNdGz$#ureiL0AT#_`FLRC)F`FzNQ2!GQ=xq{{)!qI;2C-mc5uT*Lkl9EkcLkd zGS-@#FY|1)*2=?!Pm$<2zs{xM}eu-W{Yw5f?#hwN}5*B{V zB+SB;C@0jq>FzKN_8}s-;ZLUa$^WKAdH|`zb@u4RnGz!w)5=^ab2JNpOEVb4Gr*Zl zF>V%p^qlCA=`2!8mzM5Qj*!j7kG!#9sSh3jl{){Tt{j;Oz*RiGFL~Az|nB%{RIZ98JmxP(B>f{d@32 z_vt}Hr52MXY0hFK>pSLCSHCH7&IjwOr!z^7w<_JASZWLbVHRdN+<23^vG%jd4=P8* zwS|b!=rZeguIXHwXRuKN)fY%EfO72Kd~g8o@-hu6K2310=EP_+`T&+|m57WPj=}r1 zOn|*U*@2jrg+d{lJmDBm9YwH`VGj%s-Bw8qe!ItCn4 zspm@60#JlM^dC->Gy5^lEAzDIL04q^k1OT5ynUqw5hlrRIP#*x-`QaBX+NuvG7goM%TKT>Mf`E`MI0Ahwwl@05uCf8<=Yl+_pV& zAgiS`La!5u4mX?&n+eK@OCNZhA$k-y81-{1sDd@3H(*UHV# z#`d#DehPyCm#hBD6WdHLlt>}B#chAq%xmXg5~JIh+hx+a3bDtSjgL5|vaEO$J*=*U zI&C9Y54tLpY4xvMKP)GJ&IM@Lp@P;_Hvf-iM^EcG4qcJ}fH08r-JKZEBJnyl;$M89 zD~M{}P`-9C`yIObNLsz=-`V+Hki0^Eg|M5j!FMhfK17NuCNd7_f{ns;FuBkADSQEX z+f0POk6dyrShjqh3X?kWmX|lMF9KL5R)Z{XanPh*kdR0Z>u`&P+3W)Ra$%$n$It{N_~oBbhvhGDjqM?eBJO_c4ji4Sehino|$M0rIoxna?z7l ztczWs;t$lul+=6%c}5gtMr6=QORo`@Pn6@ei@GEwq%n|lm(`>IW?`@{P)sY$GqpE- zHg~?p3NN*noW&y+_N~K8}j+<_wV1g@aRKj-u`{V zK`Y$mAB$vg<9#OWF`82mtdnl7pFWFcSO%(O&`aM|prz-Yq32eT-2{TVga%3sK1-`g zjpCD#C-v$um14>$Pt}V|5jNPLOXBKVmDJ*CG6D}1UQZ1oUu-O7Cmkl@K9_P?cNp&G z*KHL1alPC_Hak3ee7`a>9(cra7IRi@x)!|+n&TApu`gI!sgm;e?&0ixa-P&|Ik4JZ zyQoS5{6!6bqY-Bn@b*;LeG4h!o@X|u!#O0Kk2V33aG;YX6RFu%|MzzNzoLBkHSerd zE^LiRH{uz2pMCf=@?tRGRW7qEGTPDt1~ZLWTdf?}h!#XU9aNj?B_Rif0fxeGEsT|M z`n;JmX?*(Fhb)sRB{jp%-}K}&xQXR$Ocad(%yfxw0y~4eJV=fCD_)=5v5b?E`KjkV zoh}d$V3fM$v)bZCMj++FT)bVQtVX9v*!accO^05&CQjUX9Fhu}_X5$7VM8JU0t5{P z{}kiCZuVxsFV?_ljxMv4&fz$Yo6sW0h}m2SDIrM66Ss#hj1yNPvX58lA9KJ}*p~Gg zbI28@lMP?w4M&+*g?;cU6%V~WG5?3Jc(aff8zuwyCarn=QOl!`#M%|1==26z+W?o# z`@e>-uaeak6k+YJa>GsDSzgQ@3C2dH{INwIP`hRo*VVbbuUR;L+aj%b@ZC~2&`;Yv6je{*^M*Yn}k z4@WjtMq)nB9vSpvuCwuULbw35-!|{(bouQE6JFJc0j^D-_J;FuR^Y25TDPml++_JpNfxs-h;6)JuS7KTclYmUnX=myiy=c#Nxh=EU2Zat-_2NRFI;HRQHJx(W5sBhP1(Rs7e29T1GOhYx z1B(7g_Leyxq(?@gg3==z)nq+4Upsjvo~|T(|8(9It=&y;kR7kw$RbX!hl<4|B|_GG z_NH?iF6vgS+^5^G)U4-a9rQfxTJX)P>(@IvX$4iUgy=xz!IDFcC|c+LUa=vDkw6(f zTJB7{P5x+%LCKJ=K}odA8~O??Yf`M%A`2tMNLl?F^_(*(4isloK~XgvpGfZ4{Nbj` zW)uocN;9?BF0yfI8wBHys1=w}C1M+%xL;qMu#^V`+hWnLb_C4boexgdWUK|$;r)lykuGrJ%l%!Rm^h4Z5=&rafNY?lpW$cjii07ckTc>0_c~2Z7Mx`q?+uchyKo zqTIFRz#q(&YdPwnx~;7}g%x#bkJHUOdE#CXXmZvMd#$epjw(lig$i%1E3CMv8BP!G zEsVoa$XU~y^}6mHB0!h-hYUGxseyR=;4TdYK|b}diV0u=JD4IFKv^KbL#)YzTFZvw z5!)HI24ls>+UrG)A?Xa3*a)vYkB?%5u8ZEM``v7i(JoL7aAf0hvqa)A;ozhemX$(? zEp)VGpxybTt(hz{3$vX~QHwrLkv`rTcvrct}V{V>b;nC$X-uZ6a+h1?#_9Hnjq?E^k1iY{Otmrp-)9ohmgFN|ZS2S((5 z7X*oi@!ok*oWO#bH1phrjbVh60He9Su_Gq|Q?9y3MRlr&#ZQ}L4E(j_xj!#aEG!f> z>t;NZef+IsPK612LrXBO_E! zsB0L0wfYU0_|+FUC7iUQldaCj*s<${04x~iHt=khh4N)dC=OyV@9;hP7av!c=SSPY zUxiU<;7P&$uz3($DTpakM~hax{(NBVy8k;O@bNZVGV_+HwkM?kk-;hH)SXYNO;QTzII%wOHcJlBh|_L&v`?6dAUGm3}xN)uy32TWwiv^ zGupuOB&A~fkN~2uSidy}oFw!O^uiiV(D3u)3pf}(Q{X)@OG~KFb9lno-|W~Pbr10v zicpOVj2+;YD<5}=a7Ht!%TOR{jr4L7C?nYSsj}

dbym)mPb*%0Ohap)a@<=r3TB z3S+GhEem?EEHSsIiULHGho@(AkNx|ZYA*Plo*lz<39PVJ1<5{nX$n3(Q$5^tR&}t4 zrYZ2GP%Ap}BK6Z5v}o)zt~wqMcu@Sau_jh^+FUn%lkBV-^ktMR4GHIodF}@IZcHSo zt_rbq2x`ROIpXCe}Q~r zCHh`OUP+!&Kww?W&Pd%#gQz0Niw{*d-~_g&eS*cW5?t8&*AFH4GlkI zB>XDZ0t{u`6Jz^5xM?HO{#+O?k&PYb)WjMPj7-#x2jBum@+AVc8j?@7ZN@(otu(n_ z&CZOV^lsBNH_cvE)sBP_>f#?cWqtQ&uPf)O`?BU)(v2{bggu% z@1mXy058NnST_onUre0hPcgl6Ba(Gw3XP1#v>jVq@dNX(bEB zAYXm$@G_9TpIxg&ULJ{Gx*Y$SP(drsYWBalU4&^WuGcOsqAvfA8ocI+s7C38G-oa7 zcfZd{4z3mxG3k&pu-HYDkF+4LJ-#hLfA!rQSULY`c#rb!xN4WS{Gh#`FWOIu8%mHZ zSQ#)1QPtZ2HOXsvsk%|rOTJGDn5K-OrVI{iYHeLPyqs*q_@!{I0)-E%_^qrK$b4Q6 z+_>1V8DDMl?-MaGZZ_22N<-OPFUnXXZao+hDG+Ep`yt4;$GPY?^(j_dlrJL5DQCdw z^?j*WW<))!QeBFK&v?JQD-?@RmL+@na#{miN@Jz?OA=v^vpw`tR5HI5o_t7RT{-6W{RD_zxrM;65C>aNh0V?!&=8-77!&S2z2sr`;qMxPb;>nL5o0# zFem|NNG!2dP1cBTH%_Xq?l*f9FhW8+Po0vjp~huwA}>ibrKBBXHsj#QOf&?au_P;r z@F8ET9CnP7nVAiAU-7VTYLa4@!KA^*0Leb1b!r}ThIb$kbJ2;$OmZ(O=u>-zwVi2! zAEuCU*5J%3kq?ixFJ!j=@S%q9#w5k;`===ybaa>QCCi5sltXQAN8He4fxL1x@QO!W zA`0hi+bw&OQ?-O>!1jH6!=J5vuOdO{xxQSgpunsi0aoiuxKMZcq+$xM@_otdduJ0W zLadm&y1AGuH5!rCV=s`4`K2D&dV@$O>%N9=!!grXD{F0QYn{6{+emU+YXQPR`r}vw zL~~<^*}Y7DhjNcq!KzSnT)LD=4p6nvj^-I3g#*g<;*P!=16st6X+qP2cXMQVIYy-; z*@q#-ht#zB<`ca9xydE7$&HnSAh4yGD`^d~pJMF)z-aFKc43t2}wKl0Y6d$tw~6gWcOpZ%=WFdVj5x+`JC| zzr_NLL|V8|ZuW>Rkz5Wcf@lO@M%9tkSbxKNRi2E7hr{{OZ@?(SK811yq0Cil?73La zp!w_7!@un+TM10Sf4^-mbwh&v`mC^mBRCbfig*vhTJRa@r(<(U*z^tNYS`uN@E~Ri znB=5cZu@uUT3#&)vP*{w;@NvAb!T?Wy|gZ(dH7wTQk_iwMau+{MGIDoUOk zNRb>LsVrFL*@u+e%re|a?o1|rJ_n$Kqsk~c^@3|GI+M8KHW^`c#S7DpM@FULFC{kd zV$J@06TBC#4*SB3$eq)k=K+`%(Wghl(O!nn&y<56>7EP_h>`e!WTxG_1-a%F&sq!K zBb&cPWN%YJrhylgYO`=SJVlPz24Igz`KFhAqT!Z?=>yqeV>O1V(N&Zxd5B->>CBk%Qj$KSC#pMB8`!vL z;Jfrb?Hvlg>3jWt)jC7RdS*G22+C}+)AFFU&VyT6f`sJgLN(|3&~JMgze7l)V8XOy zC1&>A5T{*PDEM;KtJPTZdF59S6gs0aO%9~^2~49jY-0!3FutygL&IdU;EO`vC~WdQ zH2Cs02t`HozV3$0)3#o(`n`viO0>EhF7B6SnBH~X(>)p{xY+oe9h-Q&e((!4fBcRJ z4uLDg&Ko&KmmG>9A{##q9r2VlMp!#2g`h=&6w!l0Dwdrk|4*)|kL7bix`YgW7;pv& z&MMQywEQ0R0c{94k)(Z=pYAu;Z}N$@N!tMyEeG39*}RS-E_a|x`RaNw0qbt4U2c@bRoRhT+@j#)Qbiz zK|RB03QR6iNqf<^NJ0#;`+LLcc=3QgQ_2JJ=O}>K7wKPVGik^ESJ+smrVo$r#oUzQ7i7cI zF5-^cPGgjhjRP*rz6G6~#C*2#L;HPRwvg8u=w?%AmZ6#|h*h%4lw@9~hZ>+Ev$v)s zQqwBX1s`G@Jkz^98+DN%ZWe$3YMY9??(qVU?oAmEY_HI>kc_2~UI(H}W;TYHuomMG z_xeh_^_FZJQz!-ui3)X4q#O1eChc?PGn&yRlw-o9Lh(@c(oa0VU=$9Ni$0qVJ39_Y zW38~^3TNUR*Y?zl{ft!n;_(U*A~~}0`@u3IYwj_xW(4^!z-Zxfta&{y%1op2c~KG= zOEc%uAVN)@d(Vj;jf2iXCxnOd!jBf3rNIQU(;I1961f{J0-$4E*t%b?Gp2~)mYjl) zAq4~qsZ2xOqU9-WJ&9%^2&!9d@`8yd-fSZuf}|K=4Elm?&Jh8mZxxVtM zUZq07l+xbUe?AXu)_mNt@5#=@1t$#1b_)1ip39)(1J7jz2V;sk%jC9<(ywk8_TP^@ zM3JbfPBDGRy4$M_3+%}k5HczOLu}*R5^%l?&B_rMAi)1v@`gQ*U-Nv>Dzxw8jCqG4 zi4)Ss!^8Yh0r#%qLe<5Nl%4TMQ+->z2=$!xwl-ieS#5Rbvxv;;ZU90PPy@G$EG4L+ zPFSi_DYcJ`D8(~lk2jty4Th?sMNx|kR3KVgF+i`@f#3ob2$-1(Tr&* zpHQhB^3;+18ew9+Gfna$dk*K&tdF0ugJQ3q<*l!T7d~R5z}9$tY&=)UQ(=4QH`^wPBc*RStxx;2|1~12xh5fa3+ylb}4*5hr297Zpth_c5|V;3;~CS z$VCC`1?B>_>&Csq4p$1)TgO@r^n&4{-1!C3JpUFm=_Z+6UP=b@Do@tp_be^tAg?QA zwsAxg%k*h}3R(_ej+uAo^Cc@ucbfsiwkgLg>*gJ$-7lx=SD!$4bXgCDo(!{AGU~Nk zFTnI*ZwwdCXK$GBb@~|~>s4N{Kts-Q9L5q+9l_*lc3 zw4Z3CIdJFCbHdJl-LHi_e?{WLZWFCf%2;Tzf(@7Y{H|ul^F(|eduz@KJ0I`W0~@E0 z(YhLbnz=adch_v#Bya{;9yL49_Ph-`is}((v!~kI<=Igmd-LWYJLoO|xU=xO(Oe7* z-4+S41y5rBp-Mzcw3AtZqcKFY5wAAaXje7<$_xjh=C`b7%6?#q#f|;?{vK? zH&i8zEF<%#yCRS8776Ba#U1_dn#NheG|I66WqT(DfzE+}9o9+HStSw<)4DI@&416U z^G|OJ#9=LYRhvqiW}ihJFB@%t9Lg&pV1`P2e2nTIHQ=DsLq<(HnI#=8PRX# zA^+);X%pz?_RV}vl{9jsmYP5==K0yiL}{_lOfbFTB+x>WB$z=rjaI`hOA}{;1NA!> z^!l^AT4P|OM}3g~H1)Lc@xsjzG7b!33MH|yN!aC3=PRX;{jZZp;76nq->6@% zYu40^m6tgt)|MmzSbgM{iv(JdfR5YY{GxWHUsxw3*glds zs+5+ug2>6iz5=w+6aY+kOj1x|j+YB!<>fXduo>hY-vj>>YqhgZ`HESms&u9iSRtpY1P`jO3<^_ai;VJMan^~l5 zgqN1F;(-(?p=ps7HS_3TRoykFi0I55K^%2kiKT=pd#rd>(VaWKP;AWaA%fG4v2$;~ z=-pJB`q(w*N_e|?`Fyx&C#-givxt`UYP`6AzVl-}&DzXpfN(Xa^HA7l%tNJypeh^n zZ%l3Ei4?7n(J zktSvTaBeU>SInz zfXyun)`33zq@OsQ%6ZBng zvNJF?`WH6gUH{Sk;`!UQ+VL`L8{N4}xyK?0LVrWvXFP7JLY{G4zmng9i0Psqx=|CZ zum7ruk<$McChao=#PBdN^1o!XErKY(FrlybKb3{5%p)uQE-L|e$n6_YHuiXG4;PF?$dR>IjWQj^8T}YGsh|3Ahv7L=_=5xG{4oM zp^C-F#PgcmA{|M@QKG=1e>5OCFtOC%Wem~q5r;&Yeiw%Vp&)#bv| zO)m}fr*X(Y6T7mPjzSidP-M*dhAj>S~?t9h{O0sB{mDVVOz!uz~Z~~ zqVtk4cVG)4k&JD~qGVIxE5>kp$pa6O@80v1;4v+FNQpZ>Rr8mCrc_cHHfenLL~%bmsXy z-PeutoAi;WQg>c%y?^R^x(k|Wn)|!0E)md?WSeCXXty23GS>chd$mpWQsU}#o#53HQAZ@-@0vDr>H1Mw#5Wr2%( zIS_dC(;yWyU6s>wkICulxl<#Fm?NgpS1~VXvJF03;l)}y(&pi4l>X!%HVOJJP|=jO zQz@($#Fdq6%DVx>Q|2a+m&s^OOG`V9JB;#2!Czc0jg{i|wb z_-+P>xfdBDdNy`EURN^fC?-0rB=MC2%)1s2WpIxsmA3NFJOf7Ihz@50jNs_hx;kg$|-B{(3?U(ECeKY)BuF-q_ z_VPiZ)&FdBcyi_9Q7y>Oa(+J9!jpT}FAFKM#*WA0BuI{$klt#M9QH0Bs0LgzT?(>Y z3aJ0f`S0RQ$$!ug{kN6y%nDVO1qu2TW+F*@qcl|p(a5@>B^ob?Cyf*8p+d(u7Wg(X3kWOGecS}qw_V3uHFR?ZpJ!r zikcxv70BaNC^@_Hqoj*|GDI`09_G}jE)K?~sSpG-4v61b(|3Q3RlD41XIWZJP>GpW zzq`GDye@K{kot-&>TZ2dk_MxzzjXR4dh-)!9TRMs!q0$>01mA7_?)bd;>*3Xgt)__ z<{oYR4sE@B8p*pGJw2;Unpd3OiU-&qo}V=A&mBvS`efL@vNza_3f986N{yWcFj`_2 zm!?Z%%8L2W3=-qJoC~};`t`6Na4ha)^+B-3aDd5QoI?9$Ig$Pbj1hwDH}yagLWQc!1|5c=y*3sIDPWqtKZ>QuuVmdGx@5iLc1ChzK& zuxpG_Lo=&%@c9=7pwz)u7G%j3F9*_4RY|W4)rNm&kFm7H8H28$(dVW*P^NoyF?m)t zuXEE9@XylGO1Mh&-Ax8c<{>c6z>_M|$l?NS&NnH|M-0O&ZAJY(A%9KPOAncCPsRC zT-x9{qg>OcMdj)?cd4+&L(j9Gb@DDaarx7R#M8q{?r2|M^UMrmLdEZ{>WA(3s4eoD z_*t&nof*5vjE#x6uetS~)2-JiIhl~_`t?sVo93s1&by`keX7;F@Ngdof=t?Q3ZJ(_ zSYJrHdUR<^S1P4*<%`pmX}EmK&12=`zsopNCKc2?2?eW~rw8m{DQtJ=lI!5B2p~Nw4A)( zo%i8}g@?(AAZ|EDpye7ODAiDmfni#-s13L3Fb9fAK7iPRL@$%VkJ(P!a(5OE z4ss$7jt)OKIgY&@J3RN4#^HVyw%h)Gh6F5$zz3V_CK|{PAwch-0LB^;!~&q+$c0-Q zhc%H1-3)8B^Cc!kZg_I+)ZgJO{zs-XyKtXe!tklLA1quuwkJBm5B18L3&v?GS{b`~ zJRe_g66Rh?vgQQg$LB{-vakEw33rud>{$fTrYrGycQ&`Tx7E*&x`j7{5NNBV3t@jH z*G}3gadHbdyAS_n>b-r9>X^>_^%So36r%NGVX#%w6gXEF!r_P1G|Uksg`ZhS$RxsQ01zTHw{n$c8I0Lu98`Wsj0y(O<{u2z#`F zL#aWR0ZngkDZ5?A^Y?U{oeLX#t48xApEP9{{Bo)${X>vJ#V)4iQ<-acEZ|ZR{iWub&(36LYwL~rW45XOE|R06u6S$VxySvbQ}rGpG>K>2U9HNU zvJt#eeSA@uB)Mbkd%C;$>IXIWH&njZdWH23^CwwGn5UBH&>w7W?qB!ia*l})Gf5z+ znaHJ~-e$q<8GA0h@@xk9E5=YFGxlwZ^R4-JW;*ZvuDse&mb&j=N7o+}oVa3F;1(Vi zwyc)ngZhn491_0Ax&Fof^!?0_y)zUu|5Q$0|g% z?<0{q8=9SSdD(Dyb}q%`XDAoIHGF#d?Tcm--M{06T$?X9XFKDEd9)iEM^8wVoe2-ely|xS`PuaN3L4vA3Nx2H zKe;-&t^{vX0h<7YB61GYd$ieYE1mq#OS#ZAnMJqJur4CBs)G>`4%V6Ak`gx`Pid;+Hv~e!m(O+wqZgH7$L&* zIa)yzCDLGeN>ar+#XvDb3Y(~w5L>;PA|ZJ;nG9@cdB11EGr1n=Ru%hrB8Mk>@{HGc z+u@oHp9klByGx1U_B&a+_TLC60r`Mj_>l}|v9cGH5Qy)iW1QjVDI;so6+@dbI&Bs4 zcR_Lmb>Fq;*wa66FT&^Gn~zzy2gV!kgvMl(5=^W`c z1WsPg0-aE*3(=7wq|ehZbqp8o(Ao(&RTM>p$P68CJ#kKZ(Gz|(bLi<=@zfUPLP&r5 ze^RjD+3xuJxN%>h|90S`a4)n#C&~`y7pE#ea6TA~AHDz)U{zon!)dp==~?;tpNudqLC;%7y*SKQ^mPunfc47 z%fe-sfIHha4olKh;XT*;SAgj^Pn+CpmSL+9Ui?TeP>nw!kh!4`l;8EeOcHhE2l)>TJEkh@XE6Rx+2^pls zrvpL%%Y&YnfV(&AS*Aw+9$Fv z4=&4)&AU^D1$%LC;-PI%P(l>h^Pxzi{Scg zP@s%TCV%_l7ykl~mV&C>s|vAA82!@o@LPPi}hCIuLr;>WH$ceN8L+;&ky9 zoJ1>$ltrAXywb_1d|QvXpQQQqQVotJGo>|e{>#BTRg+g!l7-j}D1eRxHP8rY=47f= zx%9itWuhM^=M&^OPOWw3r?!Jxf0ugCq%7---ry!>xpiK;dSauYWLKDx3`y5df7A1k zzX}3L&-^CdKx}dJwas1o`aZ;??bRn?F2s>rQ;|Oy_U*L4;0HORd44&H4X)qWP^&$s zU9!vsmP^)v9cbuLd^dd8pGKzss?5NKA`BU5bqYd&;WJrzZmISjP=~i=RFHx+*Ywwn zx>UWJw)TII-)nZ>AEd=~_#D64@tTOxNIF@UQ}5sFKp+fP$xp00(R=KitUZ75%Q4a} z9pca&Ii_CMP~%0lAE)!p0atIzwgy~DUMCi_q6DU!>*%CdnD35hDDSWJJjj%bP9MjP zxM-+k@RdRNucPStCs}KpKNFAfM6oe7kOeal>z9+ej*KWRFB{T@a^7xD;w!Qhap+cu z;u}=n93622;|45tLnE&>BZ@MF(yVZQISZk>>(eaCEe1jc89R&UEYiHw%0KtZA83D| zBVN|@aO_gewtMOJt+kb@y{57IdpF(QVA4g)TEVj+fkF&A zbyG|mAn?uh&hhUKrixV{-ASMlpKE4_C5>ib@j_-Tj)oz66>3LA2_m439TkXGD zmN;X5=u7U)ao5v^sM9mNy!yGH`$Z#lNR!)?R!@FE^Hk#B9(+w#_i?)G{>UMN%_HJO z-lWWUb>DcQFyU$@A9p>f7z@Yx6(bIRvx9hWDTUFxsMOr=^unNOUkhzP$kDE_ZSCdfJf}Q(U zK4mt*8$vw7NB3^DR|{m@nv`+F9gF065H_n$W0uBTKl6YaPmDFrKzm5+r#(DbM*T@U zpWQ_%Y@Lu-f;X+9>iRHk&9(QftB#-U@q@yUDJ8rwR-4vX%fGo%DWG$<59rn%AJR)(3+DOy;+qBmVlIh9da_Z1q|uyfDxsu1sTc$~U@jFZFZ% zPrsfx{Y%OfY9DxZk~$V|9DgA`s5JM3u$4uUx&T7|QiX4cLnnOGghRUHaZRa;?RZkd zi++N?Gs)7UFzOkZ9B^_q%J%R9m%$^3-iHl<4fZkCT~^2bvOR* zgq)udig(c!6Ir%+(10i?6LpuD9|lh*wR0Or(;%xJGJ z6R&+lw$@3p3%}z8y^WpKCB;x1ZeqX4_iZy~P2Sf#?5giYb`zPcfU?1_l`w|t(VMU- zK|@A&oO1c;SwR?)@*js$3DXjTSF%O%B-;I7X5HjSFAr{WoILAx3MwgoISTteRMHqd z-aCms&FsINzg($RuXdhK-LZVMRgZH#s`8v0x7rMNX=%82lhbw8;#q(1X!k*I#TaV% zucYf9r5#C&$?p$$WFj5YdA(zh8xrUU|L+i-{w!AriEp!Sl;D0 z)+{5snVAsAdPzn)S0&DLZ#i7lKTm6)YQU;(98n`mSl3>ZJzj3snv^q`2QQw@ z;=N5%v{QGA?S{YiqJdNaP?#Mk72~~%^k&$gR`x9_MU3pRY9<8HM!y&(Y9V_?)ILG+ zt~i5VO8?ZaZ50gOH9~jcqljn))0gsk6V{@Jl|j9%C&?oF_$?&*P$eNK6r`m^(q`uQ zc?o@hq!C{Yru=lfpb*^H>d`8(+PVkl+pEosbXY~n{acAh_2KA16kFb0EATKUK=u?!CI#U^p(`T$L<~K8ga28vY;nBoFe5$N zZPih*PZ|lM=+DX*T*uisaBMOkccC${BpQ>YlT?BKIXSF@U)|(5HNCqV5?nUU$cjDa>wIaF!G$TfT=T@ z_wbKpY}ym2NB_I|hee-(D;Lt;KoA@hlPpO~h~k6bojz~inVxRbD@)1Oil}o?ZcP3^zEyCcL{sjZol*o2<2UcjKbtLTzT_1^Pviwv=9>myd+0t`Y;Bu zqfWA7z0kodE5qv9vbB_W7opoFrQBN&toKg+;M@ERP?eg^*vgBG2&1F$c9Gh2!_n5( z{f%L-*bP4v!LWV6_whSuYrJAZ&iE;%t^{?4d`u^{!?v)n z`0>30#=%SELh_R$TJd8)A4{(Tpe{H+qmOJzI-QrR^QmKA)ZVmX!B|hkvr&Ir=a-sz ztLwwugOh*F*`0N#^Z8x^0?EP0(qNo+YTVY?>H!yOsRu4DlFzprp5lZ{d|Tj%=vlwt z9M!asLbChYA!;aig2yXV-H^b#Q=uRood>4x#AQngUL2Td_j=U#pJnc|OB9igXrW;W z*8ieTLemqSXfE(!VQC>ITjyafCeEXPMjt+$C~&fV{b%x@s?W1mf+z3twd|sihuc%< zacpb-B|9i4HZ~))KyGf{kBJ`R;V#A3sk*DKPJ|5m^xC7nxnlNx`8PgxQJKl(ud+K{ zMz}GF&C!EEg)NHb?K1v@^mDJ~R*}mVQeBDm$MFkAdvB|alc%;(I_=2S34FEvfjePV z0+8ZRVV}!S&)XT^uAA=N9I-k~GYhAU@t!uCF9+HF+QeDJJWM#yKpDI6Fs--&q;y-? zT6{Vtu!)|*>ruXyHuuYoCm*G9`;rM`o>G|b?x5t6*QP#EF*8Qa%Xmp$kHgG*DD>X2 z#_8eCPvS)?iz4_wFPjz$Yf*Upd+YR>MUTfGHClesOiV(eI+aX(LiCTZm)@UOwL~gw zUqWn^i#)%mP{|OwJi(}A!?)B|TRab2taG7<<6NWftGV8A_%Arh1&8_m=@ z+Uffq5h2LPu~6*dAxU<1d~7|Xjc!DJ?tJ+1*Wso*lGU9{qOB*TD} z@$6(By*+j1`npL5-pk&*Tqh+#?1!qezniOvJrehE^CYC`y@*&8Mk~^A>Gp+7O5LdU zxpD@DMUe&4(A4pAQF*V2HaRYumGQ%930dBDHkLbW0x^gz#;}q^vfGi~LJ6J)d_CAJjMyG?CW35GgLz6b z;tA?&dWkzGG%#m+El!%A1o}8tC?u081%CvLW$lX0D3vQ^OLT^P2lU{tBzOr`erO?}PD-cXJ2*SB&CKSpHhQyC_Ot{u{7A*x+Sh<(Bx`m$G zBujDRnkQ%pj3&VJA%h*H@UWJ?cZhw~=?i@QXhD*!c?7@gii=mi zSgm{QjDjgmR$X%YeU)@`P4Ncf-d&Czj&VP?aZ?cNUbHA3_bPL2pyQ;y#O zTfCN4LsOII=GA^%lcQ%VaKwIq`LoThW5cGU?7686cKi%Vy1!1!z!%+DKGM1TtC5_e z4&VL`KmVMX2rp1q;oJ_LeaxdFd!2+pdJ-n^eY}Y~?_Me$N(|Q#5M389a3+}C@vqVC zxP!k7@$Z^H9EcZRcq(1y>UU>Serkc9+x+b45Ec|{`hMW};ZOYFCoX$41aIgIl_Z5o9-B%Tul&&DQVi zd_rH9P^&UNj<1oIoJCg8M-+T(Vlg9~2zaI3n`gtuW~Gn2N$=^bHOo|Q>3srR+h14n zKx6f_Zp1(V!sKNYlZDsqCaxl0xe#JF1$eP>EYYSB1}z8^q#-NL6e5r2NCZ5`J@4V^ z>c-;v6u1b3K`Im$RG)}bClPSa-5iE=3+l82owiRz&QzbsuF~dXt)S~me~4eDcM!=X zvSMC#cXywhP!njoANTHr2{wpvU(nOw$pK`+ycV<4veGNWL8=)pJb=;_Q{GCA%%=W2&mdtL`kf$ED4HHfqDpO9o}mPmC=BH znVddLcTAZCIa#xB@WqnU*6guDr;gk4sTC=uz3fcEYU8$x%$L81T{kJZ9v*17C)XJj zMG8__{6G59GG-*81wI{d|yHP zJzQFLZ3jCSqzp6lJ>N+<_S z8%s$vBpq_~dH3q|>S2Srd;%oCSDW!%r(1;+KRm~o`^+sJ)Hi$A7+r%?-o}*+<9Z7u z>VH_%KN}=+xOrRw4ycW7v-I@?dfOXVXXrTBJL^4n@1J&a#h*9Ie#fm*a*=$g(S1m+ z5q@O`v+Hed6Fl)SkX~3mwARP9;DI#TxKDZ2G&Sk|toLXmiz!df4}#V|3ADz2Qt6n$ zUYwf~np<*g80ICH++N(VySkL#piC^od0+>H-v0yjCI*yNjx-z+MgX*?&-L?nL_(EBDL$n4Ja- zB(2|}(36S@sy}2gRL>?&%_`rN$Iunb(Q0MOtZpJ}`E6tQ?GE(GAycO^=98!@vZ007 z=9THcGkygCuX7q3(eP-FDyW40JrBR3?-!H{M()q-vrw;eHPzRSMS2KqP8Qh9#Zmgb zJl$$w!bGUW_D*pOD{;yBia00AhO$78^rc#wMBeZ$9<{4{+R6R=>x>yl~z8IR@d$+#5_BWXqqF5!-ah_ipljoXxI7KS|dP-DP7AOae zu~S9CPV;E{M4K@Ri0Tu%sx(gVl6+PsF&}7`YM@Yh2DO}At?u}!R^`WlqdL(TnX-yZ zC`7jBW6U690+Jr(F<0aH`pa7Nghv~m+;!K>jgO~iQ?8iX)k4Bf9Xmf)wZz_?hfc&^ zHZxAo^SGJk)?V#S8h6%06=DS#n|;tI&MJVFi@dOpH}W~_i|2;~fv^&x1*WAm*{O{? zqmqWW|4gjp$BfNW5Ucz&Q19I~0gm)`=n!8YZ3RjQo8S+27+q*O^WtUiQHK-7uU-Ns z^(oGY`w)L+fDPz=U`)*Q?V8WwC{#1oXY(x%+V5v+H4Q)#A%QypP~%gH*~`<*Z})CS+4RnlOR9Cp0wMt$Rv-!|csl zFB+g2^%bLXKQ=!f{xKdQZ!p0-+5+PCLdw5d3YC)8U3WKLM9=&D$xJ{vui!jeAmQM* zj$^XFyOe)btH#!wpC_M)^mByuUK6IztDQN1{nKsM&v~pP%EXj@>#c}WLWc%m1i8=` z17t7A?NdOINxSo$TTx8Bkf3DjZD4MKg2s*lc^JpoYRzfiuv>b=i;+=eKH@EA9bR$ z?GPxh6oL?FM9PDQ71)?8JBhkkLk(Dawovul-daMJ7Z%#S-py?;Eg@oPRVHnUS=`*z zt7}VCcp>rJuB4irayzSro^H8sK+~w*HbUb@nV}YnYd+?3z0!=F>4kudTWUDP@6QZ2 z48MNX+R%^i0(*%ONL?;upS zyb4&I_3a`Ly)7vccPFONTSE3N1uUxTZS_{p@}(oN>2prU`Y!Kdc*APP#+n&o!RgAc8I$mXSby0OK<7-ttyvtDROB`>2Usv9Pitt=N4e4e0}5MM3b- z`|sgX7gHA(8rmt^#zpDAsSO72>$Osf$`VL*qW-+nG1LqW)L%%3W(a7AF0c!c8B0f; zCJDuFsIcm-HWdX!`+Q;=Y_r(Dhb@vL4Yb~2P2`T8YFkfKthKn3CtB&JHDe=(-4?hu zN$ll{iQJ~7sa8@M+pgWV-8wm);(B^KNY1XmdtWu4>kIbqoS=^JQS9?ul~Rn5?&$2&F!tB!fG7qs>?t1JVoO5%*Fqzr zTd?8pVs`QnsGGQgGK2gOE^JttQd$}}_$*xz5jFPaaQ zLlg6Ktwim7fQy!pripu=e090s+qo70Hy7~yyL{q<&rPJoXYIURj2RnP@;TBHrXEJ9 z0NpzY-`(tT#FQ#aw;!W^?=kIx9J6k{#)0r5)Gou=8u^gm4$H zlw)95T=yhJJ8`t3L6xb$)>yhlkAs=;P4ahx$3yYB#RKmd2wyP>S%# z0iE(IUWQln0Qz?!`dOF4zrgI+jwT>bowCYr3#Qjg$#8kMI&>H>$KuZ`ioU`0q%HJK z$f%vJ2l(gO-flOqZd(222hMgErZoRkXbJKV1Vcc8f{}x&;gUoMZ2m$^>8d`EJ7s9y(NED92Az8K zGMRJLQ0;`7^c~5gepH@B=6KCOa}B zO~UtOX*Lc{lBT1hgIs7ipq6Xju#A+h%+IXpxE*80T4j7cNP3r~W=uO>d~wmGc*erY z$xyS^zr9e8d|8@G^5cwPGN%1oJWw%W#y9lU-1?|y?98YTEU*B)IXozaB*kcN-u|GwHYbi)C+^O5Aih||- zh95A3K+JIUdA5FV7ekRyBsq{ZI@3iCf(hi`tc!)Qa}F^-pm@VIm3t=T^(guXu|nAT z8Dk@RD=WagIdaY!KGuNxc0%%HP6l^X)|xRbYCwnd>@VFM)v`JlB&E`#dUa2|SF;XahGGAP8@%?1eVB~rYc@;F&NISqTx!~M}H?OusWGR}9vbh@n_^M2p9%u#PR{|e> zAYdcMifWd|fMq2Xqr1vNVrY|o(aKpWvH{wKa?5$6wb_vIOWeta5B?=gn?4QK1DJ{w zg(PbAj>NESFQ+)H7aQW9t0h_)l@O$DU)-%V#9Q@U*Hsbs<5CnU%O@ewPr<>~?E1_4 zTTj91{*Zthr7mB)FBrdY&vMuHOz+P&q#n{`7)$ z0{-~;Cmp{*;mD3tC}u1$8kxadQH2)(ir!ou?LNA+-kpo({Ji#hC**(rGyT54Y@FDL z3A|-#@uC^9F!$fstf$JYl<@T`oPO%wHFe!2YxX|$FYV#rM%=sir$sS59oMhN!^o&7 zF?u8X$a5FYiqu|s{}Gu6{A^e)e3)W9)FlW>&u44gW>$!nEaoZrgc~I{#0oac2e>IP zzX3&uYw~DFrGmyC8l8kQ9s?8CdGu=7zvB)pGomUWG!9SfZ5}*41iPJ_n$?2o z$3KZ(918MDt~gI(oWGtNuU@~L-7J6H>GHew#lqw#6L0l=D8O42ed?>e3gVqX{Iz-A zhGarLRifH$X`_RFn4_1!KY~VD4F<*>XCKn6dfy*S8!q?Ibb3ax8h)5M{;A7l{LJH2 z=0-k>H}YJ2f~|y>SM}iS-jxjtv!ni1YI>s8)OoWr&s8jBxqvghc!y*@$MPk#0HwCGbLgyM%1BlNAy^Iw8S&FmD2iqb)Z z^XMcL#?dvA=E}*~{FdmjAk4aw-ygAB<$IAHK}aHitAMw&dfu z1|Hc5SJ-YaCKS#IQHB+LwgDI_iU1~a#PtdYIk3n4+~4KJpxx)!bEU$jN+pK^&Y^s~ftW zr}|EP|D8=8*JWBm-92v_J0G3|TY>&OYxQ~!9ZoGPK@3*f${BffM~{rF*h6O6iWqd# zAObme?ULm72?!KvAoe2+qhBRA3K_t(+YY_?);2c6(G8=pIKo4#%zq7UXNA>`@^&>h zYsHNCcU3E76XnBiq?a7X_*UraA-y6WG-TA!rh>*ZZ>*-2X@NW#NwbRdWw=`(Y2?zt z!TmmXCs{(@Jc{~uPVTeI5rUqVGke^-sRa7)udZLfHEp+3O4eN$n=(jv)KwGjIh)d_ zo12#2lUN&+w4Ic3|IZLAt0Rsi`PFr~2@I%td%F4#EaQ`(FP`4+cGP$S%sZ8w#j6&#pQ_<@rIkX?X&h;|y^sl;#z~AwUqa9)_%K0M1aH$vY9fSg9G81F^LM)dy8&>%otc z_(7KH8Sxh4wVU1SuCi6J|Dmo$FlrpA;wyPnF# zpo8qzL!G$S)v~PZ&#;YFCM<#Xyi@N0(8C5~QBjyB(pzwl=!^M9OP1-)SLS4doPy9B z#A=Z3D9Z9QrX$PB_Y+7BI+~u6E-g`M^_&aMxCc1ZugW;TMM6ifvaMw#mi#)^Zi6eS zwS0J8@Kq*DCLF*)2iTiVY}~_tV`llsuWxpno)?7(L)wVrHCH)+rKZM96~L^Lj1p<> z(U=SQ`o}~8DI-HT9$ETg&*e7v;e7BivSA3^u zl=BUBJT$|+!~<*I;8;MY2&~MlN?4xYbC?}A9bu0ssfCs{y&Y#BeRQPo%IGK`K~VOI zeSkzi8g+bRmNoeR*fXS*jqbDg#VB?G*(-vN+7M9b(3PNxzdzIE)7KL@iKnxtWkY*= zdqW>K>4WXduKOvXiQM$N>09xo@}`L_@6DNW%~ia43*o)`!*t@~JC{Y8v=A{4z7~J0 zj?&bbw+Vg9&_#?$0u`fMM)0JK)LN#kat0sy-|Uen9k^RaAK9nqHyHkGLFg0*t*}q% z-Z`g4dYtqCwApyl*N7ZL>EWc8mTr-d2bW+$a`LEjuQgQY^K9}@I>pRUpBxA9hi{+n zXx+~8lv@(m32iIfNOMFyb#NRqL}@f(3DzZXe>+pXt z^*xMx**|?LnBcCCX4Jkm>E7I*E`j@&EB?#vdiZExb35UGJhG~++WfdyI&qvzkRV|2 z6U@tChijdv-#;B^_g@PnQq#nb7Wb$lSGD}f1b;h17Mm&8+t$~YmsFVDE4MHK7)2hc zU#3Sn)1_H7W;d?qO<$($O*`ElPEyL}Q%OkTsPtD?);hg+8|h*q3gk~ps=JDid=%M4 z2$orzDCA}f_UAbt4GG${qxW38pyBn zG2Q-w;aJBlyngk>cdfVA+9HLsV6{Us?t^j^NMI(n_|e zzL4j+^ct7-Zcm=-7Vq2^6+OsC~66NXnR6@em#B8^5lAF$Zfq~?XW`x zFiZUj=tx&xlSKi_;B!#2$Z^7OF{G&qy?64s%DlrPU)Q-9$H>}gj{@EP5DQI{-Gp~M zdmY-VRpi$;1B~eO6>q3DcS$fF7d^6HREjt@hVAxh2h5dKi{8NHp3Ur&z4O~E# zir9>Q{^}2lAFmv}2-!O)aMM3*YL^PfuT-CE`5?RH1l-!2K5T})cUe4g@T}cIZbxiB zebgt$+{3l875w0b-&TeY(jy)1V^Y@;ZwU+^5Ptd?MLi)Aztdj^S;r~YCE^y5#nrWg z;}dbWb5Pr=cUJpzH5GU%IvQig3MWi0VmG4;j!dnV!EleA`T_`NWlUFGJD39$rXP@H zljgpKsod^v*WNB_0wwnY9qklR8W!oyq_*T}aM22WtMdzQ6fQ;Ahu5I|lIo@;Dq}<1 zO;6{8+G+8F=z%0bwEg&+U&s09WBi)xvu*IM3$9Z+)M8{!pS5fp*^L=0t<*# zwbFKbq%`4se20a^L*`veD^jJ|G;1eZX&<<7CpMSSV5ls9VDd9@kieTsRbE+TM6oA{ zWx;aEnGMsNv2tXEVR`w7>k+BK$?aIc(pGW@GAbGv8md3-y4~B zadMU=qazP%H!j|&CY==bmV3SV-?lAMuz&^tL3N%o3jN|tAv_@&<~!ZDAC<=X!}>2J>y2awXT67cWe#F5O{*<3iv z3=S$|RA4eFX5_WVt2(Nv^c26~bGS*OZWlkvPfu>-4=wD=pPtWR zJl9P1h3OL!Si!FbU-D{KoEeTlub81S4wzvgEQ}R)F?C>iw>zPsYuoKKWXsW1KBme~ z*aNZLl(z3pAI>Ot5h%fmPlMj!?GSbH{0O&)Mge-A7&=rEk~ajT2R1yr^~uDjAW|tt zmKMmg(Dx!Q;It(>(JUT8#TKSgDoC3appK+dvRZi@W-*f6R&(~8NuziCfpj%GLKF{! zWu6!98^j%+nwEp;N=n?VH9c>RnYMay**|!moIG#e&%S%t!JWeqS2^~fG{V&Pq7X+8 zuMy4G$a)gKn@Y44aHm_{^nI>F)KkM4t6+Nk0LHjkk)$eKTe#=@Z~UI9M2*t;Ni2}4 zBz4Wp$%iX**2ZS8NEX#~^T72lQs7Phwc470y=iQ&AAB=1 zc(#^ttNZof<8TrO$3UtMYp*AlF%bxEk=(*U%q993prm4RM8VubJxjl<$(b(TKMrb*fS7?d>&7a_Ue3n49#>7hi>4iOMLRtt?VtBarM5KatcGAbLuKEt2M|3{hdWt3m7uz0Z6^*kFy|TujkxpQF?4eMYq*}ZmX5MrM>;`!qTKgwixa`l135q7Ky~~ z0cEUG3zK&FU1S6Y&rzJlWX{me3U=RW>ofsUfs|(y|R@$ z_IzXrWXnYvQPS)+>uZLgbYw5HjyZ&7{>4j(%h6l?cCGy?hNF@fXtkv)J*tAlo87iHu~>FHE-~rX=GZq@(vtOirL-X9LUY8diev%Zp zM}cJE@UEAQkfVZ3UV9qYh`5&d&AM8vT0zlaWypRXmHtGU-dn_v(E9U^V^P^$bR~JfrBJ^=(*}u zkU92qusjq$g$5%OH-Uf5)K=qCIC4beOR&QXgw_Eyv~I<~c*LaLd6=X3YR%-|#Ow}?B@es{0Jtaxpk_PV*2g=-`cBW#Q8 z)msv)itIUurVmwUPqkmJJ$)P5^{L+`Q7hPytldC;FG`-Qy4qqtCTVdKplBE)pnE#0 zR^q+*2ZU>SV)Yimgg39Ha#=lohU7G=>6mZU?zPG-y33A-t;{EP+@4LHaZ^(xNNPh- z`8b${c&95JG~XBNKN3i3Z$k+*6pxIl4pmFqn%mJOr868{!H5<--%ts>M{W7fz>b$C z_}GIK_NRBe;}))Fy}PTHBW(YtOLy9#Kua+dNeHaO7KW;-`uO|oAC%z$I2I$}<`_{_ zm<*}_gO?|AMR+Be&Z?h}o632AiiGKlT%i*QBt@o&vhn-?6lUrxB1?X9#{dA|edJ$c z@ZOMSS$@oJ7B*c@Ylo6+MAOZzDSby|sR2YpNp7eVxZyG!*31N?7O2tsiOf={N}7RE z(nK#@KNLz()PG{00xMIpk!)Qxd8Cziz+eI=Hsn#u)zs>O=YZ$Uh^^H(i`|d6>%N9% znnfTF50dNBsyDaP(bHJg0f)1?FI#HsPnWsv=b5HnOay#v2k455>=}95*c)kG_ZN3S z>&~a1Ca1NITs2oD@7(HbKPFSR2oQ+CWmKw|mM5V<-A7l4ZBG09@4)<7*KzLwEQF&O z%Z;Y<*5560O;}R}41*&w1{glf!Au00Kj7f4?+~cM!YD|Qt?y&kyj?>*J$Jc=Ch@Qg z1yPwD1H2%zN-K$<_XCiBswbttdwGJIT;kW*`O_z?=>UeN# zS0sdC!)?t9X^E<(-sMG1HCMdpBH&>?;1ecYUX3e$LC;diwc%DvAJIs8*Lk<5P=Hjc zaNrk!y|qGCkk+lruLn!#Dd}DXbK7Cx|A+fUfakgx7Fcu)QKt$4XkDiK6ZA+L${ioc z4_v6|A$6RBlURH42hteQ0V; z+*)$niy6pn$yc1k%5D2&JNC3)A}IUm+B=Pa_=oUTav~2w+h_d`E;obwEn-oL>5Ibr zO0uzkI1cRvPsH!;`aIf1wq-h+k60nxue3bT!jf=FCuy>mGG#=-7nBf__*(c z!V#mWdIh_|`T;Htn#*21Ks*SiUFQg%9RK=(G#4zVarq=nmwVJB%B1&(diCC!VWPa+ zcO#ko1Eo_H$z8^Xcx#vY&PmT*ep`d!0@)YvVBKikCw`#drRd9c)5+?p*J*Z>{&LuR zk({7ZWRD$>(|hoVNXn&tTROkuALftQGtrRuMc<4X+uu0*_^B-qY!I<#BF~K+l%!Sr zZy`$1{_c$rho)be3_g&N>yW1DlBQkvoVo_QIWEe2IyfKs2_K4?@xzpd2>RUHT`%)RA%5LAxvbP$xxRF3I z@)^B%h^Nm~{(yzwS59BFjn#!n87UN+SYyJsVh-Qd`y=&@+P%iDZU~HGbR1!C8wv1t>@J@c+IP}6WnnFJ}eVCKG&N|@P((p*LVpi zL}BW(W<$76bWO`yu=jHuf<(sqmRn_mO19w!M$qhHsl?ae^B!eIJ*&Jo#y&oIrL zUFhwo0~`O<&mdxf&yV~fx|{H(EVr>xPRGO_)E2bCLe_yWc#AGSO0NPxF0IgRI(ZHA z4ki*2+h86N22uJ{sGU6pH_osAd$7I^&}iW~2R$i}fM8~nfx$(EuqcpEU-sWhBvFZ} zZ{v=ed3+zo?SsVA{unU_yNK!I0mqsG@8g`(1kTMjr2Jhn4H8~U{izIk*w# zMhYyYjN?Z$2h;J}H5sy~W+B|6oi1Cg7DMe(fbJRMq-W&%R(6G6VY$$?wVaHGC2>ZT zR1J+_<;NivVyLYJuZlv7)}y$wQZE;c&bhhM*^}TJ<_eccwuyen^>YIPj4GCrwi9m>_sq~HLI&teeskdlP&vSEYDID{TE6ojUs}dexZ*rgT zx@eN0FLNLN&Sh8TfnckS!0x z(PG7+xD|Kz5-9HOrFf9y7PL6QHCSa?&U*KHo;hQl ze@~ZV=MI{^9k((cyVfVCX6v$vUT)2m8Vk5tSo$*|i2Na}^j!D1p`oHy%1uc7(U950 z5q5N~2KL<>$_O6qlNjfw%yC{z3g5AwX|9mNHukf;EGH5bJ4zMRFaki^h;r1s4u|~r zm*hIUptT}CX5NPwgW4wU010AKtJ`wAK!fnV{EvlGLyR`L1{_MLi>JvY~{ULR$C?n9WCGb z?=%|+-qzRses!4Jm%u+YOn#1eCObFsP4l!$dRz< zq#E!$%=xP;RohnVCjGn|kM~YN`7==;NYwKL-9dHncLMnGxW8iLnSSy##lZ18o`jF7 zgz0j76itCS(EdEnl_AITqFJfD+!p!}NGl_1s_vFnwJ*c<553jYuMFlfNY%-?Zj{=!M>!1s^JzysRyQ)&uID*L?)jKxEa%!A zgjW%B`!@lB7;CU1*_@IFegvhW5?-Avf`Oi8a67&}54J*zFpH5w(Bdt-UKbja<}5Bu zxchjl0{2ZSkk>=!eFel0U26F}CZtKV?Q5tY-EF$Do{?diF@nqE^e)T?(tC2$Q0{K% zx$GF!uTJMag5Z^rR>0670mnyK6*stR&?LplyF!QP5%lL5s~+uO;Q$8$E#l}$u&%z| z=^mU9Kzf~2y`gMJzwXotrrt)sV1OR#@55kq{o6`#cDT43nlWbZUN)a2fmgo1y~(O2 z$(DWOU&rK08;NWf#|QqD^hql#d}S=3V#Erku~4R>r=IZRW0r&Lbx_7VZC~at9RGwd z0`O`)*OkpNmudTOxxA>CmX_dIC+j@Qck5XD8O6CP3yJ%`FtD% zVqBiLca?X4ntAJ-Faj=gD2?>iht=55PR_t%&}Yh=fVT>7 z@AHCkee7_S(``|Asi=l>a|+t4PHB%?3GqJFc6|8at^d_stBUQTwzmaf}4oXYoM28`C18D@mZ1!)O*xGl`@&555`jbZeR6wOM zRD-~DIB}QFlqF!HiR`AUdO{xq2NzsqG5lvQ{f~ysI!iq zqOb!oXJx|K|FMvMwbTFkAu@?=66MvkEwg%pokX2EggX6JE_iN9S0dT#TWiQK%WW>$ zP*I^jHs&ja+3lN?hWPz%u}5F5)6rCiiM|h1$wkDpCsbN+c;IyU+|tz?4`0ULd_PnE zI&pQmz{XbD!WY;P?&&l4uvms66ztlxBIs&ixjizl!~hF)H+(;yMXzpg9uw`lblIM7 zSaGX+tcDI$lk1Ck2bg=lu2)ufToDxbosoA2Fum@auH`0F#MDfzNunc;gwol%P$-^u zJx$G(sDfKO%=s|@n{^$Po5{z=1vs+3Cj~3~^UfQM8l94F>| zw{$(qU0I284@+$Ly0`^sueY|hw&kIQ^$p_-S*ghGpr&Z9S*-Gxf0d*GXok`&ql;h# z_Jn-KwXM&eSzp(KRVOw>@#Q%A^Bg>84mPPY+X4}dFVw154FtStJ%Pthuj59^U#<#e zDpc8XyfzWeNNi#5g$9$L1Tx65GX@kg1v-e6NgZyRR?BhT&6BBO2@3L;v^~f>S5Oso3$j`Ca zF&y4Vm+3w#tE0@z_qg$vy8Wx;LigvZB(YDuoB!r3%VC)|S#t(1Qq0+wJ|k1e6ppwg z{+pL3fC)x28qyd%V8oRMsU(N?XJ(L;pgQ*K^N4#3kiJzzFZCydU0;IlH%L-A8&iao z7%DFkYK>3>-e&l-jr5ocp3jV5cZSaWJ4hWJohsQq_CJdQ$QZ0?A~oy3!N<($Y}*yD z<;elSf-Rr&E^G0)%k-l3bj)X@Ex0uNsp$w4aK0)sjRGSw196uo_GFuc-l@kh^~s_g zr|Ty9oF9L?zq=a>NmmZmROGYhE*mq4Bu@95l(Rq~{fMRYw zSSim*T?(7P5J0jPK@tCuYWT{J=L$xRU#9If9xFZBu}0L9vH_gxUHk~FA3K3Of$rXe&w3ImMe@EhTbjv$#w7N37SOtizesiI*aj3}#gZ0xy zrdMjZ1Jn0?wy|7XZx-FQYi~=$)`;&=)ERUw%H)wEBQZHtaIJc>yTLI{;hK3=-FGI< zT65qrPwQo>lLIfF1EM|a{nnCLkBMvn-bKy_JSPht>@mA}wF$Z7PT$i_47HEtUmpj; zDcL>>d6?NAZmQPvRL{Y6PFN9bv~spK-H!)cZGE+l6T|)2_38wDReEIy+9LfE>#Bdv zscK~p-7L4qP)B%o^n;*!wGDdSb(_i#!@S10Ma%7+T#~@0`si%afDb0J({lVSdw$lV zC^78w{pMb7oz@jRpZfk7vC^x~WiRLv(ANn zd)iq}Fvo5j+}2nwixMhOEnia&CM#`P56G>CvTq31@MR7J`E>T*Vva~|hl_bZ^@Mio zmey_L(%i&^PU1$nek_BFzO1hvCXF^!DPhhnd1>t>&TYHqW;6I~9VD2S%cbv3KUslY z_IC8vkJL8SpPmOqT+6?s&e*_jFc+cCscp&$3RaFr8P0g8eMq5pk9q zRTO8e6l2t?xKNu=9XI!cXI@P>w&?(` z<^rV_;NO_XJ)gBz8$)chqvI)H`?8spm*lU7uyx?FX!7r_MIL)?jtO#{+~kv1Cz3B@ zgBR-!nYt`FIpvPtVRmmM4 zjs@3BO6ZYWN+UzVUe3o`hr7`w6J2i;&m@!C0$LqCA+^l_eR;G>U4FcJ=gwn&&7Ks{=av!;nEPMEYU+<9ZY1z?`!4*G- zD3rXc8>CHW(A_U$+5FF1ma=4f1UE8l>&X6&qqLRjeAs;$Qg-DTWxm@rT3lWqvXULH z=W%bbcfWSk#ol794O4Ff6Y3gSIHp-{S)rdzd^kND@lCDz!0qH+Btm*q%O(^uw&d); zJ)1=UCz?}Byk#(j{M&7sedlq_5V$Y2@%+mEI^V$qJ%78{^AeuW)0K=>07xOu1u~o@ zHSoCl@focV1e-fHMYmQ#zE{x8Wbevo#L5*+4H4;P6LC~YA$_l}UKS{P{<7m;|7uCI zWX>~EFe(x|Llqso@wS|5?7!I(=XbveL*$Hm-F8TTF(hJUNx5|Kr=(48qp7Yb*58@D zefb9YrHTJ(H|v?We|{(Oor}>$MAGwPZt;nYnA-1_lTi7KG*o1+n#Auj@};CQtJIyj zWjoPU^fY%~Yw#G~LQK-H;g+}D=&X{9EfY*ElD-FbjgznAE<(Ktu#sNoV z*X$iPTPT!9g5F0KAA9EK8zaUhC*!2%oSFuDrv{#$URGaPxYbavb6~Nj1xitiVG&I&u$*)*78@3AV#-ALJ9h--W`egvT+=Fa-JV7K0xTP&4;+XW*G}KXlSqnB^ zK>@$xhr1&K_OC>)7fJ404WXa~BO!in&+VsPdE4rR=c9dNFBj+Q?$-|Ogh)39`#@4Y z9ISp4m5|IS7aLeUV_z8p0Am(&mYHq{C`awNI~>>}!R`VWw8Ezr0(Mg;asrN^x9o2@ z07L)Xa%1nkbn6X2Xb17lLs`=LBjmmJrtiwDx@?{Walb$|I8*HV$w|)3rSW>ZZ_Y5c z5Yjn+jUXMe0=E!jMmqTJ-MTpb%65sNC&ckQGpC$zPe_+fr^N2Mdq9ZTsHOgS$JO(@ zH~rng;$;EXalpd_7`*n`rK4UjU^8+Xqw-~7ZaqBJdGZHQza?iWU+1?*zk6oXBu#Ac z!pV=>e@XG0NUZr@EBN~V-_74}HPai0c-qpE4LJ;W>-x4B9X%Q?*6}uV^#b%X6`iN< z6PM-D_2mye1Bs}V2SRqb86D1@*1Rt`oSRa0J{Sr3@|>_&ebDQdEEi~Ljk2C#x^V8i z-Ydeca<@+Dp6=_1E2P3RGsQx+O9`3`k&a=7&{qz}v~x1*y5X!!lP_6JsUh3c;?&fB zzgdjjF4*)kh%>{S^Wxz)Cf5}uwI{_oCg#0P=d|SlltY$r(Go-;{yD5{GJj#eh`~8c zmJN!-CljEV)9s`Yv|En9F*fJRbDV1S;RNfu=9z{2s*T8BLL);*AyLAay6En@v0XQh z7{)-m2A3;);@oCf;5X>@PP+*WekU`k5d%Whot%lcFid&h5sb=`pT;8FX1 z(uxd-g@t=rFU2ODJ@Lfw}W^2#&p_CB#wv_KEJyR zlF>p_%M!+NA^auYYb1swb(PBQ=$&0TGSWi7&wzk<3->eev|5YwP69t7bSZ(6+cs(^ za%hQqof#p~61}`!qe3qFx=xxIbx_BuS_B9i%xxPq2>|cB)w6!%EH0@1%YC%(kw9jY zm&mrW>1uzt!nckc`y`PhYfTwb>E0IQ+gsfMyt|$R^T-gyJRNrLrHnQ8xID~Ic5og! z=Lo=Tf!>}xHVs0C^bi(tw3_5ciLU#d;W>7{OFC|H<3lTO`pjTTxnN>E5g+KYhbJEe zrff%vni$eBmg2vuI}f{t*h%*GAD1L3TLeo?e*zhH?C=vP7~yIsJ(fBnoz0FMQ0ZZ% z;7iQ~!1P}y!cz}l1CV({&re?xA}llky&HC3MPb`G3GX~j-BhvS zJPxA8JR=KH(~AUW)7dkcZ2nS);|Q$*1&B}1Zez)H2{&O$SGG|uaqRZuaE%4`6iZkp z++vV&#S9LImrrg5F9)|SL-~8HyzN!4nAlJ}=~eV!#G~`LD*CDRblX3Ym@vB;j$gjf zcdptD@y{WQ$#h?T>JrlMz}bC3quseHn8vbWaz#yco3*oyj=rw;JH)`ixR#sOhC^&9 zkkgLVRtn)xA*K4Wy@a-3+SuCYaXnw@RH7dGT`DTRhtv1Nyp3SXC=Z`p?Q0f9HWDSb z3L^Vd{(At!8KpgrH-JM3n<}K0)w~J(+2Z@@`fbrti>l|{2JqRpX<;<^(;z>e=kVsv z*eZ_6f$w?yoZaPlz-#$62F8NZou86IvM99+d0hFc{o~ArFp{LAm6e?eLt%P%A`{6h zW1Ur$3rdGFRfmD{IQZ%~=iaD8}(|HYjC1J2A2ATy#cQ=(;Z`jUG7@DhU^=^H|1 zLOCH|OHi+AC|lSSXzA+Ca>UKT-44|sj2nY?=WJZcz?Q)xep&GUwx;?q_ES2q2P)T_ ztuB|>oxiW7|gM}oBraVQYy9k;WCFyh5D#^fMmb$yu}Ry_In z_?PPsjD4yZ(|hUS(p|eylPQ{+};#3!>4p%D!E z-K7VUv^mhNxs|4-rp6`-t8*9VG)yh5Gf%Phg#=5n7gwL|cLWEg>kK{P6AS4LPox)5 z8T5hOd2EapFb6$TYkK0|9f-x%>+CZ3znBSEgFPXRe&kS` zHzMIiEO?e8Oo5XaMnD%TB_wBv!Sg47MhjdagHbyd^?~=V&Yd?s)?Jr3(9<~R9rW#V zq3iOff#o&*-f7j}+U?rQ^I~;(bZz#`>9p$eJ-0nFFCSmnTpuMec+z04ab+d$ZR^QX zI!Xfh3rS7ze)`zBg@!G+qV@K8aDk*2M4?aNWV)Ro_HzOXLQN@AJQA<2!=@H4f<~zg z>IiFC<^42H1k5A?cRZWd4^Nx#nuuh)U!dXZC*zk~zjpMrW$OO&g0Ub9^lf7{b(FR! zDZ8L8EU6za!2_XEeUhZ@R#z$JDvroMevW&)7kf-9$?=6>{z6>Db~eFQOf)&ppH%zK z=i|&V>-thBOeS{q^rExj|GbvZDn2{1oXji@GB0rHo1o%*+gc7n5*O(DyDqjg$&n*7 zQ}kU+cLbz}Sxg_A?$X@VoW_s6v45<0iep0K>b39ut2xAcSl{I zFpj5dG3YgnU;p+T06ho0sR>iRd-xZ8A8=DZ`T9or;$OW&bUB8oY%J5@DkpVG>z!93 z1o<+@5xNI}O$E1gIh~wBbvT1dHaw>Ii^HB>_iOoMV0RQ06yt{;qRzL5YSR%*|DbdK z`!>peo6D|OPiPe^lgHdeP;%8jLmzjzLz%>h{v`c5BGP?0$q!Rhm#CAh z<>qU6ANYx{FD(5nRzNqo$S9`YL1S zU*8R$6ms$tjNkR`7HQY*%p-b1lhZtuYLckoC@9-g0jS;DUxFty?G_JD=%-(#bs;31>#*h9VE|1);IeoN|Vqa{_oI(;n&O@GAMc^g1OwJn)DEtHK; zl5oH0(7|oo9=<3wH?tp;X5kB?t(jLSg+P2>Dmts0?%$s~JIrzsB}w`LVzw3$P^F`< zF_b#k0zVeGdRjFzKzD`C$Jh(ygbE_|mQN1~R`j)})5e2Q?kjE;@a}z-z|DrB6W1xy zua$ysnz5d-;nlBqsm~{!*J}&I6Um*P!nN1|Ugwp*x2}~(X7rV#XnztK)^!r1Bh=^d zt&qPZ|19?Snt7%~2%zD^jE}%0v45yPytu@C2hcDJ@|ohX?@#c)u_*`3&Z>&OJ)HGy z^_-kk9DJy=%?}ZuxycXvjHhAMIFD2`!@xj;Buy93Y2?L0QR$I1*?ISvYV37cN!CWW zzBFki^!{cYRB7yMxg$VUd{bUp;5XNQ)Nub8Tb8-cwKwtUqL_3h(hlQyFu0>k2b)4cOe!;(9v$wZo%) zZA3B;f!Zqnt1A(l<9nt@89|$;O$B)Eh{R~KbH7u2K6eW+XBFdUEwR4+qO3%an|89j zah;=N=Ry7HX_B9|nD-mQFoigdjyMUNL&}?I|A;QB^3U~2QRYq{8*5Q02R`fcLGm^hr?ESR-@R)34nauE{E#T|%rSMeE z%Pz{!;NTFgLVpu!a>4iE#D^vvvIzs;sbaOOj5`_X^qMl#d*ij#vR(;OVj?G38xoM1 z4{V#3ulc(;bB}VNnR2CnGiN2+C+GY;&i*kQvvy+40ySsDN^V&JO`bZZ^nVG=}&iB6Vr;!SWv!)O`5WIS7k(&o|+OyX3+Akx` zpNv1TeiA~c4;T`K(~ECmYorDP01^|u_m_6)zlVc}^m0fBIJ5jcc5G~1Wep4^9lL75 zML|wUvy++0Z}hzC=J3og7_OiBuKF?+))!QD-aG3U?|796q$WdB=Jj8#X9xxy{=(KS zjUYPDl!*j2XtLytIH|RL7RG7Na}*`zDl`2^LHX`DE*4v=8tI>}n75m~FEqgD897kt z7#!M&QcBn_${Pijwp;W#zAc3YxtOSZP9|9LbH66n{(@qA^SRA4QdU3to#lfa1-Lz9ma!h8|*ck?P4Oa11Y+}rf(5$TGZc=_ zoRx;M{hmg1z;$)RKo^lx(r|9xn+BPafQ^efq;_Jo)kyzb?D^)(gb|zaEJcRe$ogr` zI;ZpZqs#p|7?hG}Zkhad)x$aLtFVXb+eoOhs1+{> zDI4Vq{kgaMn4j-V44uBu(J;5Ql!@3rT4K&ZvaSx!VJExuhyUUkbfK%=x=5UuNaiv) zrz6?Q>+(=3lBB~c@AzlVT639s*9SptlmhIyd+pj}hp~5_g$_y0lx>B{w~=w-!LON= zT^mtP615!?KN(3?f+%O*h57TH7{DOk1?e@Lw4`E zHO>C<+H>QvXOw*)QfOJEYGTeiA>d-uovdFyq_x%rF7Ix<>Re;c)d$)=u=aePL~B*d zsM6Z8j=|n(g9AU*J1hs=UpSy%P{zWk~B^O1~Rvi2!plkE|~s zctj#7I>1>;!^0r~6D?$OXxL3ii{cUCaR$@fJ0Xe@&X!D^Fts%)MZd0)iV$^agmeNv zS_!prj$+!A?=eDT1vlrrK+c-sqsY^cQsa`+L=L6|s|rm3@1HfJjaF%-fi$imF%h?n zw;HuPh;B+{RaNI(QV#Iq4aHj{1gD}Q3{!| z^on*X|MCz*9JJc*+O}{k=5u4iLCiH4HWRLoeU0%#ChV&F1{G~1E^9DT^-;c2Kj&~OV_%R4$=otjphJf-QPwp**h z8G5(3lbq(Sj3WNpdnVFec2iPP=7@Q|c?R4!@q2j4de?OL-s}nS*Y=@h@kIuJxDA4X z2zI1?&)4kc6SobKjE)nLeF+CH|6SqXP?wq$Q~gQnl>b`E-Rt_AS{=~LGjj)cw6SLZ zr|0rlwwnMhJFnag zQ|`~i7_Z6A;1O{(bPWt1ma79I|6JEy2VClieQ<>|>Ou$=mpQt4c2f>6A=JuYm;q+O zg@@40Op0+d6PJ49{ZQ+VAo|;kcR1fzEa5!wW9b1%vv9Rz-%(9Y8-zMM2E$h~>K6{B zVo@<~8;F7*zNS)jiEoR#V(+?Uo|4?U|JR)N-^bceHTR2WLHA8kAu;1D@z)>~f>d`{ zQiDHn5g;??)L=MGmP)O~8>EO+iq|bblQi#sdpVA>seM+2lczmDF)A3TKhY??=psEo ztEm==ON@j6EjKqYEk;>HL!G9`_H0n=8lS|zy&3|k{orZ=wq!*58Im~kyJmz6LH{H6 zpc}^0Zu$P;r`3*Y7cL5d{=$`XePg38&*Ousc~uAQKrPA*+yym~zPGAq2fHzGgA{MP z^j1>fA;ze2Yvc4m^!po=?TgLblQN^_vvK!@&YM+Fr;jkH-gB8-0;dbJ#0vOq{%q=g zqrHRd@HCn$yXbjp_em`-$7z|0VaSfS*6E?QGq(Mb>R@^Xw~; z&l)2ZO5W$-bdpB!`b4ZYJR-WNv!{!#>*t2b(*$QvJ?>-fq`zPi9iePdpXU3!f!CHh zy)Kvzr3fZniwZRTLav=u3whE2TKdNp92BheVsEUljb?qZ;$xO5f&VHwp7tzY;rC?x zW-&=Ed>J>Bmr-;%6JN?9V{0uMvP`@(?DNmVa0fT!m-+0_@NCHde213nTKy9!7MaYpLu|_CZg6K5S%d|BHd~=aqY>jgFR% znlkUsOPlkzJzUH<`u>q42(qO{5JX>(81kP2{_9JarHwG^-5MxOAkJW3qcW{lOV{E?*wG^85V%Cp1N$9?Q?xlG#ey;joV zmlt=S*Cp0K`X`z42J<BQl{E#j2odZYK0yl6l_;{ADpH-VAh z0f6nP2N!4>EbL#efq{oVIm!Obe}zZxecc^@l#}T1ePxr6}=J_-r_vIb3YY~S| z&77PEJSN58|VY7m#Nh&0lkhy9RQl!+AZpY#%(>^pBH_{zQ~Ue22h-s6vLo z;@TU{$sMuLCDiI~4;^WFQ!vH$Kv$HVXr#qKF|SKT(GP!ScqdCt9=B~nuOQ^jTT`J- z(8FLHe@`KvVd-wzE8BH*t1`)|h{^Z0NT;9;kKT+!KACzPSu-?(*ql&v;pwJsvikGq zw|^*NrG9>!FQKg!0|lHvqg+MFG3^oY$n>q_-(En+=K&WQhR0*8Cv}sCj*T`f(SyAj ztJ(JQMlyBlQzaFl5Jbly#L)`rO9uh;=k*I>D(u3Mol`>(6bu1a=%k(S6wQNrsw0lO3rh@jGOkU&4l zan;HQIc99B}q<;+nb~Lfmu~WIV zxjh4tIK+TYvL=o51Mn>wK{#c4L4I>_S5WF9ocu#e-jgzmlW~F-}H6$^j0=7zLq+2 zh37P9`ClzRos*)9gucfSszN~tR}-6g1KG2{$@XuqVLNZEv@ditzwF;{WGUYnd7Hk$ z-lksXzt)|lbwQ`(Drf6^9I#vyNR(x(yRJ<`x~GO+&v3`bC)f<_ma1%)^kI&b zw7s8?B45}LLPcmS&NDrTMDr#cnO^j!&_SokdMn79HpPmzD?T)ohOqYaVa6U?RX~pW z^srKJB0B8wi_dbk8xTzvaS|YbV+`-em?R`*5!~yX#IF5;h{;17$w`Z?7#%+K=K~De z=g@zx3M!=4q7MgD^z~Lc{Eeyxcnxii=cZb^bBw=2mN@VcXiYSIVyEAFmN!j^+w`JF0|AxK3dcGkF#QVB5#MIS=X3XGC7t$2ES~bx#0+Dw z6*PA=n14e9NXQcv#LCHIZvxzgP3eRgE(It%UiR&RZ5)35 zAg3kfq6v~cl383@`k0jke3=4iw^=f3Z~_3OM<-+L_sQ72+|#poWD8(2%s3cV{yN`l zGc@%G;{SS^)1bHJltP%4R~jLY3J+m^YG%A6%HSK#k1)5!#FCYQ`(&(5%d3vU!7U-5 zT;dIQD%Uyca(=V6DR`Nl$sw^ICH#ehh^UdRSyUlF=%OJN^f!q)^N@vaXJHm-WrEqq zrz(<<2cha^jwe+N`}WJOdd$Po`*o@VRI#9IpttPRoB+#xo2E(jnsCC1(zlsim$#ts zxG0)%8g}%|76nmU)H*Z{tWTww^Gsh^(amUjWh1@)?=jIYxFjM_(+K$Gt`S>T@d?00xNy+gxNGxo)OGo2VAZlA1)Uy? zTAAPB>w8Q%`DvThAr%(mV*LO}D4|BLbOrPH3KhW2as7k6iRD}w&nW5izhfN1wR9ur zs=Iteb#AdP;A2l{*VAYhV-x~R-G-B!5cT!ION;GurDbI+T` z;ztl$fAl%U$1EZ@_o{%ytFKCKV4hPr)Z_t;;`}~I(rf{~o12QuQ4F1eT6>Eyu8#ii z|C#n&Wc|ULIYEkmmi`ZT8I0ubXs||17&$ArBc1cjW72<_8A?{*wbvr!T5&mu6Nn>d zC=S(@GBjq@my07#m?`y3_bsUk{aQz^B+;OwZp5T^%_qF1N;A@{LoyXrq5kGhZ;Cdgri|Kom#S^eF8n+Te%*X~%X7dUVXhE%-`%=C z&deO-r&zb?PiRnf_%>G30Zdc%3&=@>X<_u-KH?pf7(a{&<}zr$KHTQCv;!ZPALpX$ z;`ZX4MTuW;I&$8}Hg!D}i9PIDKM&r%fPCYks2p%0Bl~i%9xwfqz}uZ27h#I`S2X$t z3(KeH579actqSf}2R6cs$4&S%c{%~l{LXK~`dNiVM_YA71t|*0N!6kOWx%(@%5WK8 z(hp?Gv3RLgvyqZgrYFQ>6N6}kgF-^*bkREV1t%=2?Ed!?DCT9eCE`pt@S@Ib3Ep7?K=3!?q%4uumMT2&YPfBQJ{{eE7V6z>qdX((uTb#`YuB>ueeh32mPG}z z1rPU^B@T}!?1)A>wykByM12P)_D6h1c~A9@_{-fltdm+pJt zj`i{!=xTsHD1pHv&T0p%8oD#MrV4%BH-f_{+@oKRn;P=S$hsJu(uC70N@|cyXXseh z>Aai^Eh_3BcGXSM_~qc_Xb8P1prO20u3pGwXUU<(bSZa?;WW{oUvD99qycDu62-Bx z_3s2*QmIbYvmUjRdbBbLV9KEsTpldhPr@iQ_WGG^$phs?Z0*kjLT#C3bsezA@vV#7 zwUY7M%kqdK!BTK<@Y>qzlnDp%dszks_tTDQczGN<vG? zA3QF)l0tDRLNcldJNn{fakL{AwFxXKr@gK9M}%A>bfO(E6f5_?-EiRg0*4? ziX!1|S_r-srIok>mWKq!e?>STVD)ZWy84il+V$K^e@a0=kpV0rG*YA*VnwgjOY-t> zEUm4vM41Lu36){gveml&T~41){5&Aae$;R~$L_z|1lxR_pE+!N5%_X+Lj;FIloho+ zgb^tIGq*-P%}HJPGYAu>1{e61#v|z1yLcG zuhxr>(l9R4n&b^Y@*qGTQ?)=-vsx3RS=t>lDec04>R*y5_N&M&KbJRQH~EB`uz|@{ zFk8+Xm<<39UmJMstNiDuO_R@6ev}n@6&FmT=zUT(GuMdA>(s`@$6Ybw+B17N2~FOw zuB-+d6T`Lj_F5EOA8|+1@F)w3`Ct3&Bu;h#-{wzOisA}N836wn3S=nsp9r386-M#J zNc%%@5VoQh<|jRu8)E%EjweD{CXI!f5FMoA*jPtHclY1t7zSK}p9h1%{+@@&)dr-e z*p2Uz(SFr`KZ?7P!@HQ91ilyl7}Jf@Eo zp%!sET~AFp0KH)3YTiBZg)hR3zV+AfhGclp@bCHKdi9_W7Udg33+pUQfh+LBMpYy>e1&Q3UlwyauN&HY7j*m}M)?X_bY54TG8|E4S{D6R+f*H*BHVqXpBrv*k`>X0~uIIQP5ISF5|MIQP>#S#*ix_Wdo2rS@$=UIw zs?z8Mq$8^14Sj0E7VGS?#P{}bzr~m|`a5jl;?@4$av1KEnE!mOb@iAQR_Yc@VQ2Gj zjzezak9PLkWX8;v_!W26S35bQ=lW9s>hEPALm3()+27TB&1dzflN%(Ds6LB z`|DbN_JtB^o%h9cVlH&AsyUb!y|~;mn$S%r+$F?ZJ7wXfD-f(!Cz& z1@zjt(WI-QNuWxXP(10i*tdD<897Q;(ov0b1738a1iTDFy`7vq+;3N>pEOSg3sunD z5x-QTgu;EWQ(GcM#_7KlayEqaLT}ZGh=}I37oJ{87^UBl;cLn8)HbF^blgjF%xB0} zS+1I>zpZRQ$D?&}V9m%=KO1*JBKGXAr?KR5a7Sh3se&%d(&*Um90Euf$NqO& z3XT^wDkDuLqY$i391ll%u(k8;iyWn@q_*sYQWL3}GlU{pe* zTu)xkG(@;ec#rO47>_OunO}q?DjzOnMx1wE_GND9^Se(Gd3x!i^ioPk@`{rR zsPvM&juBZ_fo050O!A-$rd0H~vha8UbaaP`{K%~>Ml&*&~xGfxc z(@<^8i?$40*dF3_>>T09cQ4>AzI__Sd_R&Lk4`cwJLkKN8Y-ik03WYVsfb{XHm&7- zv~AK>7NeHgh~s$Gl|hCI5@6Nj1^v{Dw8PO-A-6Xi^Qdd~%wv?z)W<%Gf6m=!GX&na zv}teC@UNVoJDoQypL$t!LW-DsxFM2jy&{y!`} zv{n|iVaF+W_PFwl$OT;Ti*5K#51&) z!u~kVTWUJp4c3h|{Qq0i|DL1KwL_yIX|i?*^qOW)G4|4+4duvUWh5|i8BZiNvwHHN z!lMPvJ2S69lOajKb-v&c9X@_TjHfqmEY0({GjJdl!hg0J@EEET&MmD4%nxUNEOp?wtA;`BeYHrF|KY0SXKLiv zGo^hylMiql$Q)kgo?o_uO=<`w*=Y-Tc~L z*Fgm!luzdQschpDJm-3%FAr;;VqWE7VZ#N0p|*Y;--Pw)M4ei<&OD+zdQ=*oR2gcG z+sFWpP7$vmhD+e#y^dknY0_{oxL0ynW4YzgWPeMsKHj}J3F&y<B?^w01*xP}YX9FE_)gsR^ zNd6B={1gt(4B$rIDGP(bi5l*{e1y{byl;lOUQg+xaGfv7lfW8s>q-g^mFwZ3Z;wSh zu1QJ4j;>-y<9Gb!*iF2D*JEjOyw{6P3tqbmhW`Omw5SUsDd+Uk|Ao_iXzP@mhajMv z^tjmCJ^As!_uh5e$D_%(`bhir5|valhz^ccAxo=)o&KGTd=jnW;BF!Dey9`?x@G>L zV(eFuzt!vV=v<8uV4Ltu@CMMz(y9}#aozVp?Dfey9nHqj$7#OmhyT?KXv*e57e@Fb z;M<Eu#7YPYn%LTj{KfQWWlG-Qoz1GAHvuj)P_!x-T z4#npsZBMn#S<6K8sK5)ymU_Mv2I25M?FcvUk6?$39#^mV@x79!rq_7yv}=_sLCEBkAkxOX|?0?H{YKC0_sLnwdxm0w$CTVbZ-$!Z^ z2?3h!VKf59L{b?p(<9M#!e3PD9Y{c*byXWCB1G^>(8X2sl9(|<`pR*1>EzVaSqbV? zmw1$9Bc$*lMT)BeHwQPxBfrctvXyUV9C&`3z*$$oH&?M7(^$)ckp7;JS5;M&RC?@e zm8*$#H2L;r{qQw3-<{rl*?y&y3e&N*|PnfV9#kmTCW-uJzhvLhh| z8kfp^jB!%d=j#qNWt^3x2NG%rM{|psbn`)bJsLzN{^ju5Sk~q5owxr!6Bl%xks@2X zg;53F{U|Q+#uc}%`s}m6xVg2#ZWLQ$n{qvRfr*Z@a{+TA#Df(UV+8Kp#*r_>=g&F?2Ew&dcM?K@E~op&SPrM?I*n}st`C1! z*$`e&MH9FDo}x;m2qWrG4dNL+T+KWl4AeOj3XES$E?|Z@Z1YYsM*H}1ZY!f!VY-;K z?&xC8k4_E6{=!_6Ik%{Yq>sT1Rwf-C7~X))Bj3~5mqf~gnkg{iv-IQm2UItG-v{*S z5Rw?$%mbdhKO_L{{PYB0WD0x`McGe7=~^IJ6W%&*4f=;GbJ+!CRE-c?i_~4k*Mhf6 zld1x)p%q)VJMYSEVc3gr!}l9HtE4e#Fbq0vu0dYukczK~h=Fy-fRXJhiCKyUQPP|Q z9X`FRrlvkV#z$UXf9X>|O{GU`qHXN-sH+yTZ*E{-+X(XB@87z*Zk*1_mvypsjcOZV}_Bq>xbQq&p>c! z?0pY^c{-2lqbw5Z)KR|Q!0O4%R=oA3-0Bo;znWkR9YEk-t7l1{<`sL}m=~3Q0)ZGb z*Ob<)Sq>_a<-ZBl%YC%-3|;AHphWm+T1+dEH!@3(9$=FD=_%D|%!=a8SSQjxCey zY|f##-Meigec@RZribzPM1g{U^ItaB8I5Yi7T`*WN`4MCyRBw+ZWxW!f%$)B;2 zxXZ?tc>eneoz~7-^Z>M#Y5^r7ctDw5ul^<5#ti=0T$)Mgq2?dnN%~>TFsw^$Jkzjg zTe^Az(FXj(faKDWPKJ)Pm)C9a%I(vOpVzs#cbg^%FBFF4o3phv5?emm=<&PYd^_Ft ze9UgpqO-%NEtUiV2^;ed7|KyS**;Iwyd#9ql1&S$toy8PH_0Td`e1e5uIOdoBnGKg zoe|+C*Qysooj#eF=`2)<*oS$P`ipmXops@O7Ak z>lv9i-yBI%08P9f4)vk|Q&a4vuzkgGYXWShGxK@fdJ!EBd%P-B1{j_NzK*Ed*jN)E zXT}w6mKY@z&*Lc}kPsP=*5)@rLbzyYt2iiCZyhT{JB6^Rl??Kof|__+G8Xl4c=0PW z8~Y+})CR#&ljkB5^m z)5J>0+XRC_&6XH#(V2on(n_XCmrXyj6$%gbj%)KRk~m{&>*kl>$KWUt!^=9NhTe{x z17E@`mf*NB5UvBfb_)Wa>Ot;rFXUm`N`tO*Co^f`v+8P}MA~l8u6hG+!q>g9-}e0O z=G))VV54z0vxIz-<;M|Zpo*70Ur?D9X^!8Eo{VKm_|`a8_y0|+(oz7~9UMe)5-x56 z?II;>G8`3I(=SJVKP;F1GHm+w=ZoXKiiK=23oEs~B%uD=nn=%AtM1ftj(2mlclff_ zLQ~1ZRgL3`5?%GbTwk0buZtG<)e5lH1r{m-ff_v+Y%RgFUGDq^8>E=%+UR)dpH|8C z&F3i(BKP|hlQf?2e)4I%_LKpM;XM%#f~tPs8(52H+SK*Z#sr z(!{Lr=GqaaV-AUH&bP&0Z&=!|xbk9l8T8t&W88Cf_B^{y7RAFGDVC=7fn;Q{$Q@}) zyI+c4Z4bD4ejdo=#6DHW+9yUB97Q|JkEnIwFfg$;99Htuo!T#5xBerB{w22x{VBl4goG+-bh3lxCQTBgC{?$UO59Q498EAh1F(sc(D-iqBVG;((TeYeMk zfW*?e)qD3EiS6aP$=Z7Z>|H0u9Ldt%+_BVs!s)!<8gWVw@7iA?(y#0OTDF-Z3?yHd z&5BwTv7WD4BwbO?gpoPAbsm$f*=cp2>IQ~Pz~jrsGf)=zJf%X6$lyxWUMf(Fa`R_rt?LWO zS}SR6fnHe~G|baj=`cM$vi&wU8}k)UzNHq;fqWW6o`cprDKt6LDvkb_)3EFI-{R`) znIh-$Y)r%|W>-7BUE#-6rVB?}`KjRLqPy8}DAEoCn4 zfTmUsG|r&NZf`!Sa`sZ11p{8f9@1Q7*f!S3i3zau+GaAJO#tvmTajAg6k$G zM7*G@Hcs0;qXdVG-d!ej_h9353kUDv*6n*a>Jc**;=JRj!z zr=Ghm76>}qKEjszK|DfA2CEKr-8n<3!6p0qe5ypm*7@83`(&Ybhs%gnIqohv3JSl^ zqCAwQLX7fBrJIC0NL;~#{X>JEoxC)hpBDmZAd7;01>Ffs$`N5ZKS-yv7t^`v9pqk4^hC9 zjb@?f*7#fe?q>mgP*Y{ymjoa@Inxl1Jqu~s>lTvkPJf+D|95`qK2# z0$#U7#V3;myf&e9=40qfit9I;%v~>Ni0r~O@<{_yW%^}E5{G+A z1H1NL>h-suL7gZ6Gt&N7i2lv&s;XWVr5^8TCmg^ZN{vUhn<+h~Ye)Hn7_Ba2lT&&6 z&%D_Ok-zz$tYzFTwUs7;q>|L5k%dOf{OvD)sy_MxcRc*8i|x*b;mpkM9bfsI_MR=slOV3-|zI~+|At+joFIi-3oJzmuKaz}G}i#)asf8_z{N*OB-|zu6QT5e$vH^#u35`B_Sdpm@q|((Ia2WV8 z=6hAN_T!Ps2lGp^Jz?3-atE(5IgS|VL)1?sLm0R? znO2IHRN^aLUKeWYMF}bUUQP#c4NX7J$Gcqj`}5a3jYLn?|6#vfw-O%_D~~HlaC2}# z#)IxTgSuPVUU$yV_pYnfiHM)>^JjbDcSJA5y8p_SKkfwRz-DXSu^l(0}o%LJFojez+V<#w_l4c~5F4V`bWW>Tryz z4{DFn0f8=PNoIiAW8~K6C6EYJ5a=)UWHV+d1VSCp78^kwLSkv?+_R25%N9|%7tbAm z0kc?^5TQhd4RZQl@}3@N$aT}S2RwB{#@6lJ|L}75-tVFRhn!4`J3la>)p{t@5Ogb8 z=L|is(3F-6!&YJIR|ePce!_?6CT09#H-V#iKtXyMK-wvE@C(Wrc+Ok*{LmWY{Z&j? zSJzT$+vh1vnRvGM{;Kid><8G!#s-ceA4B?()2QpK7@7J+Xf$T-fWxozMaf?m9!Eqc zcp9qv-!Pv&$3|BQDolCa zd{2U5sM;v0AD58p6l_WC-?Lz`tZlX2XRb#D; z4AZO=#UHLI_H@keuSbf~9sJ?M4D--smB05?OzIoK!zDMz4`D%-u1G$Yl`WPEjZrzw zRx!noY8U>&t~V+Dz4|>-{A*e_cI(AfhbNR&GVSO2?JqW(l?IL@;-?o+Pd>3fO~psC zWbsOqu8ra`ET-|QnD6Ft6tdnowL44U5pX%iNoS1{FHVcL20ppf0rVG(u6~Y%Sb$9Y zl<>P;pm@OrJ#Mf_Nl@0m4yM#!WNf{+ko3LAS@xjA=Bnk$E2`InqZ7m1VPZ}-XU4)9 zVSPR0``@pdZP2*-9t|vkEG$>^IFKeH&jGc{2$wW$4IhGrv-k<6oI3Q%{X)W`@4tFW z|2xkLOLhf`-7u{E1v+9xp(5e%jQrq-UsQ4t(F`FeEk-l9E;7-0`kgx8tb&S;zz>1g z=TMv4+$+!4ndB&oE_q^fff0hvuL$9-I~pDGXPOeb7vZ=Xc_hTeLf{Edx$xy`g>iFw#eosC}=)I*E0NE-sc~y45F>hZ{~#wx--WeC~^k*W1mfI&nW5 zcuJ=P*>T+wU_L>mI2(@;=&05Ewz=EeCQ5a_K@looV4Bk@7<-_py&BsT$7;JLz@ik zOd!l~`s;OS=DgN*@yxtxEP%bN@k?;`z}L@@L@W}!%xFab#T>bOH3~Uy1p-O}fQ@P6 z5ZP69GMN{38J-{8!U z^r{@iSXyIW=K2HrGF`%U=L{ob+PVVVdqwBfeKRodKajf6x!a8y(Uh;mGP?G%$&aK| zfFTc&627xpx&77*!<$>hsFgI7k;Cx@OfTnJYbID=<_`i1G0^?6vIlf8fzgNflCW;e za&lD-f0zBJrt6mi=jtz7wfVgd4}-8Fz+wL79_tvMgazT%M3#`n#J!X=fWcQ=;bV{~$OQ@V&a>mi zq+%C9jEs2wt|joLUB{Zp2F}sxBFqqxNiIphO5;gUbHTHG88rea%)&;uokms>6J$)! z)rfDA6>r9>Vyr9uBae#-LWv_cHmSv@VNrnVFmsNiSW>YJkwgmgDs9 z#K){l1-&;3MJ{}#_YnJRwht4cTf>gDr*zFz!<2n7XI zz=ga7kKzFy@=pKjtARvN1qpGz+u%4D@-f*p+GlrB=i;Nk_w^FgvrgwhBQDmnia}-H z)p*&8tr7<-G0~4VKcXRe|C^&Fopy0CbNcYGp@T(UD&udIAq`#7gX=cFa3husDrGGcCtmgv7}( z!b&^+@RJDn2iT@!zV$(B+uh9fheo3BxC9zT6#jzrxM^baWtn5O7RU!xFqBVZKYobJTEUW}nBa@`(Ph%h1sz$*iuegEw_(@U$t7 zz(HLu{yU^;p7VFs;7+h)CT>zBz3$5O9YE@PPm^u*QAc^^QY9_`n+p1!!m0aeFLqs? z>7vU`A{NHI;*ZPHNb?{a8ll-)@gu=+)i*-ze?mh8Sqbb$pN$=*VMIa1#ZUiFmEb?^ z(f>50MooR~6vfDv4;GiVWG2?!P+Y+^pSda}X=IVU;dJrOjBw+?BUZRJCHBYU$Vov| zTR5xq3D-&Jl+&?XgM6_15bY7wJPaRJvL4hbB2q@Noi^;3cN;lB8bAaU5haIV=1nul zXXRtgkF70Du5781%>@deQbCKsZjB`*V=?{b}WnVp_0-rGty?oIMVr6jgd^q7hMni z$Gv~sSsl7J53}Fys9s>?A1FF;MlJl4Pct%VbiA1(Ks@lrzA%Zh5_}1D&DFR=LD2ay z>*?Sem?JiI{CD@M7Z%dt+-^~{37lkJ^WN>q>-be%z>(K?;*m{xrXZiDT=m-!#RMw(=n);AURv&;hPst>jn067kd9 zzH>nPdtq#fqX$tzL1%FxrINq{eMxYcHfu~FTC(H@Nn5BmWy2fp-Cne;tX_&xEd)|a z?;R~#kC=bdRq|k#bEh`H$Hx%br>4jC?n6Bu9}Wqk_bf;2RLR`^U(+o6xdiABl8l(9 zk2*R`?btO<>?n9_=5qoNjg7+mY}Fyxt#p|6Im%ap`r zX_kf)L-8n4Y3XS|HM<}4k7$dMPk#Nxy2iS;?1k@km?VPk4sAHzj#za7T|L)3OEa$% z&kf#htHj?W#O9KKZdGmze*)Yu;G$=jGADVM5W&ud?s2BkS?qudnfLY%`PLDb~iJ-;$M z0tDy!4;G@x^2Rof{OzBUdjAXdS2W(XvL>vuHZ$_)b_?Ou_GLr*?^m8|p^@2IlY-oA zpVJyIBxD32%T`#IE4P8x+~BUlmklFbrSrY!yfIOj3d7J5x}$^`>BcWkL0JAO6hf{5?!w{Ht$hNuPLl zW`)+fPQMWbQQ!4;p~%)WRy-gx$wS1^Lykc1VhLl@`?(*1hNd5j);B_$y-LPer1j3Tz&L1)L_k9t1%8ld%0tp@U~qTDxvT5%-XQ~k@*}$8Hu>wH znkVS_V<|-Jol9v{*l))mMh6?fh?Njo`sONWRLmU)?N>CgK4WQp?!lm|W|^Y>ctbN$ zIru~)!;WHIhy^I40V3i*i>tR}PH1St5Rciz@o(K`J&Be~p%)gb9U9&5a`M;KM~FreX3Q8N3r4y`Bt^6mDp|RyL4d z%2FuPNRiANT7rjf^Q_pYiq@yDPym2`?Oxul8NMxb%RkA>JJnzpvdJXt0MzXzDAe}O z*}#-)E$ezqN_be`YruEOKNN&XE>!IT1aLKRtoCJZqDAdX<1POEr{VwKWq%BtgJsfJ zMbik{X6qhe(Pj=rGXlJK*q@KSDFK_JPZ`V|VwJ9Wh--{ek_MQgV+0P9R5gY39~Yv^ zXWnUQJ3WUZd0&r2rtaES@;3V3@We&O6H*ykvPMDF9o?NB3M2gr{2o>zx4>m;uHBJ* zXqi*r1A1@^ug)?)h$n+hb12)phR$TkR|u>WUwr%gk}tmwE1lz3IlK=SBJSi8eVd6) z^slYC4aL4uVR6(gJOeYc$b_hW$QWR)uXhZ^hMW}HQ4m`tkJL~XpJ09#%?&uszk}IP zbn1+sYG6;(8O*2syRFy1kKM|d$4A7CwfQvbNI!fGyIzmhEj!+?Bl*ypg}H*5e|~B0 zfND45inn#6(p@XFQf;;Ilb+YsayXs8-&kq8$tJF?o~?ejlis|w6{}O*`$F7$-UIvD zI!Gzoy6@k}9n~rO++l%+OS~%O3Ja5=~7M-5@I`}QS260&`dkppbI~=#&C_334 zDNz$-uTtE-afXfUZdDEi0k3j^>*z_&@uS(xD;JibX<(RgnJ&iynfBh!%5{{^8yfnn9qy@T6KQ8ABRx4>CPf%EeAgxa9zFy^^RSyZ9!QH?OhzBfy?r4!?Tsdq`ZtJpZzY*&#f`1C(Y((COJZ>?rd$ zITmAQQiH$>L=fR)RV0yj9~Kr?G0UE1VzvBE!&E;*uYk;#+zy-{W2^~avhv{}lXbls z<_y?E!x_RekdZ1Sx`|OVrmxXna!PH^4u`|QDG(===+}YM2!cSgtOdHW)$)cD&!KnL z$ESsI#!ni!<^4n@-+U|B880 z;SJ)KQaqX972(Qs%#Q(wl?{-SjTZtquk04Kh=W=5(R>FB0`!8+A@iRu$t3Kqgt z@cTNpI?rNyIXQ5lIJe?B)(_d4m7TDR9wd!}lA(Jw`$>^FNX}~0FZ#4Vp6|iTN*3=F zWb}^YLg*7H4ETrOP1Jw^6ms;DLkwrgEp&eOyx&5b=y%ResD; zs=xGKyQP>^6u{W?@6kF~%A0l8upJN;Z%)Hs%0}PZ%GPts2v2b}{!Q5GXA?9lJ}otu zYV+YF-EC`ftDiDA{pb!NJrWmV9@-G@ZuJEUCC|O_{;iO@gcj^7zO}X;csbFSdTGl; zO5}kV%s#4!E@`Aj&|V0hNaYN?T(wy~!Y#9!S2E}q`n=rH+xoN{4{gtGC$44tD3aZE zGxO189AAFiZfBWkV5jlt)Bk(yql%>#Dj0q{c4m`eJ+ex={(*>x%lSR$A8XQG4?s0E z4+HsPBjE2=&1F|E6p?)_k&eH#meKh~LE&MtZ%r2BkR@I4$qs}oRLk6MdtI_LeV-fjx@yD0&hGdgOMS+RHPLwVeZq5Crc>EIEhq~yJH#{EZmUI`SL;@Id#~6*aCI$eY<|@TsJO`%d-)7lqVUUeA`{8ddBiF z4zPdWRW}j!GJ|5j9jPZ?9KY>9Y{vtzDOdyj1F)^R1-?KORZ-49B#6cmZ+qX(ZJnPR zI;ZK|w|*B`@YGYHZ$7$!g_Iv_Sn~hW-Dl9znLFa zLTC1FKmKl8oC3SfsQs&xlU>=efQJ!qa7^S>2pa?%iJiPoy)3C(CgPL5=(*O!YmH_r zRirZyG%qh084RG6_1QCiv3mxVoI}D2>_#V9W8JPdAKGuH*ZKRIE5Y6u_zAK@OG9T} zOhV|#5bB{5g}BQ-We5Dj6=a4>wa_&#O{{Pspo!0yhdnK-tapDmK9d|R9?j4Nr_jHg z-}O-WAF4=?Wbs|=;~f@PMp@92A&unwA1()$tm~cGKwHIM{|NTHW_S4e@XT~JLg{vH zkCt|eMv@sEAJ?qM4gW4xqRaL0*z{Z;rpwEV*1a)*b7c_dv({Q-yoDej)C^Sfa)Fhd z`ED5}!mttrVLAdA+zo+d}krO&@r@e|4$ z3d|d_L+N40%Abyks$Noxp!+3@z)H3o57^U0PMx}H?-WFOo2AGQg6T^cvI z&<>{>ZnET+KpD>U8(a+>84BicU;S0Z%6ma^HVsj)u)%p8c3ps9QVB}f;N%o4^Ob3N zh(zf@%G}{9z*mytmE^Lg-d}oEZD_DF_eyH<#ro6P|T6$yE5)6T17JXnIjN1B!l;( z09@3*H;pj~$Rm$*uv!waDL3;8r0hT!Q&m)k1m{Nr$5uq-c$R**9e5CWtj!eu;nY`` zs;-XRnW~jVW@G?-zwtEjRe^?L6g)7NnbPD-XtAd}+FX{hh#b?Uh-0ttGeXiD2R-!; z9NBX}bwy}k7_DCu53K>OBmErL=QQs87qoLg-E01&7BmZRGXAqcmA&37zeNHs_+%9l z1qY-^>(9#1|42$P+eAB*tfLZYX_xYK7mpY2yLhj$=F3t1Y37U(b>GyqzsvD?ner(o zY$K6_vU$5dzu-<66iTVV$|9GC%DG~!>WLE*RgL0zKC=1HH~f=odgXOC;aOkLi2`eU zR{Zo))Qf88L3olKV9+U;N`EVEeBwd%<R=g_#swI@++{0_QYQWbJEuto;QrOLa&++!Pu@anmNI26=SN`d*l~{f#+hFdS){ zY~si|gQ|JyrAl4*+IrW^4zIdVo2Tm>B1e^^TeR}j>z0}0Dh{bR7+lP@U+Vx@&UX3> zThz6Jh>)Lu*ly@aCSZSmx=!5fFm|@u%Jb?qKKB}Za#o@hYv*=Qw8Zt@&M-RqUdUn8ngw0{vX$sT#RP338OFlw4ifRvILh}JYpHSL{meO7Z1 zjyJiPI_r%i2!4nRMgSgL;{)*%fMq`mEnbumm{}D2Jr)yd)hg^TX(;pP`kUdhea1g8 zr}z2RDYn9DhmNwU_<- zcll?z_W5uYmcms9wH7{i14#@A9^zqf%G&nc-e$3z6mwJU)u zp9zLlYT4w21!q`fXsp1y={0nz-bdXpVX?{qV~AWkZKSnp8x31gM)V7%A_{z~DfbM~c2nI-Xd*Lqb`&!w3 za2?Y2gIZpgO7O5(n(1ak`Oa0+LdCHPEG(iF327mFseF_P*ZU=d!^7!nq6p)*?gLYs z*|j0*09zC(R7CUNP24|Nn44SH^}(^4KZTS4gFbRrc3OfC)XC@`SeS25D|Y*HW9HnH-xX_g65hd4zV$?*6<;C1ow#H+)NwF-GKc`2M13{mV%jXq&6 z$f7@A(~elNP#V%nch;9qV{@<@9D`wSQo(gqV>wan%o7lfqAfX1FVCaDmRuYs%%?GA zr^T+gEQ-PkIi<8GRTIM;v#`ag*RrmTiao9d7kvc%x5ubTN=htQ7G<%@6kHqoVzqTr z{@@Ui6cRKI)q%nY#75eQ5pc)dc6ai({*o7{d?$AC&2S0ppf&+H@owgQsR{g>Q7@G; zKi<@>FBKH}z95f&>ESb~K1z7w`E3pMW4E5Z9u{cvi-*wx28yJFveQOTVUqIktS~ik z&@rsh(0%Le{p-)aCbT;zCx=60eTla}I(+I{Fc#+dcWs4Q`XHOro95m}rJ?e;@njmJV5utvgr&&AhQ91cT;*yIr}l ziB^I!Y{BM+Wp;atCnAEMgxUm&=Bc=g!W@3Hswx3R={;A3hl68VwA_T$9v_)OPyu`Qp*0;~U?BCX%pQ}6xr8SZs4h-kodI&2-R{m!m|F48IGfqFQ zC*!^W%!_*6@Grf&mQa)(M(H?ef1SIWGwht7)<^D)B>QRS)q8&u8?aOGwpR9rjYR&0 zfSbc!qHmjrqnuTsap=7lMU6oP?57xeeGZd9?cK_?a$1jLx!bv2ij4INd|91j3UkFH zW&D0|bKw-Ua<#f)Zt{`jH==kJpFe?}o#*WcfI@ROIg^l-5zYR?`I_41P08HI((9GK z-^FVr@AdVsKWwu#)&G2%>a(c3Q85XwXAX2Igqr~gvrj2w_o=Q>Yj5s zYdM<*DWP-;98;~fT4`eADHvefE)*i8oT=6kFQjV@!l>fVB|Fh~#mn36*DgUkFb^&- z8~*<5;|=*!=TpgCmSdVVw4{V?yqmY5j#(ibF1N+H%gosb&y26qQb^M#3)=4HW<-5D zwb}MEw>5XPEdF%UZ@CTn-RcV-PO&1cuKF#!_te`|G(|Htl_C zY}fdhDvaxceu^UlmP7y#rQZhhL^1i`QBWMi>f5n_af#R5^@>qnsnReP%gL5$2=YA* z)8x3xtnD_SEwM3l0zkhkFq*Z4P4IEZybB*78hwU4cvaiRsodm~?`qS0P@B&@qL`arvG;1-#?nuR ze2dk)rF=LvjqC`&aH^+)MmU6AqWF5>Vpi8WSW-)CUg@cTs?Dr$J_K@l)_5PM&~3gj zvliP?6(VIwLZpYL3+Ct23ritrtS(dayYxVY+~`9)37F#*A-+@ zl@-?q9fZiMawW?mYT+U>;_zmvQr||UFDTi|U@54o#eZQEUjFKC=oyBfC|++@wy*fd zVW!VR56M%JH6b}J!c2_gF~6B_`ie$>gE9lAsDm{IS(4NlWl=u^wKYMca0P*|J-QNg z^8|&)qvBss5e-T4*oVUXOZo+m$u(`Ja(Z#ncGp_=A+#zvLq96HsCiFND%y%Jp{xMM zRnaFEh&FG=HyYYbojS%@6Mdo!ep}XY8!tSZWHPWte7bb%C9)Nmry5aN zb~x*C^54l}yENV3My*W#gCXKvrbsXB7}V-m!|Y61f>GSxO{1mbW)Kj;k}Tk%LmL$C zjiOU_2BlQH8fc20uyS2axt~W`i5e+Ru#8K>Ns>$E%?PH}e~Kx&pLkeoV56vn6QJDm z^S<-!T=%g%gzaf!mGTucu(5w9_Fi9}m?vUH>}y&YF@1?2@zG4P(j%5rl^qGjtjF{K zBgs29k}_oKV#v3XImg#A?e|l9)ET1`MNRPV`gS!g3!pChxM~H#ys6?F+b{Pce#**k zcrJji7wSl1PQC0mE?-?1zVEUr0ZMDU8+zBXp>i`38d8`!LqfSPXEg?c=mA&#p-2zW z9t)5Gl_e2MOyy!)#7Jh`%M9&0WBZC4*!c6#L{A_BS9rgwK9OhUXpp&;EJ3=hH$3}X z#Yc=(9j&mE)RjE31q&Oyq#~b2mI%=zxW0h96;b+>!3PN)Nl7=8H25;uOo;Lxiv>8kVAUJu)n{ z*MFRWx7o+UM@MVnS!e=9_cjt;9%82RwXa8E>vhJR=Bt73Z*wZGei!}Ufek-?e`ql% z2@zqp{1v0I$Pn!RR!W9B<~{kxQACgfc4YM5Ur~8oWHs4*A18S3*xIo4!CCyvIHE!` zcSynil?43HK~z4~B={Yc`ls!n>p==eZxf6}YdqiAy>@dRCx$t5oAPw}l3f=UcY=vW zXzLa%W4a{>qlm%m>~CkyRYCvuy%MP?n>V}2DiFbDaEkMohj7kcWVvYCPFoY<#dUKG zNHA7p8%eF4dwN~JW??f5FVt06i#2cfSV7aVz0_|RraV%7hN|qIyklSY_T_WrC`3~I z|8?iCCk%#7m+&Q^T||cl$A}=~+PfWxiDve^ZdA?I#b4)zWW7IDq|o1Fp2!MbQ;)FJ z!f%$fJVwm7^85Subxny($BJ8IMC-}B!KNL-z0tirDAbwk7G4) z$}+BBvzjfByqLdP!|X5|Ap`nRuGa}=;1a$1>h8T^tx1c+DktS};LxJzb!)Gu@++g} zg9O>RyJ+L~%OxHD)Xra1x8uPk(DRWEf9;3EAtV{qGcgp)Tt1@koz%dgQA8kQmE%Q|H4&T)3k^w@W!B_)o0i1H_1@NuRFzdszl=r7Lwovk z&F0HXze~q<)0*ayjT&xR!cv3=yRDBN#OTw>-c`>4Y4U1ozzn z-jML}xuw;g$WeI~aaD_B5&6;4l780|%LofbnFZS(@SG)SxYA#J{CZj}RWYh>bo`DV zz}$v}_@fjRl{m;&Aba_lPxh%Bn?OytOipHjvx|GCORc-j!v394NHm32vv z5b-t_vvYFJn)3PgmGFS3@5XjI!0v#TqWYN;&FklgD9JrJP}Ue1ay(+PmMnGTSYlc2 zq&8<&+6ZWuEw@Qt@A%%OcQZT0^^iWvZK@_q)U|WkHIF!bIjN=5vr~Sehhy<6tC2pI z&5%1=qJ*72~kM8z`cLYCkIp2QI zA_*w-@vX)fYp{k#z_mJ3|2U(z$Laky@HqThfz?Om{nCGXC%(eHc7hm88jK>1g4taG z$@qd;RVXw;03>8US>nd!19JSRh})x&UB?(akyTxP zf8qwz#Cr1F@WKQux!q0I({(RP1J7u|PM!GvvbAnPD7k)}jOB(OeWL#rebOw!fm80Gw#$m80-?aXR;HnB?ZfL2L-XZA}uoC|I&R=MbX{s)7B)^+SPS? z3%e(Ect}i(l>DNiq!i99WZ@cT^6=R&Nwl?lc^vgJUmq6xRDV z4o$*dSL|q7$^X+TP7)`$^>Xju`t)l!wtMI-FO4d0aAs?F;JXbEvftB)t^#wFSah}7 zAv0~G;F?6p0vXevDW8afKWyF~m_TOnK5Y-SZ!Q`tEdKiCtvyZm-owsben00X_6De) zb0xf=YFb%?D2Ab$!onhJam7ob%dMdlizquSG{>hg*(e15(}j#>pXKn6_nLSs+ZGLO z?Fmia;p&3M+pBxKU*^1!$X!{&#F|7V4J*=0<_%CCx4n!@T9W3N#M=IW7)jJDH7VxD!>mv?|++AuV+DTsS;0K zy>Fa#|DN9FCuai+oVEk`6!x7WhLXOQLZsPP*ktki6{qYvjgJ1kPH&!KGtQJplnB|W zGL{r<2-(_bCaZ6CJnT;R9tXW<_P%a>6~J@${=JLU_`7EJcQ<(=n}xV?-0AcEG4`i@ z&EC`wp|42dzzJ$JYW8dUFLv!Sk)2Oo)BdLRQWi82V&Xf*IO%LqS1fzC z*+=qy58IX93-#9F6i9Ii`s(+VcIW0UUv)T*qAbuWNybka9#)os!(7t}vpZmI8=*rm zKDp-Sba4{=`g#@?tw_U0<{I|&EFt{pEb0i!vbxUh@24|VN1~4IahQyUsG!Xj2z+l!ea!-^DwAt8r$ zjsm7^p~1lbBpPamRty7Boc#W|qmEn_Ulej28gV-t=d4j1m*4Si&&0l|LmnQy`mf4= zUbw~;qN#ZGcqfpS5x_~A-Ey8do0&S#Ok||8I?PPFS|Rq+R(~YMC+DbeyQA}FqwImi z`%>#d;(0hfpIe8`&NA9C&HWA;MzJ84__0gRd6nOff=4?JijK-!86MxAQ*4DvIj}^a zwRLi+Rv^m!yi&VQ(u!ie_54`a^3Z>4$*bPYv;>xc2fro|L%KP7N zc%wprc!O;2M5Gk{=|Y3mTagAAy#aw9Un;jH#F)crsXom_G1qgC=wB=%6YG(aA}sYW zJZWTZX_zMDOnmw@sEn(&+FyQ;2f-UkLed1~=Vrf$QRZM86qjOK55N2lHKzTE%y+`| z0!Vf!#nSLH)alZ=eZ^-{v?!^#oFyv?qKcjZ0>G;wRH?M+5)6S|ArIqr49h-5LNmsE z5h^KgGphf*-SUAQfuBq#=@(ehFAHNKvSH6$=p$-6e`uuJkCSMKn6;iwK~lzaBi{h; zAO-^tzU=h3UBZww>O)6~D1t%%g_EWZx0Q_*;nt3Zj)g#n=f9>l*Mhyb!>J)YFHFw# z&@#`?+4>r3FujYT1u^nXzjAh>?x}W0a?adeU0@u44+l_{%Q(JbepPhL&Wg|j6|Q8$a^s-zD1=dzQkk7W#z|WMl@Q?K_)771C9A7FoaPlr z%wzeyJX9q^b9e+EM>92xnkr|_!c_!)aiu(%u6Xq{G1u^H*TE7Xt_3|_LGa_O)eWUzBk-e-EH%1IVz zDsuIV6Wy>wb(g7vNZaM>$5vVWF4=eqc%X68CM4U0wNgDUEbM#+&*S6b{1OWA&l4rg z5y8wDA6q@|T7n*{1QRI52}oJ;c|SF;fA+fAG%_$S5OD{yon4)r+|K-6wJAVX3Gg{A zLfAB%uEtfJATa`oH0m{Lh1o!(6++_RlgWq&d?mBGY1yUAykf$sU2*U`X{lDe@}1q@ zcEm?70e`FHR3JS7OEf#QH0fhHxBNj={hU-1wX^g=4^y!P_@K_dpvU3- z=6G5zuv&f{J;>~$)32TN^hTdVh~w9h%H-NcDzARD6+UBje4dhdP0M50?ZRG3BzDhR zYC)bvfH9n6X#>xqnI!zBv6R9eCoey1Pp1xUzmtvO9}~f@VlG-aE+Rj1r!0@?)InAa zD@oqWHPmcVfJBe7oc!Pvp>Hum*Qq_G@ReGh7z>vUF#Iikl6!;2s`{RE^@z+36d{+l z=Quk;*%L}s!~E7K?vapvkge<=<{0MW=~pMG03QDOS0}0gY(dYr+xXd&^l@J?ZtGhz zs5K?fXiZS+-jX#^cEsdQta=gx?0$qS)CY&<5{z(l3V35T4Jp<|R@AsSFQ3gbxIaf9 zg$pJvz0IACiXK9Z72w5(_TD#MJTGDg-tP+rUIsnyOGt>!Wxb9xzRj8huB@Ig%_OV& zDw?91SP=!wHYIVghryN&GQ5wWScPwv-^j%NPNZ@Kk)L1~Cdv!mdW@U%;t?uPbIB6i(1to3mJ#Nhq7LE<~Xeq8FKh1+skXTB4uJns%B50 zn=<}TmiE;JW43sJ>-QZJRS@6TrFbi$0w#@@I?AuIwD6iCSTqW6Kjk5TZxOH z-Ss0%?Z)ako$qJdCfuf3%;gX}!+b%KPiKNYie+$tRusBP)recQ0s02B3DLCyt5+sg62%` zA+)N+Bt;(o{Xrh;T?#P3F*mr#UUF12k&iP%36PNa#UHp7qreD*N;iC9!7d2m-|Z1k zO#}vvM_@+L%cun*9M~m9i9@$zUG`(C8X{fB zPnu|)Mqnf>HNkn9P;_Y=XYm2s%s6Z?ssc#@E+5>>=tuhr5gUW{E2EW)un0bNh~sZ5 zjZ^QdB^7b7aGXMJK~!U_wKlh@)bcC5k^q{{X${kcHMt*{S1(BGR zzfvl<^G*l7xu80R_;VzAR0!w*R0RBs@l9J~(X*Ks2rDBqB?oH&?5)L`VRD%F|B>6s z+@+#wE~nSk^I$(e&BTfQHtv$iUbL7z9jUD)L_;J zCmNSGl^qV$JBUT}X4K~QFD8uC{~YdDH=cQL=zUCBSy?VAOX`Jdiy;?;dbIN6*jAlr zY3#G8Q@{s=&9_6%=2yI9bfUDq%eBiIJ!3pNs+`?o8h^GS7 z>#)UOO@}!TydTqLBIrqUCdHY+az7K)#i^NoG)tB{m$J*6K*G<>bLuoimcUsP} zyeixAQg+gI))Bgo^UI++FS2P#a!d7gM{V^|_^!{(sf(}C&eRW2*L8n^w*kdemAdK zEpm;L`utWW!^`{Ci>95f(6N;tU$fnJ&6@JpeJx3C5PPvJ6veO!;7VztycK9`CbbY+ zXObk$v#QiBpnN7;KAj{I21Uww_O%gVU!;gIlnaMuaBs7vBc}rm^m^U3;=cudrDKN; zfKAYBuA}y={~KyxCf#sFApuAgf6+i=f3QZ76R4|W@jjq|!!wig^Dh4|Zh=^PQ;i3o z4)OzEulB_&p>7Ks!lnSDs6dTj>XEUfX#@l*U^jo5j(A68Bc_144d&N>d|v}|x?g^; zdEPC06!lRs;zj?}>wboizg@3Ev(fvd6#<#WVVm4G14>2N&Yfr$)a9Z6dfH|+wy2rJ zgenc{skpSg*u|lAI6%?e%A8Cq9$cFf8xE5g^jUs9a*MCOI`Jo=o|8~IHvlDw{~MhW z97Y6fGR?!a_Mb>FOKg}MCW5^_@HV|lKKe2DDelaoKdUy?6Y;Z%G9$rQ$@&v@{#W~wd7RT4F&`$MY8m&WgL

S6cT8$i znEFBy^f3vT(+8ojDUl+6o`|Jt?>(4?#We9_*Ij#A7gyQ@@HUg*& z+{$Rc|J;j+{TZVsH%M4KKi6#?z6M}OTOs`iM&950fM|UA@v+}&(tD3s@2F_4T}Id4 z0(q*1i*xVn#ZqQM?Do- zqWki;O{Mc)tR_uXuWK=vJI@j~)%?&QFU-m0XA9rlpYEzQ=kQZrgxZkx62 z&9eV6^e?S#i-wvB-}4KgGFS8q(I$Z(*_eDH%T=o7XDr}lcGsPsS<&z#;#sB=D9&Lb zSR^-0T+^%*EH6qyE1@WAG)f%}l;AB_4Q6+u9lf40fVq{~*6PcLQ;aI`t2)++!qF5n zSJ!=b5q3sb+S0tai0(qnt~45|3SPWB7V#hA&@~b@@Wn+y5SLr4V^YfxKoquU_@kK1 zkev1-(WJW)UWBC3hQL7xHK96@xZSD&txC4Ze%Wk5GT{!!b2w2mDPE?41*qmeiA`>kveW1PNx(zmfHZPwQ%=1eG3#n04w06S z(QYu(ekT1P=4xLK5XMZfe7vwoN&aAy2 z@BW-VXj7u4 zpnQ5U32;EIWIj_Ax=4KMMuMFIp*)hNW&FyzS{kfR@JzVwvD3duBzOX5;ER|Wu}P_t zgT#+&%V8%ccyQ^e%2hr9n=3JB4MrDJE%?T&Xw>?H#tOGJEe*;1I)&Lbm5Bo4B$46# zsDyLis7=&^uL=hrH}4O=NTD+u>+YH+Cx^a3Pj&e+iDL`;;=nl4 zm7(XUmp~wVEp-*$uW@=iNxqN^E4c8bI9wMD<$(Y{;mxR8l_BCJ33b3R@>>a)P+@Bt zJG$>nQNlO{k%5!*mA$+gY$94Bnx`;h)06hV3WPrHo1cE=)5{}@FKeYu>bka!-%4ei< zMO>m3g-9;>TQC!*@Hd>w<@J0F41P@PI)o?Ce%jQB5OFjd(>DT4x}Net#g%OK;<1L^v&vS0~9+< z6xYy(e@b(HOmB{~uwDVIn5R~jOXa=@3^nIby-90&4?>3?IkWvd)VFbg?R9A<{mrPl zEbWI!L7Y1=_ly5FvGK@S1T9B|itQ@l{aTo}S=DQ6V0T1hBLXDW{R^YI{Kei0#8S5D z#9Bv(Ri{9Vy1PtL)=f=InkQ3~3^Wt6)a!-D2{SaT7fWbcN=%6W7&h~q#Fq=_la@-o zcFV9&OuRj8=vi$_RwhGUp%!nifi?|o1cTok@l;iE_LOw5>RiI3t}sils|jeX5b0R^ zm{h|BJkeSG!)RH4dlHQ;hzp`KeF-SNxYQq? zgj~kVZ-*liQUiFK?~LqnV!o`EJ2LYsp0gCu?Ije<&M-5~D%?K+(8-lQszF_L89*AQ zQ0AyEZyaVzR_liiynMfZ)zzvM7kKXUG&`X1007dC;`C6(IVjbvfuDtpX1cyq9`6mx zS6bzkq~_0+`t=Q@^wIUPFfi;$X9=8^d1FSmwnJUE9_w4IFl(aDuEf5Je5AmrC#GNJJ9AhCq>`N`xzTgAPs-a$QJ~# zJKT?-kMYL z<1*fHl@gXkfKs0wV>TTIE|KA))!Z5za$%;!r=Ohp;Ei6_G=^r*+2Wwkf>j%X-mYJ~ zibF}!03%UrtfM3&c!_+E2|Ng>Fo`>*;sR1e@|$vpy<#<8U>H+I&E9@%H}qutw+6F7 z51&=HbCq7=;PPRXq)}Py%<_pg>&RedabBiV<2`VygpoF1Ad{nX+i|Z3(K3gy<};CGoe3=-y8WmQog}vG z6?Q_XGKJOCEyjd8C2CYCEPu*onm|korns;!h3<#KPw@Uq)CggufwE#0;*6EOQ|EaE z{fX|zT`b7At61s)r~Rz83iF3Rjqc08c_Xm*)24SV9uhbl{5WQ!ROL<1Po(+n{`!O0OR{OBNP`4cewwH`T{f)!5_>-J2DVvrJ*>YbED zsjD1D%Z*jbiUG5VjkI)+_Q#I}%v~*^dYC^(U2nj?>UBG_sgWaytZ(docX~ohyi^~) zbH7=JKhfo8<>OHgZGS6J2$kr;jdj#D@ALV9jD1iyey?xn`aeDcUf|38N%9L{sw?-Qw#WPk!am$J0(`K{&YvjR3V7)~oWYNyCiNS%zy)wl zrg3;tMedhH48M2L=xDLEJM1lMyE^L!aEByv%8tnwYbxpg=+d<<3}$Y2>2|4q9G5j6 z`k2?zW^cO_=+D^uWI9QjZad+92RT^xs=dp|Kvyl)Dh_Pbk8Ces%{J@ydR5Fk@v<|u zRUj&O-A~#=*^bB{=EIk7$siHZOj^^$ zg=aMUL!KkxvGsWQgf3{-(udE~O{o}s1>Ov&!C)1mq`zcOJ~sIK-a=S*VG&FSVvx|s z!w%BTO+vtl*&2FTA!8QNOIESu14mIIK3{{v4w)O|dXdsU1J5 z?i+AB37&s7?v)G2I!lO@Wi|H0VPZ)8Eb_0hbv3V$~fp4{4e2z+lqft>u9Tfclu2 zXrcvSFs1^okIEGgq7#prg@&RAV?iQis>(QNixz}_rabiuj<^Sdb`6{tifa1r#-)u3 zizu|R{y&I`DV3}cHKEX#i}4*+WW3Yr=V`XRcF5?Hh7fOy9Xsl^czhOLv#NEYR3?WN zkbWYu96vZJOFFIA1&hy29j*LVm^6SKGbvn}GK2t#x1C=}JC2CEESRCHEC^i!IXYTc zygvW^CJE+liVtc2OhQQdm(`~s@3iEB|5N202v>6lhhbciMQ-C|r%NStWp7UbcE7*N z>!IQsAUL5!!Pnzv*HW*IKiK8;*rg`|0Ad(U#)Z#d?D7cWOwxXudUy-K+L6Me^pO@{pWZ5e7kBwJYK63 z-inFZFvCBZgasqND};4SLepEh74ts(tL7~xT#dpC(^V>~Lmz?^ks5SI@`Yf zcIt5WEPxm1q>eed$yTal5%}LhLO4eC+c(KWHV7^2dT|O*5YTHyfE5Rqu zh320PTxFxa&JT)-e?R>gy!8q$DrHA8WX7pTMYcV`_$^I{eV-1LfUTve>KdBDiyBeK zc=9={atLVv?S9NN&#-70e1?p6!H4T|uj#IkR--y7BZWgn`}rLkp=T)!3>_hf^XZ9r zm_>=e6YF<;@So50>e_C9d&A5^VjU3LgulVF7i$i2>cCQj4-(}NQ!*j)P(oY!5`a-G zGZ%p!F}_fFdB(;^7Zn%YZwoWZ#P zZmVL_)`(spC1NJEWLUiS%XVT_3NZcDgkd>O7ID3=c^&7PWRyQOxg}q3^S*gC^DzV} zw*_Kdq7FBolVQU1O37@d+c%nisi;)(Y)g0)m;21gMcIV^4ssayMH)-sWdF_U=!DdW zdg(AsR0`wXUY&%_P!o#)-jc0|9n6~Dgu# zG2xg-7OOJW)vz(TlLJF`YpZaLn_VRL+x*QbpAlhrS)q1b=;wCTIAtdCbkFzZDfsh- z3NAj4YF&O#$NQ;+_`3kR^Ng0)+c_})J5c!TQb*yXpSk*18XByCN%zn|50S5YSzzze zf2RgJVIR_eIUBv_K@a)s)Pt|?-I0S0Xh#sxXr{}ZMUt>^auR+=d!5VK@2#>n2`r}i zJ|u6KouOcq$>#pYtd$lrYkb)xFgoUC)f^c^kCjI}3Kqn-9$v`PQaXf1UX5 zyJd+|5)a4ygRlPfmIggR`ekH{89giOC-nKSj_T7YkD$j^mvhM5PEyR{T4>E2^GOZ{ zfuck#4169*OGy-!!aw`hTD;Ayu8GUh!`zfkJ4_HZCJ&~NOB@&jOuKBWwu&z&BA%!& z$=*=wc7ZA^&R+KN$q`ezFi8n?`!Tro{1pQut`G}57EKC_{01TSIy&xy1MIy(7;HW9 zX|pp0SnF8$F1p5KxuR{~wwP^;*NT~m;=r`~ccJrim{OTqskt*Y?W%b=3LWB>9)J?Py&j z1Cz3>s}d+tC9|?Vn$K4^mC#U+Jkhe8M-QANYaysrC{){?oD_YDS1;n7J~ylow<4l-M_9t6cfhfNxN#fol8GFKSvR}+SIh-%qFl+ z>hiXAc4nKVDdBv~yK?z!j0{)U#JOa)Es!JR`?{@lbp1h+a$j7&0)5PZ~aOP$f8WmEmrbAzG z9h%|Ub(FB-Me@B?C?4N99sN6dX=})(fjlO=mO>s&9Ww#ILhWV-e6U(>)PN0Zu4XYw z(k+~u*vF=_L*7jQi>df9BNO3=Sn6}YM-;}Qix}una9=-7_k7~`D$z|49o-X|BjiuR zoX6t*W^%Xu`F(Vp131EIKK7`ZZ3jVc$WvPY#sD(Q5OH|2 z^xs?IJ@&;UNSMEba1;;f#$txy3kC`a0{&nju?7AT;#Uj;!O0MaAI#VITsELrq*e~s zQc8NBLrZJeiT&>;0yV>eWi(@ikYqYTw>J_0X2TbLKtv)`9~H_ANeq)6i@=ZR@p8Dl z$lLgMDT9RpK-=2Wdw5J66eF~=cCX4Q9+v;^%!xv(N|^l{FdPR8?KhY=SF0$#9%^GL zw{o4I)s<2st~#jIHg`Ij4PNoI+gp|`DaJMe@Gnm|REL#oQ~C$&Ubmd3Kh4_%CGyQP zx0=W?d6+;XDJrGRMa-jnRh5?##5IUM3|c-y*f?7gFbe0F4{&0Fz7H2EG=u#Vh_s3V z86O2p`_(IUP-=7m=M!5^3PNsIZ_iOB$~8)~sn z&uv>3@GKpDN={C`w6aWWZ)=kx$hDahh;+;|S?>qEJE-=@|u ze|$TzeckYVHNNwGi&`*Qi4w9BLitx90h6s~m6Kzs{ZYNO zrGroDo1@GpWNo^tM7N%^0e$KVP(Svkcc;U?dX>k?mO_d4Z`m4M1Un~#OQE+Dg=Vgn z-C~-z>pNYF!L&pq2Y>bqJV z4Myw@{atu}p|kjMkfLU~Z`{we7=(D8Hx?^W-nLh-om>cQdm6N}*|WP-NG;dKAUv#tl5xLu6@R3k~H;MH4QXB>^)oxV5y zK7+roskm~wbi9oB52qJ+-8U?_ayL0fjHTrKwBocpu;W%^BjQ%AVN#u&a9My$Egb|% zzMPmck)9sgpu4=+&dBebS@Zqca8OJ8F1=#qOSVjh- zo`}&z2xu?J;No70h9`ZU`+qOKNry|9)fGTIC;w8=7Tpze4_kgW+*89z@6(zcuDhfy-N;`B|KU!RVIhrpQHVS zMNb0!oO0>dyAv7l$xyfuDPgG!#bFRXe??>$0pT&D7Ja}C(XNp1Ss;AZvs^d#^Td<_ zy{j>>rQ{H{n`py|X_A$uA1{_qn}}NsKUH}vB_CP-#QY9NPN{KftLxp^R^QF@ErT-2 zPo{n%8LJV<)-h%Eo$L9;K)<~CJw2Nv;B4mE!6x?+ZhRoR6NWAPrt7YwpOZszM}XvnR5IF8|gU0jFE^UWUAi z()ehxvvQ)N<&2yx4>v}wCG!GG@jfd=g#jK%$Zy<>dHh8Rall^oT9Go$h$u)KGRlwy zAx1_0{#Y8A`|w*n)hc8xQuqMPvIBLa`k!ac2>tbc8sdzJhY^7D{RvpgTa0YVea7X| z2ZaZf4aY-#2!7NB@Gz<@(X^Ci!NP1OR;QcW+Z*8J4Iyv%sjdxu>NwM!EqlFgHQ!&BYVdCGI)w#k;O)&NF%?K^m<^+CjS$rH@OgUVkgg_yxFz(6m@nyo z(0}!RN7V=8ckxaX4Y9D1Y*wD&V|^4c%)keLIhme~xTpfJ zgrHC@uu(7f5#INf$_4CeDk<_dqq=ovf&zQX5H?J#j$ElaNUT!KhEk3N@42*J)gsjG zWa4vR7V*+Ug7v| zhWvkf+MAwl{AKzD%#-*%_q!>kHGWOqwDqCrhH#7okh_ult}kK| zs@3(>e<$d6(HC5ZB@aL1*tGjR9dWb~PQbEZV*3Ogo$z(tmKKl(ei!?LaXo*zY?%Ev zy@L<0f5!3tZNP;Q7r`0elLe_+Kv;>bs%k8l=vAH!gG5YGx}{-RRbvhPM(#Q&zy8em zVYOh(l&MJ|OBvray}VN+$R)6X=enN8`I|(*<(2s@|D7bh{EmC%Qu8{UyPgksCUIDu zseXbG>?@=dsB&4)^oiWq;p_dipLEH%Sf6?NT{_ zg`z1(uU{@)(AHsfZH4&yW4aRwF+fX;wHE`AaPn>V zZX|4AEaAkHBN-5BbQv7ni+XvS2t=X%Gd8IQcmD6RpU<^g$ob-abA~P#{d!^E;;j4S zR^e%|rTe8OX0llwpHt7x*@evSFU#i-uoQ5}|2~rIzMLOVdi0Y35 zHNnDo)-)|EJOhD}I73BWlV*}EZnk1-*wb&;m~{3A;3jwsLTP@2_1ZZl;(*G!nw6o3 zRUDf9C|7RBY?uP(${%`xi~+Qf0<-$us)_|l>Q&_?4ID&8^UGabYwvc98jgTigg_^j z<0c*4q}cJOmUK5nQW-^438LghNX4{n%hlE0>_N@ng(OL&lJog3HL#_lw0lKBmUKA%d{p(^M{=| zp`_dGX5YJOOj0dNNMM8YX?l3)hsrpIiBOw^V@*n0tGX=*sijHLABi98hEjg`cXq~I z2TcNA*RP=Gk)|fE&(DXm_@;;7UEneJPiLZz3VvBb!1SGoihOtTVpU#ggqZO&GtyCH)o{2GU4umZQfE%lg_GT}3bmvLYlX z$Ol<$&hRmL5|v8iQO|=+-9L}w1b|e*lJVNsI0zY@rbJaG(FP_y#c&?MFLym&i9vz3 zLZ0_-o|m4!4>MK6!^)~34|-i~#78=%g(-w%R1k&USi&2r=&G8w@Z|TNQ*Q{?aREy; zf8gBh0Yj0g)aq~{CP4U_LJB=U_RyPr##R06Lx%S(&*os}npBQgdvkjdu8WC}i;=gn ztMOMk3;93wh}?=e7;5x&Ejzj`>)B?+*ydHpN1&T=>}O9!d!}ms)DR5DU<70 zdp9?0l_ZN)G*Vl z@JpH&*$)m&e4al^9}*K<=h;c~pfqp$%hxwaZVX@2|6!N! z349^F>FR=R4UZ||kn?pD43DI~<*%pRa+XhDz0ce3mvhU@2&RxJv*{smNcBxpY<_R1 zptpsIhiKf$Rp$aZwDTobjtvdR>KoU()5MX9;%-OIk0&cjSE?&El+ek)%?FQ7F*q2K zO#p0ekkhJEL>+9knTb`~VHai@W@j=L=NC|3OO9Z$E~?P6PJ1}#N9YZ#G9@La;d4b2hV&?15szeHSBS$rpfdLLEQ_+DN1%^(e>r+gI~ zLqQ3Bh4j2`={;Wu-VCGTqmc`^G!!5svB@x!GyuBd%pG!29FdSl!bpY zm1nMYmZje5ltn~__9L=m`i?x%h!;xN)pGm0L`%iKKe~pnq(puwbirRMq%(T8S{gdu z`JgQsdp%xnOd0cEQe?ZmJ>&t`?LVok*at<-BC!1KPA>{RjT`&Ee5RgI#mLOD^mGda zDwhjWA>j|eue>~|T35PUH57IE>`l9!DO$3itFk`GbnO3K3$bX?KKZSmTKvMkuE<^m zQ8l|{Unks+IlPe+F#Fa22XAHKliC#Zr?X1KD(MAF6VI!Sx0kbIG2Yd=q?t3p_|K5h z6^(`P*WK_kGBqdwV2Pv+;bE=P##z{Gy(T?~o7(qeZ+1JI*()EXzEtQ|P zLVf#_JvXTMuQhMCp|U63(bVsZJ%!i%3Vf4zlO;<5SBpHX{ga&zW&!VJ@twmc0p>VP zOfW=prn6_7biAp2{eJoE?eTE@Z6T(*t!sZ~w&r;QrDR0k+q!}E+Off}4B>`Q+}|Ii z5u>i*ptfPm*kCc#K)kS*t~%z;}vLOo?PENwJTTN&xJPUL5n7&<_DMly&w+xaEh!N#&Isu(OD8 zb(79i)VXY{e{qZZMwV5bo*s+Wx-O*j_&g1L4j-@^$V$To>QxN!=qWFNTe`pNLU8>8 zPTIZxk%*^JL@s`l775D*cTTk?D1oO^bCp0iFz0BrBW|LFAgGxdWT=M$Z6iXg-f}2<3cYlBO_(5LfzG2PJSm@ zRZ9m;1&|Si8YmYp&^XeN8kSJO00TaMG^fY)ZZz;lyYf-1F-t9wvh!lhb9+|PF?E3F zC`#${?%KQzm9G?7YFL)m<|xCuA3lvyH1Nq~;ghO% zrd$k#KVpH!6*?mpH6s0&-ms}7&mX=@gYQ65Y&SW7j&IdWU@;>h9FP*{CmmMw1w|DJ-b6dxE}v*%J4DkG%gUfc2l5 zV|xLnJGIv5V#?Xc*goi8`SBagx(HHg>&%(l z(){s-Q6Wu$oR^h!W2Ozf+v9#as8^%V)YpzE{uu=oNezyfk*qzpP#Js|4y~m{=PEX0 z(BtD=HxtK@#Sy1w%%Ua5h-5(u6G5hgD@yum#et?kF4W%Cbn|;Sr!;KA<&GaEZshCf zs$TW{-(Hi9m(BU*%LyATln-Yv{zX;eC&Mud=g(A%KM%~m1}h>EVIVA(jzJCZKwhVk z`8r^w{*&+e7mz*O?nt}L4wY?V94R=tCL$F*@8*mX*!qT+1XYBA=Il}c44?pU6A4XK z7=#!o{(*&T`v(%Md<6w+sd~f}|7!eCLV9QVYkz@$il zy@imy9sQn9Rf#ja3=!Ifsw5u{FNQ2CAkq1~<(dU{{Olqa)SCn3KQkW_z_&H)ZLYr7 zfg$}@37-Ih-^G}Kz4w(JO@VAQp=(`wJvY=*ZswP?v3;S!qT1$^QLS3oq^;rcGDvsV zg`RUaZ|iz%E2ouDX)YA@P<)if407M8ammW4dH>pKE+Sdks0}myK8i`!F+tQ1WHlq; z)U2=8@dNJJ+5GO?$3?PSXSUi^uyES~e4&#G8Crt)`mr-`pAcWzG@5AK{@iafNQ5U| zLccvt(|!stvv6o%Il1-xfN}E}s9mLA!zEF<$3BJ(Z_`YZB=6dO2d`D2{+^Er9yt#4 zZpT+`QU_rqC;yyIE6rQW%*?DzWLnik3#gX;S>sc9u~y@=^Tahjvt|rP4#+G{Dz8)U z(ta=J;Ok#%n>dzRvLL-xpXY2z;Yt~+)k@>nY40Z!X3@v}_B)k3Jbz;1unrr$1pT{2 zJF`0sG0`0FOCIg5YIcvCk9XI%(EvX5lry33ig9BAWppVH5C*AnI?oTzC($L_<8W$& zaxtSci^u)`VomDI$0|YCx|;t{7>r*Rcv=JXSxJajI>Wc^f*v^?#XY`jySf#sGT~gh zz75M3a_RvLBBY?t5LsN?it8n4+vvm8wE-<6UR3zaN4< zoTrMKAj+(dk1b4cO%{Mt=5?MmUAWkezjOtGVw;c4XLtwg^&L_r`JhVty}jlZ7xk8_ ztSV0tBdBVzEa#Mes0r&3#h>6AtLpsdoXWr7`7|6j5&K%>@jOYy3x5Bbf`uGC{yyC9 z<*3$MnOdt(c+2BpANnnzjAD-c7WW}oys2bXaG98tLalnJ?Z_0@h`~NJ46JeF8wu7pg+aOX$szm}Z@mR=W z$fzufN2iZo7mLTfcYS%}h~C!+Loq_D_dT!Xe14p zy$j4IaK9W^PoPuf1xOfZUJ+oyi>4=yYGJ4nxLDWVm{wF<1%to*%E}Xwhxx?yn8tN7 zgo>rPkY^7~zMDv%AOZw7Rs<-*EL5-m34M>s3apb}Z&5$2L7i}XPyQTZw60|9re|gSUgX%6K0sgnI1ZmHo@SAe+|*e-t$MRg@+4J zE-jH3yEbDlRbMhMS3Lw8RuQYbWv8*cM}AlY$o|Jv@^;*qh#25vdAT!oWuFA#-W$r2 z>3LzV9hW%>W0zQ_ypfK=woL*gnXEM+iWZoWv`*VV5@>0&c7L9%9e_by<|~{q)?)qU z$RPHiNliud`qRV%HnJQ0s9;;q!};{#LDBMb+e1~?=4x44+AoK%-)+}CtmHi0>dZZt zPKYb;C%$81N`K&?p!gKz7eMIg-ih=@`0SdD-_7nlJzI-2?m?PM8yTs5EP(Wzt+!8~ z0WTQ`NldfWR~sx1qj(sR6p7f`AW8w{Dl{`58pD&ATJ{SXOfg0Z@c^Q=dc0#XO*{Sp z{pWviNTjiII9&Y9k)_IpD`j+dY&gd^1O%hV5$I5$;_47ag?n&>z*3xO|EN-XA|)n} zz@X9%BS;?_&05cMPxZE;D<4mG@icOsd$)K9Eav9EjpI*PBQA##(IY4-8Rw(=rHN+% z3kT#>Y zbPi`tqdAXeZM#~?R4taJi|S+9a|bo|j_i5xm#H82i|A@v2KeYEs;H>Q&-mE>8yh$A zL`Z6P3I6r75ST=y0>5mR?R(Ys9_}rM+4k$6ay$C^7TB^b^l&_e0=d7#*CDrFM;Kr+ zH*s*sQP#4#SrFvo!S8;&(RVSFf3&f5zAFoEMU45`*70x%a5=)^aO+`92TopBoZ^Z*kB`e0333^U1Kid<1m z!|yP$pB{;0$m5!9J8$G0)Xh1G&V29fIb=2|AFmS_k}Rmo)W}!Ysm?5K9@lmt`HVEn z*e&Et4bol8JuWxxoa|~@&({f-^TknRcmSGQkeoKEr))ZAF!*4*pyy@jU7lk-J{kcd zfFG_Omv=wtxFEQC=$P)M0Ne}+4wSg2JRc@#u$A^MQLgsn$JMtEUv~J^61u#u|Kvvc z+zgq%_$+7hW*Gx{+pWw zft((ejPcnw3=XmRTbGkGxc#ZcI={e1iJRbEiAi@>scI(U_qZO{$oaW~bSCJb`rTyg zZ~OuPcGm5#t0Oe9U{-b*ha%D1Ki zlP>4I2}n#el!@+bBjXJN!JrEo7Wk(QJs_87$znc3MziclQ;ghPqnMihE`<-Wj5ET$ zf~xxs6KMzn*dp=5>r3cleziukA_;rb%guyiZPt(IH6mdymWgA?!nBi4j+e?X&c>4} z-iu~>k7oIHUXNng!T*7e*6&R5p^-iu_S@eLd_N{xrhr>fL3Q$J(&j~TFfY#k-EpA( zC%nKm<*`l%Sz3t-73sp1wCArzd1if+039fP)A_Gyb zorNe)jER6>h?txQqydFR!uk&`u|zVF2zl*L$9N9)XI^M>Vor0u4BcxF?wTpF|I(ZX{p+9&f&Uv<$2iCm1K^kq^4bvZ*Yn>A8Og zmsbbld`L~rJdELQYjazgo^PX8BIXTcR$ z*kx(lgF6Iw3GTt&-QBH%ySux)I}~0Jq|gAtgF6Hd?rzPure}Kf{D-^ldC%VaJX;#< zb~7AyQh#>`t_$D$13Gyi+4$;i;C8Y77G1VU8b)N#&S&5AvasY}9Qb&5vR zjF35H9~2foEPEtTpwoknKC@i414huHrxc43L1NnJp3{qrDN3V&Y6OEhxCGrFZ%5&Y z&~)g@Lewr57|?muQoTJl=r?MJfan@}XHs88@|p%&VcI--0&jDT%hUgsYa8(ydY%4DHp8eexLHw8C5f@hS zw4scYN}~ljG`AOvY)e)H-bT_)bo@o{xk zKm2lbKPR2PExz%6u4*an40SG`_%mXn{hT>f$j`I1W46BmGV;Cpz0!EUs;%_nzU_Gs zTjYD=_D{*QzSHL!yRqbz!1-?Cc4K=_u{oDu72;cm{%AFxRv`H4?g1lw#hx~_b z;o37j?JtZKrAF<#&ESF68CmUgT$XbcE09Np>39@*PHo zf6@N|&2?=wBnq)F$+a3=rjf1QWq8H@Zv|{@;O%()n|j_n*RrWZjGDys;8*7IiPh96 z@a5j-kMi+B|L)&aLgk3NfR@k30@hA$;?p*3-H77 zgx;TXIllMPxP{MGCurvv2J*)v5;YPSQGP|QMa0X+&?p`dsqR?9q%%9iXu=IB=1(ZWmL#?~&Sh*mGrY zrrsH_+q6_3Z^fjdwJQjGKUm_SIXsMXC{I7dw>9?G=<3+$y1W(CPKr*1f_kK7t~||i zHP8y_bT@!H>sax#=kfZtb1>ese5S#wsM!o|2N0hPshsHz8nZ}k*C7&*)` z3jo=HeA*79Tk(b}jwL^<546-VZ0*OI|DixT#{5aAnXO=FK+atgLDBKXIpB^NV49`O zi0KnSmnvpgg(S``c<7sU*!-@#mH29>JZ<4dJL$s#lERiuI+swXo>caCGBD5LONF z7j+uND>k~FZq)C^dEyF~FkhlXE+1TvLuP{{Kf@1Z1NjWVHk&%sI^at@MVvU|UN!yu zJP;1JP4yJ=w|=}GXZwqTN!IOrMw1!&4eV6K=<=}LCPOD?im!K=_rTBk5}s0qdf@(l zF+%?bJt3T3F_tRLIU$|6*uG2k9gxEz+e~WPwPhugKtz+AB||?hVR0WWgJ0QWH1xpTnb($?(&DI}6B|})evbn+~TIN=klAY_xO?tQaVc}e> zXN$AA`35L=vB=<*BiZo#+2T`br_s?lXNlE7`SZ!??oUji-NXaQEqNQ?%<)rk%}_Mn zsARC2T(K)78^#jhRXJW_8+QGcVL=vP5_GaF?&9SGB?3oB2E~oGf3c;Tc_6`V_;xz8 zdOEvZqgt6r{Jg!@dzHEMvMU8F`IE>x$hYOw*yXiX4Yxq-3^&XvK_Ykx zNVyrn;%cJPOqlV1-%tpkKm*;uP z<)TlNhtHz0(V!=}Zo&jJf2E^LVU3bIS@FIA_6-%sU}4!pHuiL2fmSmUNWkx+E+>PD zMo+8uB71D?HJn+$;Wog*g~A^ABGy^rT=g4g`FVi7Z$}MiZMfsce$CG&0;$CY%|eZwl{hm=Dz$W^{$RD0n%x+99>iAS`&Ji~8~)>dsV&a- z#0kl%Xr8PQfxq@`g(@%i#8<|MQ!kzs>8`H)Xf%2wAgZp>LprWmX3n&-g!p=b-dQN{ zWrGnZE6>jNM7op-DWngkxZXlnC@xRi%#{i>D%#%N)=}?13s4+lXx8u=T235FezOvY z(E7!iF%NO(_`^Q9}8aQZmdor4;dvK-gF4*Nr=BdWgM+k6)Pe8*qs z^>KNALN<<_I7r;;l75X7{UWyvqqGpoFf|Y1)OWi`>H7BE=^o6^m0$bb@O=2KHHQO( z^^#kmrR^RU0wb1AUi6o2g#>S?S%aZUweb4NOV@q6N@c$7^?{F9M#5h~tJ?=! z@2L;%(}n+tb$Q=LwtS8o0xq2f>OzQ_~~^!2FFb^O!OLR(p@{yCXG!`2iyD*AaiQ;1L7;mbx5%R-7^&+<7k`-CxMRIENN0(_^cIAYh86i)lqe zE~Q5-edSs8I;eJy4_{Frwxu|5bM>??Jq6TC*?bGM^swvc*xx@szO+VL{5YE#-aekU zZ}|SP5}&IttUT_b1B7ul_`y{u==c0RsId3tYO`@G?f?Q(ABqSz3eW0F%nUWm_6Ac>$^uMxF&sf*UD7X=>JBF%=p;zBi;|Qm{qD3BoV6Ae5J{?}E0hMQD zSTsl|t3^HCX;E~f#?H2kO2cpiMmSrv9DA0Z1MA?fg3&37a<=>lJXm*tY_OCOlkl+; zb7wTY9Q;_=0%pO={ZOf}5cta(^gIl&O{?H?XZrAa<-KEc*nV1ASZC{Hq}SKq zVVE<=lCA25jL+xXtb76Y@3Ydw=9nFDfhh(NMt9pIM5I`cMG$(*1iz+nzStTu3uMc) zhIQ$?`2ca_DeNc6`h_`-Qz`eS&{#K%3-uzdIF4-$S4dff*`X^UI(4w5*UkCARUnQ& zDp7nAS(6rLb7^-}t*CC=U(8<_X-#G_u?QT?T>#d&9T}q31S#@xBt03KYNmE34SqZu zo3D*1rT800q9qbC_Po|$kU;+X>hST*ZlxPQPM@sG?`>cE<6ihJQjgfc?;I9r-{;cH z^LksVFCRGtJ3|#Ta$>k^Z-27C^?Gg8-ZFyval_(x$hQi_BCH|Ks4eY3w%XDoV*FYq zbJ7#5)4`ehOhBmAROVw0rQY&RR!#!7dbR7EeY2utN5h%P)G3= zW)8yS3qRdARZFE`=|e9;_j>VmH(RFaog4rcT&-!trN|XoZ5rF&C#@3-_ymz5vWSF* zh1WP$90MC~Cgw&mc$&M~NfM->p>3?FPxI%bK!iUo#+qAm&~0|>8mR9$Xr~3eJ`JPB zojAKzD_v@5#=0Z94F1LhO_|^pA@yAVONg3WR&)_g@*;zVk;@OLAICX4NlAZyp$W=p zd}&0lG(lYKTF=nc+e_!IU#tNu(f(OonT1-=YoP-e@v8m1<))#jz&%i^bF9m-1SxKYi9pm%(q!{4kF~Y z01$Gv(Za9boS^;T%#j#Y$f!5a{%*2~U za#%N;mtH+whFmnZxbeKFK3lP3lWsX66yW4rPWV!3y$a#WTh6cnb{z!l1Pv0ZxA1r3tTY)XK5=WdedBK za|l2DTyw`FufaAI6a1PpHWq-mgg>yVtHu4{Sg*yIsVe#3d@MRn+;5+dlH$Xbk=@io z19N}3o8x*HDS8bB4Nb*bH?FI39%1>-D8M5)pQt>Viw?G! z#BM*nW^3)L*Xt!<8(;wyh29D>$}LiLyp{4LA{tMfe7?6stGCZjEd5=P4O;Z2F_*E8 z`mOU0+Ikuxqj5qs#hj!_2qEkA34H0-V))BG9-@Qh_hj?qAzQst3i>0I^u1)E!0-s* zh`aHz{c-C^^gaVzE1crOMY@oA+Aa$8Go_CixO-V6Vzkd?L}T*g;m+v2I}`4BJsnz0 zjM*s2;#EN}6(>`c7KbS1G*^`c^P?vb_3IPFYRih+qKo3!kEC7Siwn;-(*VSc_ zb7U;8p|&b*H}YvHB^=b)EvguZ-lXdM_OMdjD)xvpNXhkG8D zLVgY&VLqPT0LMA26`Mc$ItIMmoL~ON>oaOQ*3+g}XrW_Ve5I$8WMca6P_!4?vGG5l zV6tA+OBOMD9DFR;?W`t3>&@|07qBx_Jt_zl8p2XHLKK0}5kfe+{teGW7YY`_TerTh zK2y^9eqxmD)AA1?!|o?z%}h&LGW zVue5G$B$)qbQt^J|LY%|BK3a*SUWx(S2AL8<-M)WO1EoewtFz9Gx%DzTB+5Ep_vxq z389trNjM|9!Q~J6_%=+WKdKBkXN^wGTiCcEfSQ-q{JPn-V`sO+_V<9E4oZGEuYmIV z%D~V6gtp_CPG54j`50Q<&rj4EVnxd5@LewBApJP*A((NFEJ`qyq5+$OF#-~$N$V0y z73oq%L(?bcwU3vGH`R(d1$RH0t+-;g!Z!$6!(>3mt%y^z^vH-MJ~alXiLgXNZ|CvV z6n6RD$=S!p*FGt}nYT`7cEU{IH{qKb_K;{^m~{fFU@LEF{Nh;I(QkWeF=$9pZ>w^Y zXrCMK*6AsL##xYALPFZq4EV4a{;6##lwtX(LAVsY?<#*>eOw-XbcL5wHoU(V_B=ha zTzvB+0@`uwEe+@KKCL&UPDB3Oa_4b8TO7#10D(Yo7+5ftN3HB+&I6s8K{&qBWMcnx z?0?8W@R|UUXL^Sgg>3n#(0_{%i(-cj%?KSaNYo7@v)$j8u{)pQ--<;a$n_98Vac#x z)ZNe-R)N?U%)>tGh)6B@rNWxni?6#0?Rx~`|*;Ac-7T^bqX)Gj#dFKj2lodS|ChKLIGcYwbF(j zkNcU@$^DTvGE(~Mda@7-OqPi{2uZ@t2)#=07*_IpUTq{Ol|BO;UQF5n7_THL^axe_ z`3QWJmO4W;s{`DJwstvfu{_e-+66s)>d-= z`+NAQtkyBe8mn5b$Eur|^G)PbtgRm2!hb`f9IQDJguTz>di~@kTuoC0CvsMP2tOkotXwU@e-G_nR-RUHt--ui{ReSZ zuEK{}(fhK!`KNdVA${i1BnV^_pRJuh*;s4om4R)^BWma~)K4)hU|w;T)ncdH?`_OF zzClnBPvVWD_wApNs-T8X^1D%%b>a8T_r)hH2&+&haLeG7hN7Yu56@l)yWk4l_aI$s zei~&BS0A%#O^-!sC`rsmzDJB(IC*1wMNB?zY*Z`BmXhA zuRJ{=n#9#iC!g-ezw*?PA@QRmi6$1DLsM7P$_`1MKWS^p+aCqu*}Q zRZjlHi|?cm013Dc#fF8EWEbR8&FDaM1FwE#rix^=aqr5~;r+Or+Q>i0mC2zYsXKS@ zG%#q;B@uxQ71fPTupAuApWKL_+^_-`w>H{2oIUbv_4>TewuDQMm1e;?RcS(7%@Ls7 z_=%{bm!%w1C!>P!EFV1M!z9;rM5xipa3x*>#G~k-A9+!0BF0miDeSmtTPsve-3^*7 zr9?p-tYQhRP%*8`YbTDxb_Eb#zU{6rtK!$Hs@SIJUI!%;g8FJwzp=crsvt+U0(a-q zr&MgsL;M=r3E{Xf*j1TP(mXR{MzMbI-lHA;bW0t%38l62_7MvVPioQ(c=Zcr5N#AH zEXadQ(hGRlP?qw|=b%N+_dUK{S2&q|uj7ITZxFnmj&01*;uRWac?UGTlLp*gfs5Y` z$|)!~jCHTA=;swW4ue?U20z5w048puE+74$H(oSrKgc^hZl-ny{M3L9 zMNR=}xNqCPTN=2I#rC=x4bG*@&9LZyDD3E_EkYT7*&V=LA`yN)KH`AZ`A-pQT6r}~ zn|~*p@%VB*aZX@>%X#(3)`Kv9nVdEY&p{!UD)~8_0xxUKMz;Nx5D=uWjEqx-o|S) zx4+=jpPI%xd9s9~)#@W3$l{_m$H%3Mx5>uu$NpFh5%-%-Hmn8SSN3?yOmT%=Y=XWo z(!D;ryS2R^r$e#R-&>p>f5mt4fX5GxIpjwYrWKVSb;ifrg>^|R8Bi&`P)j@6R{3xt zImCxK8PkwZL?R@j1Y1UWW+H|+e)Oh4Q?uU-&8BY4;tM$KA(NLPh)|(_H)Zdp4T;l7 z2dhYJ|0zKP&5Q@!A5`L$$FWAL_G&baIc=VuW&dSWWt}mq;$83dr*?mz)C_cYqUC0l zqgL&+yqDg3mHYBXUAjKd&G+sqbf_bIbO`pUMBRvkqkcSNRO#=bz{ zrDX(9Sk02Oi=7DiOix3?nx=dr7Ww5Wbe=avJ)1Y`x%37DrdvEEF{sn^z}yP(6zJRV zXe1L;Q%p=u0LLGh;g;h~TJc))e3=*b$jm=B8q$UY@1A8dBkP zZ$GeES45;3)O8RMR(^2wkbp?aff+idRH=bzOB6XUU60?7xEcC727CPHI$96~od||4 z#syeYZ$&JDxL%QGJh6?WJ(t5*mqq@^B);i;$P)$BaP+z|lOg^{Md16`u4B9{1a%yl z3Sc~-*F3}yU&4bff{^kD1MDilUn}S?Rhi`Dfu(?5b@yT`z_4bjI)>g?QQv|>H4Wmg zUlzWLMV_(g3td4M;Ge~6haF8DjI1u5FgvYMfjTfD{i`{Y2Y*RbE2X6bBjdo7x|Jp? z2iJ_;#byV;X0{3xuynvOH5Hk^U}fW_&lR~05*gilsY+_ME06c*z({cf9&W_qnRw-@ zIv5BR#FAWePR5yB{59HQZNf!2%YR)EUGTUWH9$vr8~KzpIHnrr(M~z(qiFz#ozQ}3 z^Yl0@jx`CtN}vO=`s*Ulhc>(LyIwxw!P}CscU~7wSf3?+cx~>Wk!qrSFalPq zhzvchW84*SSa7qEua>BR^JeCvEifu=*5s3UAR8(p3c0uMdOZ$}WCo*v4hfwyp9@28 zAR0$s0`@`TcEDAN+v>ft!7R9RC>DKN}l-y1Ps1jC42e z%N=-VvXKrA3Jx~~(4%x9%72GSWgGVf2s>yf74jLz#XP6ka@sGQC(h2wqN5Ait}OR9 zBuqbN(O0~!ee?P_5#p({T<2~q#61>8r7F4ZgBCg3+cffdn+gS^j}-75en|z+{5v~K z&((G?>S*UMY%nvWiKdtXY^^k{5VaQwYAC8c&NBQ!=)WGDQfjwRnu@L7`>e)Fp)F1~ z1~~r-be41ZA0Pb_=key4_e!BwreHFR8A)4*_q_!o#>U?IWcQ?Sv&;YG2s!gxe(&

Gb%Y>{sA z<*iuctFZHItedYO?wXB8O?>s!{q6bcd1X6^1g@ys_;O2#xdcrW>_TDpO9Arr_%yh= zgKu?hrQh#twrTgOdVo(5zrvI`2OuGk&#oe6Fe>IP3Th-!Hr0Gkw4JECRm)*X5vd*f-O+}_N2KHWtBKju&Pu6#>|Ax~ z^3VJ;u>J$TzQFti&-W#T3Au};l}~gxY0bcwc&*?Wm6E8jbt%&l#6i(xofJ8MSem8x zzu&*qBXb~V^lwI#TE9odIlvbSx!3SSWse(Juri?7O+KGWn0tWdw!XUUR`~+#=poKl zZbNh1)A8^3+}Yi4bv&1Y7Ym@Z)ykxc8Hnc2##szHA08K_mxS}|+EAxiL?we@@uLco zyt+XT_?8zBJ=g3>eje72*5+U%V3(>^ELKvlv*SW@i+6JQkkOIVfwFN2NDJj@0dHDa zX}(mqZ1Dck%k+G>yT^^*d;wx1qtU>o0_0W_6{wf zr!3BCCbdYT%HRBa5=?a|-AWDJ@uF~@e6M-xBk z#Rc8EOs#&}6doT|j{6)=zu!MUtg)x)_S|;Q9-Te)lY(FV&6S7JqP@wrL!(o+w5ILb z?{04-3NQQIT|EEU&}c-J;{L{5p))3K@|Y|Hz;@wO&?C2FhQ-k5Y&zW_G0RhELE z+%{Q!qT@9+*|9JrIHlreh`8lq!cTFM@FDTdZO9{VV#p=p_t+^)F~#cmrnHoSq^A?8 z4{04(5R?;5a@X&rlSjRT#?r(2o8kCAn_rgQTDlA3+U11d;Us<0H&jdRN6)vb3Yf}k z!TU--uUwJH;b>wim4SsmO;xqIbDt)6C0(=SKXO$BMS1Lcnf&bBIFrguBiwJ?nppN`D7U)d_9^IcJj|p58YaC$~$z zDIcT8f~*MNnjn(eJmueGJsm%mwgP^1xm;`zaUIW6(Nb5;5=m|)MyZ$%e2XTrJkf4$>Ys1z+vu+W7s!jAPSchu;ZD?pUIHP*oq<&kcs1cSogAaZv zvtULHiv~Y)%1-C$8S8yz0x~PortY^eW-0+8tHz&0Pt0vSAxvpBtA00S$Q3es9c998 zR@&ppslu4hX@nJg%cnO>LzoZxW_i{7x~X03*gHRF07tCRBK*ADn`ft$d6zp~=zVjn z3z3_iKY0S8aI|{9nT}NCFudg>9F)xfJu{~+^JgHDXASv8)acnq>qwxN3Sl`G{DqHYE9%aK{%b2;$YqHylr!mZjr+wz@Ccdm;IC?s@fia3pa!_3C_zyoHGAF1Pd4 zL$6Y~A#ND{;e1Q!rd%G+_zA?*bG^{+@qP_o2slq%9S?1;6MB7Yk+0qI+J4pbSOM^N zJNuu^DHqOL9_9M{S%V-f0=Zvob_u`lJDuj)PLBBAJh6_?;`KgH^>5m=wJRTI@1%~b z1$sGU^=Z34X$Rge_0))Tw$9r#1FMF8T+&#zR|8r}eTnecuX_OKU2x+_>wevVt``p0 zmtNnT(-k@L;tt~FJE!>6sM)C`de+ih#ok``=P)LL0-m}vQ)>vtS6Gxj9_0&$x;`H_ zYm2KMOFi!gTo9D&nLa&vy|-@Tiov?QsVs!Be~h6rg1!ZDgq|OXKJ?#*GAQJoHUCQ# z?$lpAB^q43gFB;^@_cKkiZ;?LFBd7OtGv)e|}}?Ts(L#bpR59JKoLZ%JM{_g1R+#NOMtry`X$* zh0jMncyN&R%*dLTSvqx$n%UQ@5Zjr1dZ=p#z%P`8#(uNoD(%^DSgGv4dx!TiY5eim z?ajNX zBlt4jp98+0<-g=rd3`k^@SbFsalw?9k>&5fW&hHMyxgK~+~dAG{C=J~pX_+Q`Mjtt z$`KtoaYiRa&0P#vL=_|gi!KU7F^}Kx#&2wV@;W8Z@P3KcyBvrqR>Z&=+e*y}jcHD1 zX8vP0S5ktUgCz@-La)&TLSHQ4d9O$B-k4X1}%B*Tifmg?n znSD?;xgqkWuepsjyo`&n52<@Hi4d0_A&QT@Z&#+n)`Dk`%fsy2-5Gr8(~Ko)%3En- z!OJ3nO8UX|=*G<9QuW3$^%=u20RMS)ln<)I=CQyp+SA3tK<~4Wc467|6$T+)JvKb! z4v08?@B2Nc1^_=e4WV%=W8Y5|b8MI(SItdqf;&_Y;`q?0gLBijHz&bwBPt?2zPrXD z3W<;5ge_ZBg{%xyH;InA`1E{h-43{%p1!AWa(OW2vB>A^rUT47{|4MwSy>}KKO<>0 zOlX8)2(JK+2#r@O!;W0HN6}Rw9BbXr!`xv4(s=EbHf~EjaC?R9o6B=<2 z>iq3Z!DROi6G(d_4~rdzci^jsZdo&i-ss?P(fu>|4eukDhcLckY4rDI&cw$)Y45Zw zIijWQ!C{N8=ApN+;%bo8ooEgnT)jAOA&JV}um-VgVF>x8_hk|fi%)^h5of5{KAW`R z9FV>B^z1sqDBHxgao!Lp_;T^_&#ECmRr4ZLKHdhqYE}%(7tlzZ1o5l;_bFOU2n}ce z0SR4QLno7dZ$`=TAMD9a8L^#HnPm>NM2)C~c-b$ensn+K9qaDl6*m{FKkvi(EGW;0 zu*2DBU-U#^6q3CvB6vH0DbboI(R?FUTNv^%%F|Vb-(iW+qLUz3fMMnQ<}pM8t6&;B z579I%IwLj%^}Xog?X<-Q;9uJk;Bjf}cXIb#)`4{4 zabQ6e2!NpK*7>r)0$qVlydB;z_qj{_4C*CM7M+0?n?EuOTTm;ty6oizl>m0zK&l6I(^J%tEY~-apqe}mJGm@&T!5g46S-qkkAErZayF6 zMR@PhhEhS;YbLAAcYpYhUk7t){cwlD(01z&>dIA zu_@6|9=pA~y#u(>$k9)1c+Wk2Lf>5sl@!%UQPBYHYx;WhV2yMw>Bv*h-kY(G2Jm|x zY|sK;#>>SP$(GO0{M9tZ!Wo$%h(A$MR;nY6Z*D%VZYMMEL#{8@g`|F#9#7!Hb)B;Z zu3`8@&K24jnwN7%BcE|MRuwVsFG7lZcoH>)f__i0e+3+k zPLg3+e;g}MOc`&kGH7KYim@GhgJk`7C~DB!w&?mmvb_pA30=I*|9p(Se5hM`-|omC zP8kwGw7M1*ZXzfWi=e=hj%HKw7>&d~(91_*OSwM3-gew+ZxL=idD&MVe%{F6*b3OK zw+wfLQRX0UML@7cSU3l;zL4Rfn=!DP_!^xT@O=P}f!n}`zyK?d@bXXmT*GMPDCH;H z?6PnWYLHDUz>WN8^TAZ<$i~QA{|bk({|m_KJMozy-Qto^PBG)NoA-# zsTN647>)Hu`jSz%m|}8y;VD<|t6LSoMF{{Cmx!PUfGNmEP%yzU)A?7DH76|CfoB~~ ztbWV@5&HO(VyBu#nOr2uD;Z9wN-jMJzeJ3mFG_6nq@ph$I3nt#;@Ysma6$$cNmb2D zOlhTysi%v5CUaky$g|A!2>0j8m8rW4L%nbNmf!J*{`%}J)Y3X1GN_^ZerM$Ibp?Ve zUlUv}?;63pl3m3{;noSRKvV@W9Y*N8)eZVvod-PQ1lu?VFotT#(yOLd<+OFx`4?I2 zNQKM7sFJ~`LLG_SF_a7_4F7R6dR75Huf~Q3;6X^2re9b zyn8krLFm@zAZBk^DP9l>f6W z{P*JUy8-JJyxwnCaLLG%An|KraP+ai6h8HeSDxLv4wlGF>1m)mw$|R>w%|_x2Sp6q zHxz{4YZM0M0s!HGN9D$3tW=4qkgwhD_M1TJsGlG2wfoA6yRpx92RxeNG;QS8%VK-L zJIR7&xf)cyDD4Y)>*KtuopiIq*MD~+#uW~7bIHldzvFKBgk?R@q$KXJn7%Gpt}?av z_7ybwLy&j<<8#deA5PyxzV5>d_qx1~?U!3Z)K==X7XXC4Uh&0F?DOZwhjLjAbh{S{ z{U67-w?7F1Mut?6g~G1Kf$t}1LcVUE)k^}aAKg6oe!F)Z$`QWOk?@9k7pC;Mkc-G6 zXinUga_A*oiiYO~e1Y4?a-MJopLU(G(R<{U7dXy&;d^WY5(aM<|GzslPc*a7$a12m zaF_cBssAAlL=^=l0X~!n6r~(hR2*Uz>=>@VXzA*@@8z85)!D`CIp7#S{|O}nnLRmF zhej*GE@zqG_PWax^}VgDV|BbTbu@G&b$b~I=+?@lwC`Z0krLJ@I8PkzeRbllMJQtTy z6;QU9bHr-KkYacjow8ZPdeZQo;{%1AU#J~yk{?S|lITRkZHwvV5Y(bL)8tk^{yj@4 zl5CK^D4Vc;qrkNdH_c zX}@gX842j<8|&*CNzFu(1O!;Sy_oW|9j32JiKuX;+h{0;aC>h;W-P}+2P_}wf1F?R zeivS4d4}_Yh%$u&Ht*q0lu{r`LMJtc%f>@FX`Q&_6lD_lHuhQ@jt$RS zBK8qtnc0lleJ=#*F(*UFp!D+u*s{`D7t_}&#a7N?P#?{jc=OwOw2>y%lCKGAn9nYm z=qYl9v8+Bh`ri$4o06*jT}nA6+WH;{?)3EZJW;4k*C%3x{3Dus%xb%^l07(i_6)z} z_*NR|Ro!0Fd!K)i8<#ot#?PDJLE?Yg^fghLT34I;E83ToRSQsg8GwNswml=*5bL1t z@yS>p?8HVrS7wX&oyrv=%;A9|S+1^*OO%Mhixg6Vyb(E=a9eA`V)|IKJMQFcJu*pX<6f2e@U(%7!4%g!95dVGs>Mh@vE+V^YXt zLwIXRyh>hUYouXMOu&o-G$17wiuSm~!tkuoWi(2f+0}&|g;zG89X}3f8EZElg!~-U z;KHWDU0Ez77W|BcQq;%vLo3)P>Nsn2p__{=_?*2tz?3&f&qpAS-ocdfL{uT)$G!3! z>%@v(fkYv&+xA$#zURevFB607`&t$+n})S7`+L^Cykjj3|Lm!?xcb-VutPBQR4`$v zt)sD#iM%)wL{OZ9Ocfu0PO-=4AZdWm#U`ms5s9H|ReAM(+u()Peg877hCz@(BX7^x z`y$ZG@h~Ubu{5e(+K13bfR2OTpDtT460;3-AMSfOmLFsnzAM{}T|l0#e7`1xffB0| zufv)%b5TJGW`^uDA_#mQ{kO>t$NXcEcE%B4@p0t&%>!klQ0e{kJ@nkA4It2B$}Tmc zl5eK0s6UDzBN{}B9)^;IK!Q$DNyufTYh^gg*`FzTd%@I~|NpB*zVQk)8RKk&%3eOPZ5%HoyM)_es~QuK}|gEsKgA)i|(Hb zjf`Xg{^*0NOvkII{?Xw1(X!ObMxR(Qsb#t*x6(f|Go0NrXs71J|DS2#rGc0v3dypg zezKUspXw}KLh|(-G}oG(wEg~^RbqKcHFciIws2l??Q%E14Lt&lFYUpC> zAh`E^<^{fMV*o_g$hAHnMab8rxuG)%^w>mLBLDasD*gF@w9Ud2-3HVwPD-j}GLU2X z&7V9}4EOP2MX1|jjwk%W)cyaf*UX-U!1kF(!%;j$r41 z89~vn9n#&d+J#>o#pctHf!!OqIw(5wz9UaFSMYYEEei&CVEX9Miy z6Y(sQ$s6=8#H*Sojq!MAK$tDFV@V>hQN+mRxf1&A=cfF|?ArZ=7+{^`bgGh~q;etl z#{}U@dXmvqkL}DM_Ulppp<{EFO`+%PpZx^y9v}a^RPkxrI#a4>!T+V#)!V*x;>j~*kPnD2a$)^Gk+R=ED+dyg z7Z>j%zigQnUMK}`Jx#Uye7kUDx>(1_tx$Jdv_+*O8l#_zVl1reM)5z26n>oO?eX^J z(&v9*uX%$f4Se-d|AeiPcjfGzB%@O^c!#v>QJx+e#CsrGH}n}ww$$>Mxs~g5L22yU zq$;MgEd}9PgjrQMBT1y#P-CdEQKw17VWyUQwBKFM%F~0B^U=--yOR5}oZ!F+ zzp1W<(&P-V`P4`1CD?p#x@hdv-SND8Va;NYQ)fgnf9LjczIZ=%IlRFedw5fFIEo%8 zFYqPPNJ>go;zD||U&+8oI9Io(Mu1>pWffxP*;(@2wC$ug`bR56RR0L)kYts zDkW(b{e&r7a1;32s04pRM+|E5Zt}q;OPj2NLgN_EFIy1e50fZ#5~`&9X=N8KJfi%xAC%Vs=YVhA2$s5<@F-_Zt<0$Y~_wW)Tp{ zDD0xtN5q3DQ%A|rmPH7hMh`jb7F3DX+^Hp+A zOF@o=StirL_y)C<_TH-n_%&q@Pafl^F~&l_$IY#tmz(@I`N7YIS3gJY2BBW}Pifcf zk4Zy4mz1@;&iOfUfw?XsgRWN9^AidTo@V}}B+;O$kYElRI)QWwPJSPsXuhm{bP=JQ zNOX!iD1oE27_~G>Ga`Tws|6c7Nu&#(wbZV6mkbLaujlEX6a+RDZW1h( z$w*(^hQt8o(2`NF&)dPEx2~?eQ(Z+$FXJG!TJ#7(@h_Meorpib=p>@KvLWfk>f93$ zL}X59ZWrzuVtJ|#IlZ5N^7(Dh8V2{iTTk(>eUs9k0M(^LvDx<7Ec9><*|6dwIwZQ( zTB6_qUC<7-=R|k|hB!K>oSCU|tMUJH7ygF5^7pgZ7r_M7r1Bl$X+$qO+nGA&6@LEO ztLk(2_brjz?98|}{IBh>)e4J0uqG-sBq92rWE#PA+LH=fr^!LlfVsR zJeC7lD6(I_e?dG|MGigFmo__Yzj~=dHCSSk~AyK7(3_(QKprHa*ABQ~abu1n>7C z`@;2A!`@~8r}>DYsU)M%d>oqN*;12i+K=6+Z5@eWIWx>T1co!U9+V=)PpVbH$0}n( z`wt{C@|ZeoS5D@iR(vcycZ;BW+E0L>H7TxaM;eh0Xjz;oIa}1QN|hkk8cr4Tg&9`^ zlkNUtgsno?_s9t!Iqh)TO}fP=@S<_+#AoKH&cNu0bacx$Fbk~=Y*pU8k1MIa(ngQ8 zrBJ6%`AKlg#~fM4&!plT-VJ3svZ?@z5q|kC5|)OLtQu_t4H@=ZIG>$frk}W!uB0W}>wQOmx(YZ19T@qcw@1WcN1>_NHx^|Pj|f9< znjmJDq$UGQ8T)x4J4kTDUR|Z+(@c~bn!>SmoW(2q204IjWuh>Qog`r@ora`QViAoA zE6v^jrs;5%jhc~%D6O_fP+Oyvs4amcG+il|)LWp|iT*9!o5dajAvy%(kzz$9`h_T{ zXdohL-h%iuP`*h0MPg8WKY|#q29eAH>d&tv)@6bP6MDTchBWdZ<|G6Z4wTOksydj* z%IxCQ7bJlx_nT_iipolBdevgp+nTT&4>&$c_*r04=R9{re5q*2lzmg&!ZWb(3!gZR zeDcgLa6lXmA3worZAtiJYH5?ffDzFf&{i{R19%vP);r7f;y2@rS#iLeGOw6Jt3-C&CKwC>_O`(=;lG#tNH11BR+k~tNlVv&^>{k z{OV1=-AXZOL32bF6qx2Q=dgzvRg=aUTBWYYoxg=3Ghc&WcssY23!nJ0cLSo3ZRU!f z)R+Yvy%N8a4lh=uH{{hT+ShQ*>1V?z3djRDtB3XNQ(JLp-6vuOZpWrC(2RY~hdA(9 zzVm%2HczVS@px<6_*Pg}@s%}UYJ#&}{U^It5_Y%iP5%g~;QPJy=I{UF>a3#LfZ8<; z6fX{i6k4oUaS84ooB+Yy-HN*xch{Dnf#6cS6f0gVIFwSnxcl(W%$jq~+~qzid+&VT z`#uk{0l3VD;gh^i|0DKyj}rSlIwotIVL8?zsx{w-;mkIlE4G`{tBKz2jf~Jg#1Gms&FITvjaqdx4q{s8F>HV+8HNnk=Vm^0ZZ6?yfn*Dk% z;L>Cr^B(``!6Z2NPm~_@&;&e~nNn2s zo;HM4A}+fYbJh~Kkx8$3)`y#>ABc{}oUd+AjTl^XeExGgac$hj`rYikj>e2W)k9qH zBhT^jUv3*HE>H22gWkZ;nhZJ?REcuqve>Og9ge>Tt9jn7sZAeXJ&*X^i7~-X|88d- zULgrX``(_d->!M1Mj6Ha$d~`LbH1JC&94&1Bqtl417gFHtSVFNn`$-IRk)Y^^UwA8 zgpA5bJG}OrtuG~0<*V^GZ5l-JHVsS3849Th@+b&X>EuBJtLSTT(GOfPnivpTD<6;f|Fp9on3!&yGPwK?{Xa zb8!vE)c(xzO2N~GG{tit0M>Fm*Op)Cu#5AZ*dh@nD$*%6YTV}N!tUj%N*_ya2(LBHzR$f?6PG+55{;#Xz z|Lhg;-pWyj@n(jgd7??Y@ii96s<5w`5ewYb;#1}@{&q4U>{709x5XIEjKe)>no@;I zkOEVTUsWwPLG(@i0`seB_Pv9m5Dbi*O;4SiK0O&%>At-{CxSK(2N*t`b>Y{DdAIz; zcw63&Z3+yl^<(F$?QW>A*IHRFa#;^PNg!i~ex1{OKKl{ZgIGAnR^Zfg&@3wiXmBZL~^Us^t!0&6W zBD>xRW`Gi;UhNZjKW^r#M?>)TSZp*3v1xclSP}#B?@(+lB5R5GpR94I=yRy7B(ctG zCi;15b)L^p6fOa+S!K_CRI5k$C#xp@{sJ62=x{l!d&%qjV;6owj%L4QeyW~_kx?k9 z^=Q?F(LUh~=TFu@n^{>|#k2RH4NM;Q<1jjcT>Q5U+jrId+gH?vG_eIqokb5aO(K2~ zrv!nquBF70>T zubZ+{X2pn#6p5&h3T#|4vFydpAT9G~@?visbizsy5-p)d)^`C1jZ%HGWqC5HA|Q6f z3*<=d4GujMTdHGp!23uhGly&_IygGEqMr$jtbxj*;Q$PYQ5^e-6COjNu1yP}p#@hA zvT`We$))XmG4K#77`8;*a293xP86u}M{pKR%0tbv%{L#2npF?qz3U%T%LBJ=O>2W4 zY}1Ss<|jID4kf2tP`MK*2K0fvN^|5MAHG?S*?(j)XUe~H6Ijb?1Is^$l1*od<5VGay$ZQQ3fTt8>(kxy1 zjKp8j;o4cEd^ZO{Wn%!+jxR^y?fK(82b)n(htY8cV%Jq85^5LkcfAp`X_0$PDY_^s zB-EsEKX_Q6I+Y6vg(TQwcF!D)Ijs9!`}iC3wn7zl68aSuom&}sKHAKvrU)I_yTuU+ z@eZwwomC5ok^9EBlp%O>CAUkkD7(Dziq{8 zqziX}QEi!NQvsFBfm>}ZUH5B;RXRErC;ZML4UW>NX;T)y6=)P1G$_&8MQ9dSz?9@< z<_KhKRjp!z^my=V+RP+I_jI)9iPXrxWFvl~XOwwv_qe}!p794m+<%fp z?9QW2cU1X6YMGMv661JPJ9VmB$HSmk$Io!I9Uw>;CR7-BUU1o3Ya~MXd!p9*@Tw^2 zMxeElI$X$NR-)LiSWbq9+>(3E_ZJg|hRFmQnzUG>Wr1hni`-T1vk6nYd-31>_S=7V zhg+(M;L8Jc&-2r@qQ}Mc&b!OjyK>bT*V-g)oPdKXsFyIuStsmZAXAL8GVw7Up+y0%wMr&E0pR!c zSf8+VRBH>Db!Tk%^))Ssa8u|cP>DcrF1=ju3lRf&st7J+_WSYT2ey$TuMVtviiv;Wj))#^|KiPnd5BaySa=Ib1&=sG|sn@hb z5R0)r%>%2|ijhuMIM<*qgCbk0LPP6|-TpW*ucX>xeZ)Ygw)13fXWl3?tFf+!ILb?H z(|D$dN<^ky0Aj)TOJp+3TV7tgy*{{Rx!cQmb9~fcUR*!uIg9GPuRgR{w#u?_vXd(Y zl%GCh7oF17Bl7M3rZ@6(9;ZCbZ=0ywYj34G|NRlw1PfIktAZaA?q>{s=b)g|Kfc?1 z>g49Us9)ISCoCt$PBjXR#2V}GE9?Y*aEor~VCTkGG!JEWgh< zHtG3vKLs6qkw>)oZ9N^zS50(ZOrwR~=HZKXeR`gaiS6*~)X?)MLgq%7vryF(|adWd3IB4kMkuPM`qC z6T88Bbth;W*~rq%}ub%-9o5-pCe1pw6kL24R9T@@nrfrL(O?DSto zOnfs$kQdS1(dEnQur-E_GHA_mEVN2n>Y$^-P10^} zqsc?=xlSMhps1rfJY8$QlKB4l+WPbI);lHun@X8g0f?R`f;v>eOploNB$U}j8jExT z3Gjhy4v>OrlR`vaBXvlm)1)oE2^F%QF)d4ERo3K(ak;qtk!vcgOuKvL0L z99|iMoo@N~xITH@-9>H{>|NXy_;zHZv6xp!;yQj!k#=mq{XVwo$Y-=)i^F&>r)fhS zqT|!7sF3{2#HaumWSpj=B3P3ORMVUXs+?%@y^@lW`9U!1SdCoui}s~{_-aZEY6-9tB{dIydH!EX4(sN9V;G|w zbY5eiiIGjcf|c1bqd;N!Jl4mr!$y;{a};^(ud`)9OfFX8TR0&+Q~ezk+*)cH%aEBG zx>>Ut5^@tkd1g1`B&H;h+IxQDC%w2Vj|WpZfx>?rSsPA z1Wb#HrwA^J%`i)h9Ho|!jvfk+3bQqfvDAJ|Qwt;5sK5**nx?z?m}3k7z!&?DVV^|WB(e>WeLn$U(e3_uMjtu)|{u1cc$p^BI_@4d0WEK zz4(pQtzw&}`s3W^9vN}*t_LsciO12N)qux8mNqX*<0PAWOW*Z!JsNO!1e|aRjSG@_ zxyTa!a+2rwJy#V4_AvJaKd!C|UO&iP4r3r9RW&Nq|9}GDhcjNvv@kh}iap%r*aiGXPZu)wsY~QMtb{^yNokjG$7Puh8W&>YAZ}J zTWSEGua-g+7oq~NEwczB$b0dbUrfS{+C6T4Xq>>)LrG%UqKlK$ zQpk|1{U>P-n*aG~e+~{sk}$8Xf+-uu?}fd7MG(dq#^t=>Nli;gQw%`i;W@A0xB1!& zE-lx6$mU`g1PyvjTXN^A3~kQML9A(u+fT2L!~uBt^N4PDFVDTfLyCzKs;=k7DOW>} zvx3LvH1HI&YNaUMOw}e)`NWKX(%bKwj~!}-E6tsFU+^GH$NyAcNd8Ad8UxjH`|M=jBR`AhLvSs+r`txtf(MgQMUT6VhAM~r0b8akT-+nE9yseQ89}l0u$T2+j zTKt>cx}lzlQPJo2I@0e4wI;+4g@i$Nsb1)}+uR4G^U(IY6o6#TPef&vEfUcfI(P3IhM{XuzDxm%0)N>rM z_;;}2vw5RxRlyB-HCl%bG284NlQUip<5GylU+Z!Bs4^`DK}MbmGZxeiVgpofRuph# z@l4ac+8)i{=P6XAQB*{w)zH{sU8rVlW;YZ$zb(H;3IX(-bvz@-vsI=tQ72b}Was2L zB&wV^Ufju>_{X|`>#_SS1<-^NPP&x)7Sf^P{>&DVk<=>t&GIg- z6MM3st{9OED8gqY`3m5<|!>>}yqcF214*GeF6|Dyp0FMVV`Ha>)Se zU`&#jQsni&ekSWPr4hv={{pTtOw_;b2Di*CicFsWusXker|hYj6!p2lxuY_VpyX=H z!$C|}&$*UtS?$Wa;!57{V7MZW!Xz=gD3)5O~sY*-ho&&&J?%~Oj6x12s_(YmIw=33d86G|E^`NgdBO zcmVlpbNDRsTwebC`3hrRwxp-whh^u2uMx`vA5y8kqYNn!7xR3& zP`v1KskQ!^kv?}B>3XyD=(|7UM8p_&)#0e%n-T4t?c4rI6QoZ zqgr4e-8A|a!abSv6#z*|VGa>hcX#G4a(+Mm(~|m47?WXPoIOE~uNv(u3sSnBFxVA1 z4|5bJ{FV48J~wt;N^(>gMG~z59NvP%My#}UARoQIkoWmQQ>HK?8}2thLcWB zM9(zat}xSNcTx8q?TTjv)(lQfg6Ol3=eV zpXHi8Cy#-FYS`{-eX44|+k0ia+>c}Rfjg~5=~JGomhn4J8T;trrSFnZQoFBHB|pu^ zSvZP(1Awn|5#MH!um=D^=lv$LpH?>MC4@Uf5oc=g6 z?30R9g_kMN7J_oJb2OxVjpUFpE+06$pDn0WvGb0#{F33`iI-vA{%u- zPoHS7X6Cr(tV~^a)X~a39n@)yC*~&d->Lv-2dK|@cxGnO4!LDD4s+jrzlrWuz9@aT zx3GS#%V{DL+)8$~_#v*zU*xjkW3m80-~w(WQ!XvhU5Wf~Yw|Ex#bILgGhDbR_-u*| z%%DVJBcx;8<8|!}bnfFx%UNo|$+-PDBcIh1xK9;$?Xd25(ER$4G~`vYLA`@PxM~&m z*HCl_oH@G8QCaVxgKC9}#5s7Kv*_;jzSRQfg~UNK)i8X{>JxYz-XR`*EJnesFI)cU zXbsxOc)xB!SzT)Pbm-EPM)xh?Y8vw!7v&`yOV`nm0Y=f9uZ%*b(2KI%kpm3gokUNUQw9z_+Jk{pL~9HxB6v; z3!mj2g5?qvyD77h_pU68=QG*~J8Y?W6&beq@uI2WC21M>cD(+*pFvii{jLFwulq_0 z{Ef~{<}Xwr3*EijS)kw81tRFQKUGz0)E%^`pa)@B$%QExI{&8DwOk@DmP(*GWyW^E zN!)RKh>yJcqkDHIc^WCDjv3dtcXQ8m=iEBO+z=lA0a6-SCAX_nbh6&)qbAeuC^2R! zLAW0%jdT3CDSs~!2eiSKk&>y;sZ2ESyZ!NL6h2=hoVIh?n0F1Rkbd8kGr0qbqg67L zAwWyd_UsixqCr(smCYL@#_WfYTUc5H>dbcXKefQ@Tiy-ut1Q~R9QR(!kuhMfC%W&< z&2npN5?&M-ZjZC1>;B8eT@X!@mm3UjnnrFmuq$lEL6blr5Z6g%1_u5r@89nXHpJ%2 zCWK(K5q0xU7pJPE_nI~wbtQaoQ`T<@Rz-2NN9HD05p)4itd&B8r= z?0Ig}$$XlqYJGZjy@f~%x5a7eWTp!>+ai#*FSrt(uj+eGW2nzjMtl&@rH$60jtGm1 z{_gGw7pFwVq1svJ`I;&fzof;GCit0TS~1@(XBL+l?p04oUT*cFFk{$?jY0p|X{>7h zB@dENyr8ZQp-P0^Zghs1&JZ*H8uaaxLshq;@2f*442x|rJBCDR81zr)3wrM_*LL5; z-K!mmz4Hq5JzR;xPZB3zeZFc|O8R{9caZe0W3^>%x8D=vv2!d?ruwYUht*6c1Z&V8GG?@y<5ny&hOupz@Z zU$)=3ryM~Fgk3-jE-pC>vN39{m_<3{Xn4J+sp36H(AJ-{N5aJbk!{Xu*(nE40rD84 z+(97++#x`sgX``dQmxwtD~;*eHv`YLT~)5ppk z#K9QFw?1k@)k9(=UeinVzPHHW7 z+;i(YXp)PG@c}6Hkm|_k{Xb0{I4iE_p+hb8!C8N5M7_*JgBV4O5ZHZ=)%V-w-$#70 zMGCy&o6)48d-%NZH+SR^9k|W8*S(KWySx2ra1a%jN5Q!@YGg2upR`f0z$(Yh zS%lIck4QHHSC_0%9+QX5EgpxUk@&Zg?~k71XCb_!yRc5bOfvKYFxm(zt1jg`NI`qFE>6R_KfT|?C63eXPf_Nqu_h*KTJ?VUCblQi;P8U6 z(?}(q38QZ(i;oy;N8-Ohgw@!zjW;LMpa}B9grNde8!OM!%7a@+a%pO7CTob%A*Aw? zUM7e8PoN?s1J$Y7Ad=pqL31aIF>PB|T9u(~MrA zTF3y>>~MRERcX~S$B~L#aQXFT4)URw6G)p%6qUpvHv-Iu^tv zqHjZ&ralJu&Eb_s?mxBE;M4N^^2`$00jokWKG^Kc@!3_Zbi8_9_pe>5P%)qrU5zdW z;^6-!(H=_vMH1>wCufm88HOY~lnW|h<^!TB4Q1yeNmj$ju~@+$j}kxb(Ki=;(5ejO zsligwZ-T;=;Vtm&-flQnl9djkPhbur3V*-Y}+ zpJ{uYYpaU~9_kL1zIMv@ui6#mX6VHxd1(+M0?Mg=AB z4pe2(d8ZT?@ZYiyM%4dn%E|XCSeu67po_#MQ7Idon{|vgN}q4sJRKeuXezO=6g;gq ztgO1UC#YziZUMPK1&!>XTvqSPE=62QqhfI5zn0mVR)=)Cn^#(eb9ss6Oj=t7`{pc0 z0iZH(ymIyaM85QovbDw$PlZCNN6vcE1ke;HYF>()NN$8~ORQz(9J@?dv>03G7g^1b z`iXS)*Gv8C+HkR4rI zCdx5_PsD@k9)fS3*cWU>P+OF49mU0N@{*p^p10=tRrB^SHi6@Bk+9weQ&-yeEKLSq z8%*xRW5(kpWeUqy0RnD*Q*Xq-=+?t^6A*}wnZ>^&TRLC1rxH3|m~oK~Rlt5Pjikqi z->p4Q6C0N9#|TlAI5VYaYVEL}r&n>{E|4bwXu*wI_x=9rDpdSAPk2ppRIep=v8F;( zm1I8;o8XV^=qBxX+*f3j$dCxoNWS z&i#i~A$9U7Uaa#L+6gkM@_szB_OA0V>%!knD|l>Y=O_~A5fdQ!>m7B%i4|&LQP271 zZHuo_Tvm4D{ol0vQI;;RavsVAtSD1@>@hcWQBVwtWj1N7ID!M!c(N(^-6M%1*^U;!a`DebSpaQO$qYf zJO<7Vf-PIauj^=anh5z%kQqgdnGpj$b2T>4*5d&rMsTFE1YIT$&?QR~ z;x<^q*RQT!iXZbS3Wo!bL`}#!xKKX*Es{`KD`}BEU`pzQRa6C;Fa%PKN@Fb$nj(t? zNW=WexuBngu5QGyXFnriMWp6tteOTh)Hg0jD35L|tBjKGE8T*WdI;p(=JN+l90qxJ zi7zd?K+a;b3<#Rj@4I=ld_=7-M|DU6zPnQS+ldm zB9&U_s^;b5BI4X%@RL{b>mpr2cXvMBxjVJSGgVn)6Gg)wjk2{}6nvJVu7Nwuo!e78t0XtN|*Ay7cN%B-rY3q+mNSNPE0^6_CCXX!j zdT`1L912Ol+EK5JYLrsfM=-lpR)phyr~XkK{6}}IN->sBpGqaf$2_g$arobtE0>-# z^LRg}!=;?~Yx&T!bGge5&C%uBd?RB$gU^q>n}oe@9e}Z1)`SQQTTC-f(8QInL0{MS z!GVP-- zlcW<>Ybty3Z~l84xcoO)E9Sj7O+M7#YS?)`?a<1>(0cSQ&~K;AuIQj;$!?$Y!`J3a zkZgu2CN(!>>!<%3B>yKV7z|Lm?D=s{siqFJN2REeLCWVv(23t|B+;iIus9qzP|%9N z2ReJ`>*I}sku2wNZ9uIgh`vd z2cYW2e+umo?#1}dsI0KGzN&-V+;DT>bD7r|-uI$j@|Rqx4Lr#Be7|*6mlL^5N=!jt zN1u)KfqZ6G&_SqB(MH6eyiV+!mI`^OG$}*uvvwyY+u4*OU6nmZ0T_$Q1e%k;Bp>FR zpblRGuppOLHV%hKK$M}w@N>GxU&@BGav!9c2J2NQ>g-?Y-0oA=c#4PY=OTb{WhF@P zNn=OP7#ttc0a7c_zy0eHB=-J2d51_d1?ZmDxa@PH3Q$j-I3nJSo!3*;uDRhhK$|zg zO_fC5x=$ICCJHc}e$e1H@sTuTfDhb(W%&5BG`5@444wt$e*V`a+qRA-MMj#>1Cqr9+OtJn@6uE>R zPLmnM0yhv$avXeeYw44{6E5GUo(nN(lIC4%?-5-K>TK;<C%L^}K_}ZuTbM_K(mB!h90Z!>jTgqe)%TVRPG>8N8ksj&X;HqXXljjr^ zo^TQ(B;=34Xmq}Q#3GP<=Q}I2XGJ9}RJZ9IIJylX=?%j*s6%GPek1V(h5N4Rp-F=5 z0wAd?`V%|ir`d)y5lV^-gqON+5H<+-@Wqiy#Yqo>Auk`kmdmA=N%V0J66ga|jB5s} z&FmF~aON54G<6(mYw)c^Fl9tF9qyaYsXAEi%nHTl)f0mWx>=TRzK~yg zk!3hRlon&F5!GaP_Lv~)L&!&}CJa6$<>G%YwYTObCMRZb z6xkdqZv0JyGl79#9If@H9%A1^IDEU+$1I9qzAPT~OXH%wjbHr@|;;f-FnyO@-Zb3tX#EA8u4N&dQ}6 zz%v$qNCyWxwKW;c2`S|t=sRTEX9<0k>d0J}ljmI2aPh=`_%qd46+SmtnlV?p1qe$$ zjI4|$smikJ?r539I@>jS@tz~>D8>oo_RG6cK;vvCYa*jpmA2$Q)<7#=8ecyq@y$;~ zHN_%TF+PexvYC$Cuhl3HJ%|#I#5>Qow_4k|f{qWB4(uAlDGGvvPJW+4O;!RQme-%| z&N(igt{R2RBorbdUN5kxhfjne{ryFP`NOUEhUp`zdgrE;*Y9~h3SKh z>TZ0kTd%!>-FJ=*bl9JE8x0!mZZ27}uJFoLng&}w&pfWTyIbYVKkYM$>zjyQFESpl zx7}8_7C;%7&+Vrt43MgOH71c4R=V9hnoQ94T}M>j(7|Xc^sTx51jzY%CwDFf9CVQW zW%1-u$bMzOPwb47;yX{FQM}NadWd&nv>@A83L_#G&J)fex8Tk74J7z8OE20NGZ;ZV zCZ++!RLmjcDNO9*;F(VZTl>q87OW&szyw3SQ(uubSJ?~%I4r(@TGJ^q=)ObY6#Vwo zhvDtb(Rt;dW8$~BujIn<{1-~~_^-QI0ps#dn1pprh`rnhHZ-^J?`qM1Rek<5CFYyE z9H4Et7r=Y?(X)w2c@huK*{r^>@WLd2_13x6&?B}Fym;(YvmSW1Cf`~dF*4a;Sgoh2 zU(*1S%sHvcV)(5u6|JH36|an;k3BVwX7-h=L)&wgl@2o7&jZt}D3c(cA|^=5zQYW# zGG;JWJF~FO)yub%CufYkQ0%cdEBL%|Ij`d!@c087%2fR`CtW~}ie8I3x*pfz`;;6* zz04H9qrx0pr{BfcdMmXJW(hU2Bv!-kNG2a!Qrd1 zW9wN!=nq)OnIAXqF%*o26uC>#dBNvbFBNdn;Jc&4NwJ>un=6EfTMqZ@lxf|JK%Q@ne32!&DSZnd$bqJN^Y(Vs)FtY_zEI>@KFGhcaq?zvPv%C;mka)E=C$%oR0m#`o~wB! zX&e~)9PK}CxIU|UdtZ(2jV(}W7Gu+Lk(h>|+HLdDI$baM_dy@0ruOeXW@$P$#n5=N z?`Vq_VC0qwMzelFIU^wxwk6??lmi%#IMw%JN zHn9b^B3v2vteF5JgG z+_ZZ=`{HxZdHwOlpmSnM7~R0B7mMDmPD}Nvb5g)a+o~d!Npy1{dsZDN>90WPBS!9# znZ*%^R>A0YbLxNJ<#jwV^1IRfOg{MP@i^$x3hDY~K)9QGsw9`%P)T<^7DsFG$)mP??1Prmgkw^hlD46hld+RXy1585n}Vz_00x{@#9_B(H_|gnMUVIeq*PV zZ`IID8J?|@o|9t}_H!RcEjrVU$UpdhR{EI>+pe^LFu8%}taI+}{%k_FrGKQVNlcbE zKO%Xkf_{ks<{S-btOl}HwO>&7cRmcuef!Px#_uO>4D#|4_-WrlO+U!eGDroQ&yxQE z_mdlj=t?TRDZ~5u$Z2aa?Dc!PVsjRV1r|2RRU3Kw(zUY3=Iw`sca3NBS(<{_l?J;` zFWa68yB-y*jy$E#mNX10B_TPSK=M^3`&m^XGm%HiV3Vzk;ZL_OouFQPFt5f3IoV-9 z>(aIcGTj4o2?I$}GrZ(Bdcrb-ubgqMsI;N7mQj(ZVPF45YoUd*(o5K}5^HUsBC^f7 zi5HFvW!tXjLWKn_fNe9_mPwQQ`65wYs{i zs_i@OL4ew|&0GBtb*A9n094w{v z8eqj?8xl{?h&sSZjhZk4fK0yjHUSRO(J=R;5D`j-aAVN;P$?WLmTOY0!pT1*+>8hs ztpSpGb&P#3hl4cNy7s0Gb6&ZMOrc8(y21B+UV5|QtwBf4FC-?{qv_|(o?HGcEn${J zh31)Iz$Rc9(L{dVUPjw);w%t)b4{GKb!Wq8iJA>NG?Na*-HvM zJ;P=cp{t0c!qj}qps*-_9?)mL#CJ)w-%#^qWqihgjK95Lfyd+E=<3DEXonkdt7G!5 zuCt+~{9($P(1Fx-U61EQ;?(pG2H-EQ zit(W8n)DvJ!JN`Ir@$L$PGXfkxj zPdTcUk!hc9JNV8iR{dHNT*-^adwI<0pf0Ygi3H`_NXF*F47kyA2e_sYPsTXwD68-%vl>9VyKilO+xa(K5iuqFFUzg zLCUIPK2XzSa(|+o!u{s&)CEhQy{~K{r87HzZ0nlu;T;r?A9QQCCivb$C5qS~+|RUI zfS3d+H`112gHsh5dKDqMrkN>W3JIc&Qd`5RV>%CrK%A3WjnCMWB`s11i;T0Fzt zl`76xPZt|L(cB`@#uTed=&F8&3)7w747ctldV@jVj)LyIjeq6+epMEp z*eOGNYDBA-@3X7PVdPqaVzw{^@2SP#`}2!FisPr>Mn|<$Qqfb= z3X;k)Co~JQ#_jHvPL2uLQZk#CfOs}3rmr$nfH$O$M!BOJ)GkYz*;yiAR1QJjr zm6*zO={^kzc6w~Q4)*dmzGGE3_bG_oViZ2!<`)Y{{Ct>j>HQK-h7RS$l75LwZr@T& z%vOR}TlF=X>{$r@SJwJ}&$d}s(%A!WpAYiTWJov>C?{ObVnG+H$DL`qjlrDUd~b2i zysCaq)A3!7k00{r)V@zR*_^ToS^~}xmWLiHlhC0>r#5jiv;}U01;uptnG@1rxV`U2 zyAwt2NeQS=q(-19XvD-|I!H!&jNXOTCAA^}B~vKDhatdbiDg!a?~>@tc*TpVm0JhH z1YA z=Nl1zQOz}vcb}9X-%a7`;e|?{>k<7zbLJvTMn)6!Sy>G(-7{@HtE2yJ6Hr}$s+|rz z)bcUb>t=j2K_|2WFmNHDm?+$Om{?}2alks;>;zgOMOh?Y4O;p)(G?Z!CCUxIKPh}| z&*rz7B5SSCPtLJrgQ??6aix9_cpf_L)%m#0C=AuEGG(CGrG_D4Z^_<5$*$8(f)J16 zPu2NrZLN*%_50HSHceLfgly!rC^&AG3GoVVshr*m*eGMFw|RT_z&i1{c=-7>?!QDa0rwM6t6dH!m0|*T+I9OdZ(J{u1PQ!7Q*t=uS-yTM zDO6*97@V$*%QEgp2afNCPrASg=Kp*s)mw^^!%fKm;d}|1C-^c&G*geBMj8xHANd5S z`tI844HW7OIPy(gVsU@rGWr~}Dd}hn1nX*-pE-(MKTkd%1wX7m>pZifcpo2=AEeT~ zHjW{Sk7tVSj{?}4CtbaOl`0$k1gH?7Ra$wOguDb+-{U5=KbE%ajg4>v3vmsL<#=wo z!?d)%y;9e6?m7FBGe7A;x+7fU5%p=0%qRq}#(kBw;E3bZ0$}`k7k~Y6+@-6n@l#(l z`{U-i&(6li#pKhSC}2kMVtM^xU;pK&_b_4@et#dnbxDm}qM~V_)aC3VA{Nl(d2x3@ zR+I1aBjBQW$HdQv@3v3;sf}ZYu2J(~z@XO1pFL6h_C~XHrR&=)2l7Pn)pP>SB*&|* zf7C7`!(n}DCABLIlzm;W*_bX7hBB2r z`xRE~wrF|}zReQ9*?qq0xgQ)*;;>#-Skq&dtnCcgz7M{e!YB&5XwEWu-pVl$-mG`y zyLrJj7*ud4$~%kV*+2Zjt~3q2!%Hd%QD$QDbaex#(0skxD%FIq-(zqD+?n1Z9=ogq zt`B;yCLUGN`}WSs^o5rV*ssr_Lp`_t>wZn^PcuD!`F|bbi7QgyWc$jxbi3~lGT5$yf=|b`ULTx2sc<`GZCO=}HVik$lk}taPf2S%|4s^a&VB6sq)q=1*CvX| z;hI8q`+OQu6^$wZLegQKmwa-09)Hem)lbf*P+VSxzEyOSB#x0{mW$LXt}Jr$Lgz^v zWTK^w3rg8^xGi@2Wb7ZgG+U<-rcaMjA0;vPa>Wnc7f9Z$%?HC@3rThMbUpt)_3Fv! zeE88Uw&-oFM?v)t$u_#njN)-FA;YE19gRHQquiNG+YoFJls|rQQ~1_H`1Z`vs?Nhp z`l^b1kfGVPHBY(72TD4EUH*fyW$6ELw_K%y3VsJ8!xV=kA;GPOr@;yHXEg6{KNHE) zt*x&F-T2(k_dH$gPrKL7(V3;kw`b$o|rj&%R`Si5Fij<=S!${+0OC#T^SrLC*LN zgOyd6@A;h%iNS!Mw5MR+4-9LY{Bfa3?kZhlOsnqfS5st-+yh+rS01S)y6TuF_Cj>@ z5Qfc$h%d}2Z=i~>A977#&a}|!nQuPRvVYzn4|S)>;)jajozmY4kBFFS&rMk>$|$WC z7pjf4+qItgzA+8 z$QpN=dGTRQ@#tyLN|;+}aA#*V&XqpQ?QkI7?B1yve>)2ZwzI2;YuRKWFhT?=MJN7B zbT~6BHt4WBE0=GE!!bt7Cy;LS`Qx5!yIaA%?74 zZAOY2ovlaX7%v4ye>%f4!9;B|tu=qAmb(GUDJn>1hUqO~r=<3OyocG%3LX$~$Yn@$ z`e49Jgiw;gi^yg!ZH8b0r+z~uFw7tI#>yGDH*Ry0^}__jA0_)gFA1g5;Sn6?5j=FV z7VzrjB2?bf`yJ;j((_5tAv`NB^Bey{`*m4pX~GgTqzDKaSdGY=S}Da>s#{Hh++Imo zGhk8m@^(`?yR3HlZ25jS7TgSaIA@%-r?)#2Aht@C#TKk5N|7T<`9hxE>*0837{HhK zLi8sz{bfY%fq``8<#oK~f6-i(WdJue&s3xmyE}oFwyuq&Av9dJHn!82@mqD&JYm+8 z^YVjUByx}t5VylFQPs^J@l!W_-^gr8cU8_3yPip z+0x|8Ve`aLsaAJtZ0?2`x1YeZ5k0N9yL~2WEleYemTj#+#uTyABLuLU@2iT|R)UYu zi=5v(I;k|T6*`M9i9GIGHQY_DQj{aKscH;o2UnL@#d8-_C%E_q>!PCo)y;>hHpY?e z+&%Y;(U5_HUF@bdo*wzw^_Xcm9*}a!@ z+Xnzyx;lNaWhF29u^|)p8@rr7w-n42?sQz;P9=k$&U?#-Aq<+^zR6=DotGTY=>}ub z!x=REa)zUp%_v*#Z<;|;qTHIp2q?iU=EmFP=3?*mOxAU)kuW=ww*s5qP7#5*-@l8P zP!**#5^h}i_!6r5_mqGi^voZ`b~mh{e-&rU`;m9IH_hlXc`hf#8zgPh#gcP7TvMmg z=L3^qo|oXJg%Lje4bS6u{x_(vXt4e+^Z9Q=Z5^5;DnWGEr?92l z;Rn~o-CA7LBLADT&*fP<{fY0gjMG-~#XX5@409>ur+ zUpLX%82eeg0)w&G7t%rJME-2)u%XYp@auQaFmw$a(jX2YAksPT85$*|MN(;`r38lV1_9}kE-8`j zZt3o32m$HC_dL&e&N;vAe_-#|z1MwRYpr(>bQ{CS>KTkkTXTu;=f?%&syfG;Cy@ge zx9{iR&~&x(*{HQ{y%|`_9kkB$zBCdLZ~D5mudW45jQ-nRU7fzioIc<8IG9ie+07;g zJ-xsy;r_`Qe|W%+cKrAzRd+}4=1hl9ohs+a$`J>P7xjE;vFdX)9r6w)oFPsG{3}hp zEYf|TfwS;C7POD+Y%}xBQ03hp_$&6;&Gtq3U841B>`rF|k;6Kjau5nsWY{Tv}W@40E#exgv2x(g4wvJ>8Tpzs%r}EUmJ~{rD@_`QRjO zpIQU#>7DcLhTnd*a-Cdz4>zIcRC)!SqUpX$!J{AaVH!?5fbDS~jMf6x93tbOL;c>~ zL@bf{6-g%xlRO0Ji{AE*>hQA@gtR6A$+@n}2Ikd=sTz|$m-*(|n-?2P1%yBq!xI@& z{AAE;@BO`UHJv_xLK!`tF4q+W?ENBfAc`R(!e_BjatL@u`h85-B&N>-etL+7oD1gx z!Mh&V4 z#R%=;91Z(g>fZ;+R$J9cE~_?3MaVOrVucO60Q?LqKSV?!4XosHV^W2khUposeqYnA?aG&T)Vm9@n>77<(bm%|qqtfM+69-g_{X#KO zIz${s_{alm4#3{iGu+GDY9j)`lkt8yD3QWCXKz|Ksw!@}7*u(@yEPQ89RmZJc<*;h zU-r4B5;9sjO8j=c{qkc+FH$BqvYMc_@=O_-<2%*9EtR`wDB-@pANf@6)pTD=kCmH6QtfDC$Dp@BljPddKu_6Y9)nF zx}UYA@WB_*L>i6thY?k2hpH1%A1oamHNK!8&VM%wLeiVjdwCp0led~Uk02e}E^-aKQ6fd_{a1|^2S;82a6(IeG ziP~A+?&Wsi90o}{vzq@uJvfA1`ydC3+|H+KCZ35EB{zz zq!~5~QaT^R&f*mn>ff9>jhpbJ^v!4GG4Xns9k+ja`xqri|Bbg&4N5t)4x%zkHvXSS ztl{ggpuIr(caCPf*pK!8=ArQ{e-r^(_bQV=Hv?xkPlc{A_q%eE4X&tib#{du>r8#@ z4NVem-Hk3IbDzDN$F>2u-K7IgFrjSPHqshnAGty*=7In>)+n#H8NkJwT=Z;LG7parF=B7tHyZ(`rExl3EaFtb17lc z4HPRtK_D*t@}ZGe%<^$VpmU34H1_;H;b{@9kDSYF`M&KitVBh>ypM@ts)HX#(Xs|? zC8#5Ho3Qar`XkG@9(8S_71)8Rue?HWA~? zo;%6iqC4`TtbhI5MnvK2zOP1JRd@D#GfJI3C(N@hT--Lhwe#?Cy`A>|W9^t#*wTP{ zI5NDu?=z7q7I?H_)~mj*gwhA;L41`iRw#cNu_B~Mjkx( zHid^r_xrr^Ctxwt_TUEDM2|oRj){ipUo}mAL!E0pw9)$)tT`jq`Z`3i zFzP@oHXe}+;S@-+-O7rdXybO<@fX+8&uP-|gR@%JmYYMT+??C~=A(~$b8DCFD-Zvc z>{?pB9y_NIishM*>UKPplvG!#l?|sdQ0d8c;DpIS28FG;S^5G@?qIh!&yu(^7Ti7` z5e1#@4|E*+EZ$yPbB}1oFW>w|QyK1Q^|5QZD*S*h#lqH}m0)~2(g=H-V{d8gbP#Vn zBOrLSAkIJno19;yzTe!DRP<`Pa%*)t<0Gn(>y+}bx3qQ1ZZV4cco>dAkDmN1wF6as z2lT*3h5b$u40nNIGJ7pO6QpdJjV5oVO+Xqf40LT2GW6qC6RUE~1`B&OUY|}=xy9oP z++EEDS?T4}Y_q@YIlr#IPTEgYIYvnwM?{7Nl$o=;>!?fydpptRATw#p~I!?bVndKjA8Z?rjmz-dvE4sWv8Eg8H zvrq(}{9NbeSg_^h$x1iFRUtELQt8BjkNm6}DRJvo*^pAp)dkFZ^o}rDK-si8iMT#g zy&mG}#QR^5r$gBX@A1tFFR^jOq*C?z=1thE@97+^%cU~D*_^`>;{u~k#Cx~GV?swf z7Vc6t)-Ymc01n{9%69&}yRNmnZx1y5&`#qhIp2Y<4;WDcBZzdkg zwqx6hs!qw0XR*Xfo#!{{l!wMZQH5nf6j)A@Dld z$fxxvsNX0GlR~-FOGvxb5_a6b?Z_9pWF-)vELT?tn!rh!zz}1i(-0gumKq~N!kS5* zGX#(cT3SOgxVhOiQosC@DyyAy+-_WuStm-W@m&L71d|y*J&!sYd*W2ynwSOPFp{G2 zRO9`Hd${yMETW=4Z!?z)CFPy! z8+6A$S6HL?*>M-5G1oU><mzro)AiqdHV_sd zo8ogZM*+$r=Hr%FxLfdar7T{K^trq2rT2DbJ}SZ6@#AsUxx1Ha@;SI%UR+o7@-`jg zqKTJA@4~?zbGL`nA%a<3o=X3^ot_oEO$U$yeG_}#gcA3gjfP%jI(XCvOe%RH z-8$ABP6!Kv=+9AX)YSgU@rw)Oo5O&evCEJQ1%K{=QI6YH>Yvl* zS)x|{-B>f8Z7+%XTnQms57EB+5L ztmM{27@OFPG8)7Zg0>`fPA3+e$fG5_e(6RU8^wr6M*TLI zCwn{eee~tqbfr%8R)N;P^GeT9Elv~w3BLcx(YBTK*d)qeiSN`Ti$5g5IF1F|6&H9! zWD4ZA{BLdhByg|!J5d>&_TP!U6A%}MSjNdM&t#EnFt5LE1+|q4+Y$l*fG3SKA59ak zsv0lU?%BAT=&l~veI|O_`mpO^r~iwl-BN=Z4(UbUfH-PlfINF!%#)xQ#=!KvcWA*q zHBpTeTgOsEzyWM%9}Cg7K3k*)33R7fwzT`Z&<(8@(>bN1pW+di-o zI0LK95@gs=endgwsj3IizCM;3I*?L0^M*skT!ISh!eHyj!3YB4Dj;V^)v5%={8VhA zdHDk}`fj6l+&-sv+;|fxUukhYJ)CnqQ77$E001ZamG33>q90g_G)kCubz?%hvoi~4 z0R&@}eCxtsH7Aarx1EsUJJXu0O&q%#Fe3yG=|+;H;oZYf&Nq>T>*u8`m#WEa*#Uka zfYAbw?jw2$V6k(*MgFw0si|}ShQJ0EJ!>uo>jkSfM+944t~n@1VA^~fQ&WkN^pAh< zC9k(jF8UCmOG^iG3dDig@pB&WlEY}Je%KF zq!RBLkyBIES&^`D6-|#(BTBS%=*W>rmu3FN>+?uC&S#w3w97Z+D}aM+e-*<7W!d>E z93tD3Cej$iC}o5}71>iXalvbj`9k__zzdwNTpV@e=%ed?X}Wi^e5*unSsW|am{gV# zPq}ZTAqN1Ffi3f!F#yy(B3y1=rwf-&L!NVW4wr!_=|3S~w^VnBEPNw4WwOx3?9k8s zKy!hIUF#%UVk~&Yg4gYiw$^r%;n(9k3Ki>z!(^M6{3acB(kEyN_ zA=4m^)YBh!BGz_Mcb`>~+Mk-j5AWI_*y)V6JCsfB9Js?KZ?n6_ec{Q-|}oF)G+=)ejgs`@oI+q`DVEeR`t7-FR*wW%)=k#L7eov7M|Ey0| z0H{cB{6E}fv;tayXPz*qnZD)xV2wwx25w{JAm(_-GHE?;dd;wq5*PS;2DzJPHg5kZX*oR(gIwt*t_F5s3N&H!j;T=K4+ z7VN(ac+nn0qRT<@FI0)fHx|NkLK1$z>6$>jwb5=KPZpPXNS{nv55>X-*e6&yxr}}b*T3ush%bOvJwBfX~Hj8JZ272UT@=J9Mm!!yCiR!&pwoxIXwG%!sOX4oR;acJETp8Pn08!-l{hC|FWJTRR1y}3Wz0FWC z98H)p7XX4xcL`;Yjt7@oltpjrNrD)ONaCc=Mwl5rr)5cyA*8xd0Z-%RG`BZIv093_ zDtF%^4E(`LY44dBww?J3D_)Wy?X%?pJU4hTgJ#S#t=11O{Sxox&+$8f<1ZM8|4pG#()FJzkABmyiBe1|B)YD?MfrU=3JS-o#4`RHIanrC z4yN&=$E$~m8DW9Ns0K1CdnOMZi9qTJ3Rcc6@|_0T=&k3&6=`}I3-sHVx1PA5z}l|R z#RkuV!+Biu>9=C@%Qq)$ky?Y|)j#dcUN(8(8Zd{F>%CoHf*=gakCpql2Oe ztI#=%cwYThu;$mZzan%+m&#pP9Gb_HgEnvd$pU!j&yrtn2YuPKIGy zrG0sJeh|X*7b0S!3id06fs{c5VFcDrc3yvrTu|Fy%gc(r#ot!%T3a1GO;RTX{`u5MvhcWGUPH&OakX*U2t`#o%NIwdGQo3BmK%t=^ed!(dp(yFr=DRvUL; zX#xo8DbUDJ=i|$E-fRx>4NHl)GU!>glz@fCx68Wa-z%Rnr{Y=5t)uj} z$N%L{LWz>8$g-Kton$L&xzbFLLLq2xaM+&d?i?Zpb(e>XjJiC^LZulLc}RG&Y}R@s zWrwS_uzT~Yhl2=Qv^FUbQDxkm6nRY-v)bzQN2G9*2>yQ&kNx?+*qnC!kVpg!HK0mI+xC|uvfTsvwl}3(COn*aQ zag&SYGN|LH7=>|%L$+8$*#;%L7%zj!D8q804!W9N#KTgmq@iXZ?+}M98@==IQu|iD z71}kNUGuk@n_p?H4_z=jzdlS!-YrjM-c8*UXwMM-S+ugg|4ThadAMEKdfQ%cwsd4R zTlzkoJP`B_^=?u;Ewbc!Qhz@+J5CsyFXn zx&K@mX=9P%uj2hk>9!!4>}e=fs+x>{ZEp;E|6-@dn{Q}gsuCT!jHc9Ur1BL{mBA_i zSJoE5`8ksp434IgU_Uz*d}6AK{i<48@!bA%thJvG9cRLsGDx2}+F_hZ!pr%afFqi1UTWCD75aE@t@>i(oTLzO%uiHea^Q zU{Ph|uKR3%K;P?m`JVtj^^`LH=W3OUAnJ4?1nYMT7<|BplPus!!tUtkro%cv5Y0HdvBVt{1%fu&^PId9@n&WE(P)$~;Up_Xwj0?*zj z6(QNn+`0GLe>u6HGtj3v(*zicN2TT^@W6Q9B12g@PtfxC zWO+Q7&w4NGw0PBeA#0JNGv04@u42&ms}gEOi`MosAl*h;fg0U6%LMu&3)~54(SR!D z%PG5c<>68>D0C@&@(d6Ylf`tB;?{S<6#3!WZE;VT0D_+#GQO4NOsp(WnB#Js+gL~< zb%0d+$fv*w@L`BA1ZRVi|K>MSx6qGSkL1RS)k<=%yqN2TYpo{l*}>a}=QcHj;yt5b zj1Eik0afecZpIqRxB8(FX@OL~zwAp;y6gViBZk`Z6 z2HpBGk&Sft@|X1>V+Lt#QLSY?&Qv1z`4(@>!bbbk!FbV?-dmN*RLEdx*oU3|5@KpPp_FU1yxnAG`b(XuCO2L z-}aM1bas!WMg%5OVuJix9R{Mo)Si-emsc%!_Ki-D+Ye7TC0rxS4YkKV>n4=J+7gzn z=f?)Mkm&6tiG9Cf&mY`yyv*JjviU zXB%eYmDe$PLI|(y4iAr177*lL6@th%`vL?>fh-MwdJ-StuV~~InZHXu=$GwiNnd0I1^e%#~gw$_NGQ@L3fJz461mO>#{xoZE){bwH zuGx&p=V2?*zY3OnHNeyCavUAr#;UB<&o@SbHopl{xxw`jHSSN;J9;p_r1$o?m`DjM zq}G4@TUWdP_u5J1N2BPH=oMNnT3Hwcm#qc>$$B@-At*BQIbvsDFPUIL{L~;`*vUf% zeZQ+`U!B9*R2y`^RyHChDEGFD*GjWCoSDEA^r|aZ?djiIP2YN368*$cx~TX{ z@-#GJzo5(tX9w&7D(Qe?Tqwg38r)~h^WW-7b<)U)%*B((>hW&oab4w+54>1?T;{qg z?y~hv5nJ_+^<U1~ zH=p$5-zq=4ALB+%v{)#L_Pt9GWXj>1%p~1o08nKv5sE1=M=oovi8k+_+^mHA6t@)al@ z-@6f^ysDQ^>UIgLS)#C1pFC(YdYDqGqzxBw8tmeN%MCu1y_A#}?UAV$dbgE=zdh|M zf7O}IX%s80eM}(3D@-811SyyO0KYOc4Hyf9F*Kg((jcap0s|)( z85mo`gH;Vy+muOjMTjWl+UX_`@Dno;F#tb_A>$?i=wu95-3qFa?CjI+LRvipo$|4a z?ryEP`ZGE%<+#*gemqz&Euu5#FpU}LaFhn(zicS;7PH&7?JUa&glGvPFxO+pu=FzH z8L)8uK*qAsj4&xlQYI~k30XKLnCP@+(R9+SEcbcj-1CpM)nEl0h`h{dkRCdS&93aZ zI-VjlLThgJ@vO`BW-t7YLuc;ynvVc|F4tgqQpWB$1UoXjZ6S8}+~eJS zX6uDqZ>C$nX%gk%i8ChibVMvw#cayjtWt1M~-_`8FGZHDj=sX3f zjQm=8KuBu<;_Jr1g(A(y8eX;@{{QZr9+{CU5_Hcu+2N+n0+e*k*>Bks9gneVbPe=b z$RffSv+{HW!`97mb@BhUuRYZX!hx)H7zT0~Mg}sKuA^)6Qm^dOx%>;tt~7;(*ylJB z8K34G%i+ zYK~I%?=hs6LL5lFU>&{RtesvdDW#B@HC&U#RooV=-o6kYJV*y}W8m(o<*9thizcXP z0abFdj+rZmQ)5yZfNj<4B6N4{>DqETAWR6g)V1s1pCSK_P4urx=X|;PU*cANQynCPezqvyjebZG*jkbpMk5?;N zWB7c}3ZdY_Y`H;7t3{x3K*SprKl--3;%=_~femmbyg&YOne=!PJNbw1(~)wNpaGa(ZCRB zoK-Tl+sr|qZcv{7`48)#To{VK2%31Bk=Riz^RC|J~K?m{i+s_YvDbaVkd01+pl?g;T%RFcdG3EfFqQ88@2+R-$ zB}~C&)H+NzFCe?{T&k4P{ceR9k)`IK)%jv@-PMHSi76eJG+f62@0c3w&%m{c632Pv2+&rV{j>B;$qY!wBb{kP1h&(X;&C~n3+e*Ek3Fx9~(Z3 zE{u?uNR{n*spYxk^LNj``rC~A#@gHKNh0;uAJfH+vpVlVi>I{rvwaTy4f5kWcOr?q zj9(Uq%|u1Yg4NqXR%4vXrh|&SPb_Ml(jIf;XJ*=k3RXP?w?IsI*F-5PA7q9&*yqih)d0}PFlCxO9|%tJfQK-`=!=}yOY%1#19a~Y^@%%y^#TMNwN_{VgWpr$ z{QgmI)|he%w0f9QD_Sqw`OwKyY#oWz8ha%K2djZGq~gJ&-^xCHVdd#5Tzr~l8x?3q zP@d%p!R_@@FRq0*TYF@Oe>Tl`p`Kcr%8GmTcIO|K%cRU0BVN;PCwP>Q?#9gD8xs%% zJ86cqIunLGEb_yYdZ~kx1YNP{OA<7~+e3PM$-j>$m<-%3nQiIk8J&APZcmN)Hmm%t zO#P>ht}2$WYX#V=WiTBXDT1XS9u`HIq%k5acci(+xhE6d=0rxx6a*Zk&#wfRTAo=Z zbO*)RFsQxX!h7}TwjkT&~4 zA9grayzf(_Dm?Ey51zdsKW93iThx?_v{jTZVk?Kln-84TI1msuJLwA{G(yPiJEa<@O3TaYG15J$&$Xri z>ro4Sx1-Rrj_oq7t~6%9N;pyxzsyCCBle5VCkSApEo~zM4~U|L{;R3}UFYDq_O~E& ziKgKXM0PeR%+D82Ri@mpGFEfx*Da;{FO(_joRj&9@za=Q*&&Y=;>5|RLeQ$PNK6cF zRFLyc$kxswWneQ8SIa2yWd$E`GVXV|#WqROku9@25bt&gn*~fIHeBcL&YMS{*K}sP zDaYrrk5K1~oUAtBMkbALRrih_AK6JP-z+~%!uuWkeo0S#MJKGgVwS;sXJcgBtX>Tt zB9?1v4`%6YSU2qv13)J#PS?H8r9-N`>?*-;!rVxNl58uu*a>pvJ}8NpS+<@i^XuC* zUFnVr({iNdTYe)mhE5kx8CQ7D9vDHVciyXo^yvy5lYoVZ;rT9wo#Sql`-?!tZF)mt zx2}X34Ytsym}icW{sMtxRl`9{;=0YEIg6?!q?t0`UvX4 zlCn1p+3xqN)PB&Ze#PyxLJ4|D;1|!62TWI7n$ef(eMp;FUp2W7E}{&x5hZtIi1G~U z*RWF&0y9E}5Jf~Xdb`hf=c!(k!*@tcOh(fhj{yFi64B?@lNmwew^ zu4_wAP=?o)x)uBfwTWBZUq%|WKVRL7i_*2;Oq^Sna0sGMy5^lc!n=65NKOvfTs0ew zxv+vrguk8L`H@HNOo|d>tV2p3gG^w0&KZ%X>KVdVg!?G=Mlp`@g@DoxsRQx z`t#W*wHZ5O%;;E-)|nP)7T+^NgHrDc8(aX`PkLMCy}2~%?H>8Nla-@{xNWR)QS`NA zUGbYN>((QfeVbG5C*1!yq(eS)&b4VCFzy=f7*+q&xZmC~2YnNVuWbg~eQ3VvZ+_gW zSayGJe1TJ`mzkud)cnnbbWJsF#Exp}qU9$%>B7!`@e9$e1Q;?_3*tPrlOsie zFgW5&5w|w3?X^-nh6a>+H6oqZ3;|^e7UbkN5cxALN<`SE7klxRRgajHWEyC&;o%Vc z!GRO3UNYmgwtO@7LSQg1j~HEzbf)ggBhXg6m<(>>{yn=3n~;yT^2d5bQF$0TXaN)8-(ylll+#($oNzH>A}07wI&i8KNc6FCZ% z*0i~QlZ2A9-m}jlZ^LmfB;B?H1=oJdq)QKnO*&%`5ty@l1;6(d@FkIOKl^2 z-@nhz`-zkW6O5yYr2JBq3P?}Qi>bbnP3ikDV<+2OGcRpf(5O9>#~ORAJ4CU|dNdoq z)Mcar76u(B@04fuV8;m$0W%FD@@h@g)UiA?vK)*i%OTz6(q$kWL8mC?EM$UP^ry4B z5CF{+j)4J!)X5{BP7e8XjMytWou~8=g4GsXe(MySB#aD!NY4Hfo6Yn=C)Ij{KQfYv zJkZr3m1iRFe6dQ!@ZZGETy1`{_q;73w%@T)%qPOe;G0B>QF3=4N`g4qjkCau-rG6C zhlhdJqZNcWiC~Aq0;JeoU#Q0=5^2JhL3->`dHOE zT5bICPe0x`8g95giL6L_jZ@*}CanD5*?E=oAC^q z&j+tmUItTe+aPLhRt&{w_wY9KXuGNvpS^T4;lDnY^eLiNm$u(o8m1Vn$XraGk@~k$ zU#@Woxat3kC06OGPxek)chxE@R2+SCpd8maGtd-o&uEa;h;7%fdzo34lvzt`J% zT22#ZT22>V1FPuXr7#pxvO?%2+@4C@d@38NTP5}08|>ltXf3UpBP5ie5hDo_p-VtYsOw=YlA`1Qp8;x+z3 zcJI(dF|nc!mE49yc>*IZ3}+0i)20qV2bi#!MjFKAjyPT#UeE%v2iCRC-dZ~UdS(n5 zkb*uAjh;fqQ>mPseh48Ne4>&(thS`(Wp#W<=g%8pu{LX zHY!O7KrRcP7}$~y(6dA}T}{6hy$pk4`H68i37O)3>rT$Miuy4!G-1t#)z(4vng}DY z&DrzULOY9Ix9ag{^K9Kim!+j6#vF}Kl4#eZvR?5|zw|z!Gq8!`_xZbF1QjjeLP*&V z5R?Ks8w<^d=FSe=m!okr;%=D6HhIyWuq?IHV2CWH1ubq!j<9*b%68l@Od@-7wa`}` z0pMcMKFp1rTDPAQHWJHoe)p>I~Z(er+QGeXu z-5CAW`S;?mJH9zIDm^%%?+7Jvw=8JzuLrl{DYf}BH{N{2*17k(_1?9$^v^oVdt;Ch z+30lN(H}W=9T@DnFt4+7*l}kfy*4a2plFy{@ubsGXVB?lf3Et) zK+@;f$IIc8T9&)z8SYlEi{t6HFG6<2JR<4ZNhYiQ{aD$Nc3LzIHmsSzCL*V*CEt%# zD8{G_P&$t!8SJl+{C&2+HCQq@d?hjeyNUGS+O?I`y4w5qP3u#@Tq!BzdcOM|-g*~G z+}r+UXnnp14B%k|I~Qtbkj3(}jk`VG&7B_%a^Q9MnBC7QQRv}@17l6&bIQHHLo-sO zZ`W$A6}D2sIh@c>3?EK5%6hM_caog5DkR+g9uT5RISo!s&STvQ!vRxe)-%&|Jv?RR z;m$Rq&2i+HqL+6%n#IE-W0$uLVMzxU(@@z4T1IqZ9Vucd6$=n<8<&&Jq>Md=YCZW# znJt9y>StwsGwbL#d0=qN9RU;GRX<~wGcTh-VP#lgM_((fVGj$jkxJ0jekql7Ri_bN zYo&~dI(p%Lv;C$4_%>)d7d8mU&sUlo7lcey*$wwri23Xt-sof4AFbU7i#9*;*Bx{Y zX1>)q0syM1Qf^g9^}CZX1qW$I6C6D|M?M}#iU6@VXl!aJg8+GiVs?S0Ij{GtVaflq z%I0BiH52+SvAOGWvVy{}vi|(A5nXW-a@sw;=@VGfnj-PqbI3}ptEoJ67Fsf8Y1t;Pa7q`ut@)!Vr@hMIOG~na%IaVe@ z;KG9pxJ>hTd|2MNm(sCh>k#_UylhNaxK&8$Ub(S0z5Q!p3Bk5FYl+9U$k8MRRp)!# z`@Rvg@hIx*Gta=pac+Bex-pG>q#uNnnDNu`@Au?4(R_TWXP+XF zZ4eFW7yYl>1GuE6%sxU>`fQ_S8PmdkKpocc(*hPH8DpZN-!m~KZ@D+CS=KSpN=5M1 zax~5zZR=G;T`$yB(ad>4_tnVeX67wp;_!~|9E(T z9JWGBz{m(aR1x+h&i=8dGM<&L)R_Q1r>sYkg7I5i-U|3dybMTg@*1so%hC%?Pm3qKc1H2DjA|%K#u{R zTGOn4ik;c?a#MZukMH5pHRk=;aHqDcz{SUrk`an>%OPLgPcxXS9yEe+ft_gAu8(_1 zg9XGIYsU|89-pTV%f!}kM@3^@u;nss6bGOTD=94{pj$2)8BYATK6bqrP`IH;>PH{) zikK4Uqk0uZ*sDtdNHN8Rv65bb)$*tIQ1_khGrea^^QLPF*^2G%mOK{{6{o3a_8Z=% zlvmO36vAN7Bcqr)^#{+vNc`)ekSz_i{5R_nduRmVL(e|gvHZu5;U6m?MLeS$;UpcV zn%rPNt-U>B@`-8igJk2~W#od_J`3wfRoo&%fV}%j#h-?fyAYoRzH5Gw^|jsie)BNH zW_LvyBdxeO;}E~ibj{En5!UYzzwxmMgi*-=E^OkK2NTr=R`9R;Jjij^km@1%I7mB- z61$bmxi{(Ng)By1+TjB@HSR3JwHB*ezJ)>UEg(;of|nF9Oo`5#IYzG4P93s zEoV(W!;tFoTgDCbT)Q4LA4&h^a9~iaMv-rIVU~8NExtDpMmi7m-r_J9-c~u@EXJaV zVu>(xdq9YM@r>?Koa#Wh+?cBU%}+|I`%=Fc!|q@z_BR8UMSb24m39RmpgdcSngpfj zper=kzMu(>VG!4ZoV1#kB$@GndHZY#={oaYAC24dP;2^ICH#kM;Qg@LqG(D@#{bS~ zhO{LWDCETuSB9HXr^F)r*r@DlP9)Mt!yq#%;W3h04DBmHHU(_j?%_|TD*oT z>o;jHJFMv?BIJOcQ@`FKH>%h|BM5N{XBq>oU;)T&3puLoZeG ztAkqL+Sh#9F$kc8ne=7lrjMYE4vCxiJ=*$6t-@#=Cx>Amyve92*qAh@4cZp|Z{i%i zt)pW|US66rBjp9=t5c2dSwHsp{Bi&&Wr3M`MsdA700gRuxo`fQKj}lspCrUr{=Ha@ zFLK(4bve3myq9ez%;l+`n^Hk#v^sY%V^U&Z%%^v_{^o~ofy8k`EYJ&m2ZJQQ&{KJN z5iFyuPm7Uy5+F+9w#8!okqWLrz|~F+7}vuTaJ8W1{}-wj&w9fdQ-Ey~%ME**TqytX z%+333U{mP2TV)+pP|O2?u)rCZ>Xxgy-*48a9X7jw0mWy)PBSKuU!x9-PtNK8COwV} zBE<#yUyLweWOc2shImt~{bcm}zqmT9sHg&WZ_f-hgfMi&5YkGBbW4MjbR$Toba!`2 zNOuj5fG~ixba#hz3rL^&pS8|+*7$HCGCTvM`!E{YVLFj~VQ{+s-+P0St+mc5r~u;QHL56^}wEBb3{Bw0m< zlUoN$imWy52?867$uHf5B=PUGDzi7c?N7l8lJBLo$qAp0XB4)&NOm#fd0;45oI2xk zM5qPOvH&g6;1)7vAjwpq{KC;i8MI2{C|`E%=!a|G$8Iz8Yhd1xaAMQr(k=F zjzzIS(Vu=#r`gJTL~qT$A%BPsOH9;g1*f`$hHTJizP8>E&Xnrs^f7@3#lTdd@^X~X z-&e2zg8UCaag~z9Q2gIjTa3L&_`}|ZabkCV(-QMkETuKAWv6^UeH6}ZxM2Kk1SC}7p4I923354d^VP|(Riw0W2q@BV z|BIDf#J^ERy_+Nt=zIm>7X#)E5w~(RgQ2F&!etVF7`C4TNfB0e~t1D9y^Clll7oRl^i_sC_-kd3mAjeINtd$XS9d!sSrB^H*2zA!1#<3i`yDT0@0Qf+77W~ zy^3lV7k2{dD_sU)6=$Bw>56u#r!xY+Oovhjg*m#K$caBY+UO;JOoBF;p1~szqg+fd zZS2(K0374a=8?(T`rp3L;ZEo#t4SFmn;6HCVxjgT42=33a5pq=hHFn*ppe7*nwq+? zWr(F()WFvwDuTTF2S{X=`w}Eb;Yzg?t;$(BuEXjE9uW{hOG~S@aQS_ghuCDmu%PVR zjhnkQGzxe#_l~qMB}z}cuB|!w71?i}r&_`1>r~}!jzhO*F`Q{t5z%nDrnS@NquA+G zjv=z`lO8wIi$#PQjm>qYLnRCtjBK4i~`RO$_Dxnx-j*gJ(eg;I! z5A7;c+4=FZt(rI@q%L^^1Hd*K`f{l}r%sVwh6-q}7jUO}pDRpa*edWmG&~EwNXx~l zHbC%jw(tPz=+?G4;lXR0CJk$9ELEW6f_Q*{=a!zV zzW(TN(1I?l11&!EYa+GzTjc`!s91L$5v5cULhw5j1{0?ZQi}Skok%c1vhcF()$0vr z>OH7h`K-@o*tSp{xqx*9Q(Ebmd8h`g6#+qrrj4qAZ_eTPJvOJxQ^=^+B$F9@f`k(^ zykb(3MF}9hz<8gBS|i2`OKoCKARaG5Ct0v@iwR*fWJXW5Ko=qeCGn#N$@6Y)UJ5HlCXo0FBf56*6+qAQ3Q$={{L? z0nd^Iv$~uA#_}{{V^!Z$>!hJzyl2_N)YHc5Vd7X5_!}U$&q}*BX%r25%KL z%Ad;>IPxP6ali4t!~qOD5+buWq~e=xd9S(qoDd-T$@Fl6TB6iZl=k!hBIR7&=p-mO zX3^Q%xiYA+!8=bQ4=EH1mT!k9s38aFqV)4wL_?u-i-#Gl*A10taPL`kubSUML`vb5 zwX5c@y%y|W{*D@{Z^|wzGI{l$bpfSi#x>B8srgq?81_4D71Vx-M=DMAY2^bHON5=zz@q!fef0dDTAB>y;DL^Uu=}-#c7rD21 zxOkrDIy`s=by9P>Wtjl%%ZlAe$HxQ-qVZ!SS_uSRnI=?X5UZ@6*OYMb zx#MZ$X;t*!5*PE$K49yY_HSbc{=w7r_S=1Nw~05^>z%p9>BSVGsee|cW|t}Pg|qak zGBB8MjdSh~TnAkU5Nege7Kj`mJ_rWyye9hR8S*yWM)_BkbWgx9XZNv$I3l zW3F8GM}DkP-wJv`0nW86Wh)~M-Z<2`e4Z8S)W^-sXlN%)7*$b?7~X}OmrOOykEuA- zc4w}J{@1KUp8+bf7!>AyD?9>fLzZtmMG}NwOI3AqIHJDc`z?b~;E^4Vp}^pz)F=04 z;z+GT?dTh7j}ZhEbOt3n+g|9;F*CZl#ro9Y$PPCL;}8c)H%?IVGCe|v<6d*|*Yx^hF1p;g-0=n2szcNZ@F^O@e zf+n6ZopBKkMXE(9JY7wYN*&LXv1x1;D|hlRCf-N6f8`AsjHUODjD`AZ+yR*@vR#FO zoS*3Ey>=iZzyT1^M1Hq4>*AWKK7oV3BZ3*r_*QfKL`E;R>Hc?LvQz&I7%{%Gj}2!> zB9L8cnmRV7>pgqg#OR{w0tJBff$D%Y#PFjE=mLOj?0DxsKGh1-ZfrVoQ^am_7*l|l zp&;&e|CclLNGH~N`QzY>_GiwYpXcr`h7+>cYsTC|pu+oLRT5O4OrG!8dhu=|Enl7e zOCKuTMZBJx@}^IuO+rH2yuA^B{~#zCRc)dQn!L}qyAw@xl{rGFj;0yU8arv(3=8jnlwzw z<0!zOJIiiHVU>~;&ued1gJ6*2qvs3#t`*@bdlGS&gDdKg z0LE@bWvVsBI`83pnO}PP z`kF-VPj8iT_?lWUazDb>r#YjPvM-Pu-aeWTTd@dj!m`298Jc!$$7KLQdr<@8{thNRNm@aQ9S zJ4%{=&%?f zZ39%25_8w-)VPFYN{6Q9RFWyI6Us4@B7gxLV8m(PY_w|Y5ku}vKYvk*$ys}vVP~hO zx8q&6L{pO^Us$Eq*={RT3UqE+bB5d~f+2z?4&3;SpRUX8zufwdoUu`8H;zqbfoq3s zr<}Tr&i*DkPD6qqlc_raFyTGEW({kalUFs#Hf4}Bjfo(>03#!Jt1ZxCf$U-kjreZV zA{c0kWB=4MI!&#jW68&*p(sTtq*o~UUF{}0D_O~yQ46&^ojCa1DG(dcg$lMU$F`ck zsW>@4^EmrHs`*;pehrQqQDW_eNO}+T+oomY+*)B))O^L;Hz5ORO>hWUR#Lovo zLV7iMX4A#MuA)y{x37pr1-|acaojlwN=rp~b?lz_-ygtaGbUx3FoXqum=2wg*cG2L zi}Ec{d5^HVX1_Y&Gw^F^c(`c#Zk6LrDYCNm6y16M%aWVqZ#Y|7jQGSBvSQuKA3u`U zg(kR?s?Juw8btYy|r{WnzJ1d zbv8L!x^8pQZu4+^Zcr8kRD45rV;i;Ju@%o^oUQ1m;GfpcG8BckHWd%P85t$kDP@}J z_u7u{c$TM=ME!Rw3U6v%tyJ$}qm2B3ggL8gkaggKDjl8~V}Xpuw2wk){e=UOjT`hF z1InT!o0Rz~Mo1-E6(eKf0}iT4-14i#Cs#N}aq7NR zWb2s?Py7Dg2)6$@v%Y?HVg~q-<&*C7${&a5_;#ZUj0+44{?!v6pO&V@GpCgxmX3b0 zQM-Qh2NR}ob1x(6)wawZv1Q3Yw#)Od%U**lJ}eWjGdRDw<%Son_OW-J&0V zxTU_O&OX&5Z>mnd*|c}??_4JTAy~*~Z^n=8l=@mlo^C5|+;5U=G4XONklJh zyG(+`m>4&`P_9~+^K5;!HFHVf=z35c9iS3BaIv3~}oa6AO(9(I;!j*9-nm+o+ zs#Od&c)Oo+>y5&*4fdj9W0R#agDq&k&XsJ}-6|!j%9Ga@+G*_Z=i>T?2Jh>o{V_e!hbz&R1?}i$FBNq1{lZn6bt?-C<*>kUa1@0Lfz%ig zGR80FI%zA_Oy4-LY%dmfq8%<2SW)PSU0xJvne-UPVMm_j#@F2#>X_M%tX!9**8f{n zj&5Mw8^eiKg(cVpf{dbIHM^s%=$z;1r9?4HtyrO%NRY>Ek?Zq%6KJr0DO`Q1ZIV zPOPNZuX06OyoKSAQRkD%u5y+I?$oxW9I zuj+S-zi!4%pW(!_5{fYe0b$=HI6VP61l&5sSlca2EuOsan~Q-^Wnrzu+2T`2j|nPJ zgR=>RObmvUo|{OTb%Rt93K!;NYCLuO)pPjwWy(@smTUeAC(YUgTJrdur=dQJxioMmYrs5U61{>w}XDibql%ih0MD5(`3(yZSBj@@c4~4JYMwv zU*F#Nt|!l-z26?WQTF#rJG<2f>gS!=?qMANN7kv-F63DVG8Ck(uM+>`o!&o}6e*VK z-lhu|)sw#l+5#OurP82#_G&NOV^;G@DX0zE$M$Tg9Lmn0Pj zLqlAA_I;jr)5gg@@=}+;;cs`gQ?D@y&E0PuPt2yO*aeiR94J#X27~_fu09$`Qc>1T zN0dQk9Qg*P8rPyaUz+xS8`Eg|J$JdCz|*| z0!iFI2t@AC-o4Jf`jaG_tVWA4E3~_-nw8MCRaI4Slj-f-H7tT(ks_g;JTa*aSF%bm z6b3q+*_E3KH8&nlc*KvOa3V!Zb1a!jk%cw&_&sZhJ~!&txL#gZ3e6ZoCXr`P2l2>Y zufF9dboWn1a*O(06+Y0HYl>TvX}Y90em!dZnkgnrz!@+@9&7J^#lGgdr`+lFoT`P$ ziPN%TN#(8{BQguC{`Z$t)E)oo(UigBW>HvjtRHm~JroZ32-y=g^S^cLx?!hSQi2<9 z`H4aOVx;Km{V9)b0}~Dj(fa*IVCct)YOaYWPu<^)%G2M(Ay_g<;zpGW@w~jtC)GUp zw0&5MOj43C?uOdEl|3iCNQ*OJZ&Wc_!t|ZV9+;mfimK%2M)a7d0!wZ((UleMK+Vjl zsxB+JY%m>DfP`^GFBCffNDzSJ0-$}BExN}8yYlVK(-O4NlEfMKdi-#Ny=NIU|gBETSA3?S35dat?}zw*dM z3`wz6yjs)cNO^l3`BcjCG7;XsY0j-Z1W8y)(o^hppHL_PDe}2wfT2zv_v> zG$RYTEaKqK-2sY2ifVl&t*TtA--J!p0&xAJqhv!;=Q3HSIO15F&urh@1kRn$``-p@ z8G4^Q9$XOYjXN7PfpozIuU>;^wQ>I6wT@8%wQ>T2E}@;W%Y*Hf@MrR@_ho4DXygi_f|7nc7# z-~YY+SC+-}$^WW|*v!nSE62d=Vx=3A354go2JM9g8VD$%6&iNh#V|^o^*K2qV3xwOc^YyH&J9{MmmW;(oYsiRbV;9dh<5#t*t79FrX~t~x2@gB*|+VqtWd3yOv3`5zmSLtyo%grlOj^Al#};z(#WH3?`P0?&REYYUvVL=?x5u{wa$V%C*F8Nq z60APvFh7eku@6MAeqly+NF!BTLIYL9Be>b}XEMrV4@Ekz&}uvty?gOg&a}EiUAwx#OZ(OX*DSfAFA{CW2TbhvB7y0@yp@vnTlbWK zV&2WS!A3?>uQc!hUF#ip$yyyZ{mnVPH08Vi0BJBsh5CW&!ygU>#V>)TEw0zPXl0YS z(jzp{HS0bpZ1>LWLb)?Zx(4oHQV>`gM*=v@>1>EOGDrC4ptJ zcOGg(K6mRcPGdUrr<9NTjH3FwcI_9tqD*YIh~m&=`xksb54QUX<7j(xa4)7z`;~^6 zbc(CthlDQztUh5h2R}>1LU1|2>5JaxVw$!Z5R0S$RoIY_Y)%{(<@;YCdctMFgumh< znw*Uln{t3bXGal?g54R^Wa7<~626L=E8r_GGyp0=!H!gQEYUfEtAun0BQZ5Dg z$A087!XHdx(3qqVud}bT5GhFzty)Fux!j`Wfmts*17m6aKPEOT{U4b1YBJ;$8B%GS za!_beT)%2ST23os{D9c?xN=d%B-YN80?MEmS*!%i05fwCm-K5nEH=c}QAsx@uCT14 z$(&t2@wU1)R4odnE!)pfn`ly6IsTRO3;?PY;9&xpC?ZGR7f#6J`^+t268+2L-!8t< zPp6Y<9Q#$DyY4;(MomD@ne6qYO>tePN?y&oxW zr#8*!MDZ;IqkFGS?_zK_0uU9k82Qh1H?^25ngJ^>Pe{s>l3#i^((}Zs`jsjTN3=zt z3c2*q)e66iq&zb$1lry3(x8?J$#(ZtMb75}wt|Gc&jKSN5?W(kPl5OK^C5Cp zCOJy2L0=4BH%#eDrWfys1Cga-%1J3tPADHeZl9QpVa1{)ya>we;q^dpu!*Lm|81dr zvh|mv3#Fu9|Euj=pcP+;o5R&w&s%U(M%(2k97ohI0$|eeZ!`Q>y=_Bf04jGa2f^+g?4&`^7bBzkdT>163@b!+kSjcO&LC_aYo4Ze6k1dnyTe!iOY*%Y^1rgBvAGmrLW&eWbwcd)h zVS_q7{Lk0e&-@P59*GXwl8KzG6bo+8XHnt{WwNGVl?ztw+_BNV)XPhp4F5X$a?l% z!SprM<$9=X`yv9e?sfHXkHX`5xbwxz`f181$&q0ykQ(vp9 z30eSzUf1Js)uBB^_Q{aF{PidYcfoXlDA_gq&&zNwe2y}1z0>Qd(#ZQ_MD1wZ#o^>M zW|5pAHxA9g=j7O?l*s?)*H{-~J^dcGa#SNaAN8IqSGb7_X97i#!ZlSwZ-IjYx&<#( zYu3$qF4|bwQa@GnNxBJzvTfv6VGdAZf;q~aP;hZ`Y&3uyr)!Rk)1_nf?JvgTI76@cxlRc$H|F0$MgRK@%c~k@t>U-)9~PV`GE~rE1j9nkNFdl!e$<7BR>Cp!*W2E zSx$yJU(nnV|Lt9&%mJGM4$i#^g!j8EUsMlJZki@9`m^uC+M;$guYf+stp81^Klc!- z6GcDg`$G$M1nB(bX*hRnS=mtaN*y;GENqOdVtaGtVVv|r|zrY(*E3!F{zr+g)G1fZ(s z*)sG-GRL9#na232y@?;tpueP-E~Edb&b&w$**y{KQ$_&rI4filaQAzeZiD85|l(QSRx*D6|~4wZwoUGj646 zCx#$Z0^y|7}7(^twyGdfc57esvKy`-V$IQ=VTXplT4w!pb@Z z2+L|p{?;;e&Q$#K&=POEJf0XOKs|!REVmAvmnJt$TfijwtFVLv=&_Wl`=?t}N*hWc zC9V9S{=Dq_hBm+MUFoa~j@s`$8YM}LT`SZ}vm{Ac_8d4QfrqBSf=DWpVbS_ErIY0E z%@8>;sV^6c`0zQWobPei9D7x?T>Z5TY*_Ntug`lDv+cMCz}8DpzXIzDZt)S*T$Vf>>a}T-bwMW zb74A>NXxyZj1B0O{G82BL4Q#&1q6JNGSrTj#w0WMDX^Dd63$^0lT1GSJ^d+TIkqMB*hwV#Uo1pgSoK3)Jl1qM$*`kA#;>2gtJmhNa?P4|8F6 zxiwS2(u$Fc?Z01gHZl}~yc9Nzy!v=iOsx5i_x|^|h+qQ`j>=14D!DKDcMeGxU8KD0 zecZtoM~UmpM8oT7zBbcbRtb>fSe;|u2XU92c-wsZ`^zgb`M+!$Hg>n?#Wh(z+l$wy z`>G9GFQa=g_HCjsjEDwQ<9ONRUita_Qf=y=WYe^&=J#>wZ8EDa#|_N1lBe0bp~~@U zP*vX$Ay;kJzjbQD=X!UgJ_54eqA5o zh#ZR=8rWxR8&u36J+ujiKcLOZ7je5HR#zGHGa}>S?H}J^%G<;OJTvhV)ly<*=<#G2 zhNTe{rs)G0xdUj_Rzi9=G)qrU_hJ7q_@SAaH*;7HVobwBZDHSD+c-f@kt5RZxOI@4 z>;LzlF#Oig`>wdb1`|M)2dY)~Q&R{E-d)Nl)p7I(xyf#UW`3;!LZ+HCq#X{NtW>MIV0F=NoPo0sIu5YNvz{Xgyer32c z`8gmj0o?1U6`0gFMNZZ#-7xz8R*M;z>f$Jp!0RMoMKqkaF*G4Vp|BW^O zTQBh|FGB2J(V0_HJzWsTg@oJBr+ASEWZbj0R_C+H;CGD5eJ1La>ad2MUn=R@&f`K? zip6S)tkfjQp!pdY1T3hFgC+_;#{qOp19GEoH z9V<(GGex6ZqcO>_+?)r66bP~hWf-ODH3 z?`)*N&||rBIwKk=6f*Ux&Tyc+B4=5;Ohu@6YYH7J&RE)@vz2jpX%i#QSyXJhJ zXMReRm@%ud8XYLsDVgPgU-UkOel7!i+)cIaO7ge7| zO!aLJZi*T(5oio5Rgp!WU=7_WCPItqMqKb#%H92Y$6gn{dh*qz{04UBH)@Gd>*;xC z@B8EF_&?wp1qc1{6l<^IK0%uDL)4U)&qXU*Or1B$T@dtXaU6gwxy2hRXO5EUpDUc+ z7Iv>6$liTkX`X!CJYTfHf!$oa{L{)Er4$yLwH~ARzRKK6s`BC1(AUcE?E&CiaX5IG zke($5rT}X|EzUs(oeo_dwibuNuF(R=kcY{Cu7;$m{)2Q(&|?{ z7Qd#5f{yhKIXqO3SBEZrwNkP*Kj5N&g@4PVkwg|3Gg$q0&bIcz0R})K)k(b1#5g7b z@o@s=vcQlCE_KOk$Jzr2eO*1hMU0OsHRO6&VLjZ#^^7?pc5ppIxbxP`yu-=g@E)3< zYEFHBu*AaxQ1f(7N)2oL&wf4W>9su>8ct8I9ZaY|T3phpqIy0t>OPmeY&KJOHYEB# zK9BJlWvDR#16GCLzP=|%<5?Win6#LM0h;u21EqXJbd4|60l2T@WJAbOm8SnDkBjux{;KG$7@oQ(5|5e}uG#zEFEXASl#gb^&{&5D3jpE)@bNI_+L5ubIqN2w`lOI- z9&76gB)a^8HMq&TEzi4BIus{}A^fYAU&mE1zH)LD0j|e3EI|U`-8k*BSzPLOHF=Ws z?lU=b!6Zr51g{v2#Q;hSAWdXQ&i^Yr82DM8Dkbl;=EzWb*Tbo?J1-aCDz*|u&gseE z(i7Udlk>6E;hyb|IiY8>wn)In-5PccHtH0_R&xxS>1XjyJ~qRQNg}Xg1Ie7c0 z@Gm4b?f35sxiT|=P&IKY1^PVrgh^m5Y*e@M_ZZUVR(^3RnvLFf18E35hO9OqAyho? z+e`#l3I|Q6Wx5o$&1F)6|sD;#Cb9J@Kab;Iy)h@Lf|S_{vGklu@vFA_ zLFeKo&VGL0l6|V(&UTKJ*UW^dG|csDyPhSn=pR0P`xcr3XXnTGQ0M0D-Y{ux^YPfA z@4OAJ-lHM|Ak_ZArk>DNX z3|>MlSSLn4LWo5{ps5%MBBdL?pE`{EyRZ(NgCZtWps^#Tw-JW+CZT{2ij0fQB;VO2 z9053fTArUfB!1qy)5;Z66V?j1re6OyAyVh)QunOf`Ow?>yd3Yds1neR6d^7v6eJUk z^Cjb#R?GW=$}n(XG`C&zvJ-rg8hg=m;!wpw02* zYHKC**Po@!{#6(vT{_ZTCFHc_c->$zKF7Y^<+GsaBrcEk6+zEDNNRA|J-vy{Xgv+1 zOM^hfAmyke(fBtmit2{YxAfLJ`>AhT^tu)rkO85Cafmdj^SKJMVW(4zXaI4s3he5Nimy5lln`o8760q-h^NX|3B+PRQ+3^?@4O=VLN6nc zN+&OW2iWaA_RA&*hImEp%-k2e5wgPk`~Z{AzL8}?dp8&r^~3Yg`pfXkrT_ZUx5cq? zle(2<&xx!<v^sdOp7Q<6ZeBeY%C_0x?iqZf1hi-&?%_FpOSSYTmv-yi( zs859w5h8hAE4S7XgoKYzQXBzizbsg@bSWtf^_?nfh!9F5R3ejXNfJ<}R*+d&kNhPY z2vC98;2Xg^+Fgp0m$N^FCvjm)Kw?FWcAe1UVCM*mJ z8a#9@@eMIxx^A@#ySwJ0p<<%mMped!vE68K+s7uG!Q$Xi1ex)cUFiC=uQi5H)w&V9 zeN8Zg!G6;i_E0&B)^u<;i|SU|yy9s0mf6AR#2_QnYQ8(R(f%XZ@XfuozMfF#r?bNw z9%*X}Yrd9sy?u9Mulr|X*PnwNqxVBt;dwDAuf=`6CTyqkkg39ctQ&OFWm{EIVq#9z z3Mz5_91`zx?Pjp0) zB)^;MmI=jMj}Q@lETsR@febSUPI(MC0^B&}NLh@iRXXe8!Apc000B#tho~TX`q>hcY1{xW)^QoBFcf` zTr7g5aVO|Rv1xRHxOeZ8V2S~i^+aU7xq2L2>AqKLW*TCt^jE&sw7VQ!=3g6PcQK}L zkv)|Gp=&&)_X1LN@KjZH0N$VoMCNG&X2H&)UKfe=O~)``k5A48qPv&nKK2tDSy(%H z^a_LzNU#Xk#f-SEB8X+>PF%!nn2btAB$=$=2QU25(X}b_b8lX>Zq|iWF%euUHM24? z?PMgxI7W(XWH<1rgSUolTi~s4kGo|{`|?)pnO_TR{X9IjcSEU?VgGC$>y=pwEJ*lT z96<6T6+=}_$Xi-1iU1Q7X4Frh-nKj_YfG1US@KhYOW<4&^>L2G_Rhd_UUJbQ-k}RzLOu#zZ`|se*We>$^{cOpZr*>be5dj4a%1ggYQ}cy3T`sS zB#jmc{8O;L{IrH+==pq{Xw-SNmO2YNDF0!>x+Tj>D`ShUEh~#3fT+rWiSS!_EA@b) z-ZbQnpZNsoT>^XUGRAl`9LviG?wzii`~UT1`aDNex>{LP#w1^uB%{=kyJN zn!lEs*6CJKFpTj|eZMfBBRqCEw@F#v`?QYw`J8>t|91QIM#*OBM~NFi6Y$5?Ln#CA zmo|q$w=}nGv`9Fo$CoU2IwQiG|EXRPCG21gy7BR^&G3dwLU0Ty*zxb=ytmOEV7PH- zN{WTsva0#ID2LdS0`1ys`_XidxTG}fpjNZ;7x4(5ORW|TwcBW|Rt_fzpw`jyqEMOL z{{#ACHH;o)-_T z6U@eQ`8DHdiO2rfz;_jP1uMyA#>ZZa^W$_{Al2766+T$TRC#bn0rEfwJz^AT?^Cr^sW8r#vz6Lr$F8_OPIE*Q zFdg1<6+ok!8k8pJ64Z2U43;E%N7+ZD;XZ3bugbC#-oSyjS;Py(+pc0Wl>)*NI=>O5%Sc0~gPy)-sU-Qb7x>KFc`iJu>WM52bt}pG}0sB0O-RYW)!w z_gMJWKsYV-ECG@er(XuOnh;Br`s1=pLk`_{ zVn50ie#iI2N~is11;1z0%iY4JV)m|*diC+9IVbmUM&xm4Y{vFT#{cOelrhJFM^JG2 z$hl#f+Sh0I?{CvDs%E4=mQPsc-{uOt%S-a`)R&LVG}&m4<%s4Z891oW|7Q2QG$CPS zU;Fm>?_%5ibR{=KFthl{M(b?;=>13J!7)8#fC(}TA{8Me`qee%X&J|Qh3F@{Zutld znc2A{lviu66|AWp;PbF_yuu0qO#WAJ#1bsKiFt9uP$IIrh&`_Gso9+Ms zC5*Exp_(Was>pv!rW)8j;@!4A^<6u`5QfN0plPJ&ZRsu^IhA9Ca>FPy*8Uw*r;a1+wSh1MbEdS$bB?k05J@p_(C22 z9$Pe6{tMskS!BRD*# zi|r^k8c{}7{?)dBv=Xdz`8GWP@8jfc*hm|CXdl1;JW;QwR@kRNlGXRhNuYvTodN?w-x9BNJ zj&Tq=L;8O|5{&S>0&!~MeL^U4!Kv0^1T^{XRLJ#0NAB)Na#_X9cvUr3MF;2m7p67v zo1y&HyTjXu9`U@tOg>Lt(>{dAgV7Lg+V|5|A}`$yPtRkI`fyF>(G5-jh-2;|b$EW- zr7UTo)rDh4#MSa1T|Ho;Vp5o?y;iL^wI3Naz#`jU2o4TOTw49fdNZ-DFwn33z39lk z@K{RxqmEP-Sk^X5g><|tU`-GMi|$Lc7>ja+@n5pTk9_mU0Syh5kp~0R*8=vAhRrzc z*5QIpi}yE=60DlP_I)yP1$c2bcNNF!EzC=R8-JgVM7+ENpPC(fMf6**r#>-0@25Hg zIL=xhroSJ!p}+Ut(Ef+?A@n}Vmq|WR0y#hvj`-M0kdnv%UK@N-0@*i9OV*vD#(x$U z@5+^?iRSp7j#Fr3r31jJnoD(WqU#N7nwLlK77sEG#=OsbYkzcj=AGj}m`B?bqO+$5 zaMtx&o%epl`rmZki_(h?w=IAF{(UblcWdhDWPH8N&*3Ao+-#3qgO)sXkf!rnw%!I) zC$d(JaHyDfB}GpMzs)C3J;K%7#Pouq+t-$RjA@g#4y!T3SVlT)d*q@E&Th| zE20G#$N|6**V+O|ysyBQe3SQRr~+3{R7FF3U^g1(`tv%GLy7jR7%!OO9CUikb^0+1 z3~))15abZobh;6%ABZC{Z7dUPK1~7@(uo*<*pgQYEj)+S(UwHzFw*`t1cYSNS&JiA zn6ZpSYs#nd^8V0(Y-l&p7=2CU6Puw}nF`nLk~y5M;87&aD3@Y+nipSwhxuW*agGe` zzLxeSP#h9wVr7^4Wv-1cG8R4kiQ^+Ct5Z_S7&>IqQiZW>#xzxd5LcBP2|9Mi0k&Ul zU$v4s9)0pYYWU>*-A4EavY}{8!=!8pTChpsWce)FllY-9&a@B~5@DIWKR{N>zK}_B zMoQCd=~J5?+)EhUwY{!Gw`LvyzZx84ud!ul`g3aU?(Iz%0ix#!WFA@>_S?^laVzw3 zjZ5;mXai$OP%TlV8k4;~ZerIbLk33TvO|GhpHT@yL2H2?jG)0hEL@ z*TvXQRILAe_b-h+H%muf+i2L`J;uJ@oyXz1?Ir8j-kqoI$;W0JkvMUuQ7j>r|3mO8 z#8G>{D`85BM6|U|H)ZkBMPH>$MAX^3+E7_D?aZy2cSs_I>-9syV7ns>A0Gtuz#TUn zFmL81`KyKk39~aFmo1z#ouQK91<5AfZY_EfNviQh-Qk-6p;96A4<*0k%cbRn<*yo> zr_i{jr>9#98O;h*NbAe54LLcOOq_7OoqcRFb;u5?nzm)QQ1jb~1nz|1x3 zkdw7(=B*!j`-Ww1OwP`t?Mut+iQ%E;PanY_QyBD%zE`K1e;l333^w=j;$$Jp;<0}NAn;cKXO{C}$!N(81*(b;sLxOP44FK&M5E0XBj4jIc#%Z8ND ziv6}j&#VbuH{r0|5h$owb2z)T{{Ig;e*U!fHojZvlIyU2c^S4?tNU@%ck^|2%xWq( z-6%^rugCqle2HuhAUKfT(~u%_pa@AfDKKiBBs2WmX1k|@b1o->9rqF>JP76 z(YKk_(3)kmJnX`^Qr|dqiaz9bd5*1Oxh~Z%A{*m6t5c5QjrR&vkn+%rBl+X$bolf7 zNb8OwaQEN0H;s+(!HAkX5q}CmTAAz%2ou37GtfUSdFN(c*Z_BX_I{Z4R+12K-3sX; zk@HnM0p?O8ET`)m;C@O|AQtu^3f>q$R*+zW%l*_8!VfLz%8yMYgcwZgk+k{@Fa33s zM144`GL=V@%!{23yxpqH@VKpDYCsiTlh|RcM4PJ$ihLn?GWkPFIkLMK33xmzWexo` zilVv^Mrizzk5hGPkbMTn7p5MrlK%O)jV~k|pPp(I)u7r*p9RL@R+S?7vQUdJ^6QS12aL=oq)7+~GMR!a2;;98$RbsU-foH~z-5 z`llIJLMT|Iy}dn$u+1e^lAhE(jcN(Q1vZxDdD$bl=_o(JiIZQ%tqz${eSDGqiGK-& zW(^c9WjP2vfS2tV;Q7+%T@CR9N*dz&Y8t9>CyPR2!a{@H_a8}D|8|lK8g!iX`uW6ozmD@ns!rGa`IA@7djHsVad9v= zXI;Z@wYpa$;q6>sTZ!4KFJC4(IF=aN`}uUG%_+9ioEvOpXz`kT>7Hfy3xpw8rk?>l zo3Ob>(wE0gieKY*J9DKxug|YgQOdcoL@lL{`|o5Eue}^z2Ln}^H=z*XD}4xGKeQN8 zBA{bZ1cfQckbMtjdCvIsKVhx27Zj%U5?erV2?F$2}x z5#|fck2Gxk*#&zq~jbUY7ha<`+X`-R7fJ+9AR zUMD&~k2D~Uf#;dOOreur^b zfJd49KlX#^@&Zuc;?vNU(?pUn2w$E?cdUr_|1N_5Ya2w{@_Od8jtMbdSp-=D5d>E5x!(tPL#0VrNBCEfeDLyo*LX=@2#c(``BJ=Nk{g zB$6NGS3eFeEWsJG-GSrQK#5NdEK9&ZlS_bw+Kh-bBf9$4g|IJ!FM7M~3XQ~p#H5&1 zC{iu}BNJ|V{qy6tnuP=rif*I*V`m6~J|w2SHGgoh1Ug)e(IM}y$yCycMN>sn?k_TO zd_Dbv+YR2c35Lm{PoRHVXSdAc(`}GT{i&uH5;;!be!FO{Zb&$!F#m z*Cn{Y6FodTj;@qY)xP}KIc>AETmh=#m`a2$%CWa$PAeE}kWXrk`DI|uRf$l_-DC(4 znVx7n=-#OI8jbEo!T?7Y7D{psB@O)TJmvT3YmFsI-}mXe*Lo^7Eeu&=5RVgzi`6MC z@liM4E8if$N$FHeYz=ORiiha9hjWCRY40`_Asv^{?WdY@`(R|F_Y#TgBTeY{`WnVY ziV)J|a}1iLq4+R__Elnsn*b3^n3m!Sp|F~_*p_`sEUdBh#9$GHxEw+NQ3%eep1P%p z6flI38u>u`Q8HPYru6Z{Y8q7X9B`z`va30uP#Oh7%j{rLUVmSU^4~=jpXnbj@=21=$D9)=9se`GEd;ojU|K3e88Fcvt69{8R_NdJ65FGb3xBk6)Z>alRuRdm#=}dH+!MWiu+CP#?rlUz zQrUcQgS#aI`Dfap#{GOVzdb~ijC=y@4Vb^zwuHhmSR>yKP5pEN0}$;NyPt~jNd>(x zceK6FMC}cjW_PUxYC7NOxa#cdjl6ug?f;WU@+-8Cj?C*mcim3QathJ1x!Uui!wPx_ zlM`zBHaF(~8$mK50OKa8qVh3oYVz4B5&%%He9(ycFv~zXoLS~g`y6RW4f{=>AIlm_ zbQdSGd(5aT9TbnIy@?@H%@V`0SuudEC9nFWhDiw3st_eNoxXEx7Br|K$BikuI-jIvqG4XQL9TY2HNrn8vS^ za^x_SD(IHK)Fg}G<^5Q@dBBEs;z1463H7t$xs^ZU0-$#^hiI~W*;iX^Z)by&`{9=r z8F;GNB&1*|WJY2r0JR@-dn@J+NPUmWeG{EoU~{oxBBZ3C$K(6%*39vP1z#;CL!Keg zrmN7mWDL!PN=hUEAk%)@X+LX|G7F!kB=JD+`pMGfZ z+T2XsO2lD=Edob=Q#IPJ(QJ`0Y&<+Z;$Pn+8!o%8QnVHnU0W}xGu!Aixg8b!1Yifx zh(D_#z{n3PesRAKf10OWsK40Fo!0TP_&uqYjTH)K_^LG6i-tB}(dsp!#!bc2biPIj z(2KyJ2b3|GH42?}6ff>(H$SVc^XQ#U5gYHevlB{)OZ}F>%f&v=w};@tov)7;EvNPe zO$;3!S+TP3MabPwqapdMY}R&aaiB5f_OR2 z(<>c^+U_zh&4f`D|MfD>XX4Qk$0?}ikniWLv<^I@laxQd3Zqe0 zm%E4dNOneMJ4v+#CA0g(30-EsDMc`p>;>RQiVms)!UX?(a@lM7sMx(!E-*RS8#&G$ zquWG<^$Mguln+JVw_T~sbu2XoJqfxokiB{g{&b6`pX%%u?qPKVdzs^r-#jn+HD2st=8RqxmcmBiYM zKV?f1qrGCkgA)C3&(JDLkh;!X>#L`jQR1A0;M}<$9PTABSNGZH8IQS z-rEPL@?lH64i9N=v*3429|(|$OXtTZiQAvi^>3}TyO{ksb~l#pO#DPAi`LzcD)rsQ z`5qP$npz{fJv7fAj;Le=`DRZ??lg@VN%8y8Fbx*g!Er1Bdv;o zLmcE|%A?+%Nsjex{1z1udH8f0+k!m6&vN=uz-byq(co$GIBlclx!1M*g>_+Oc5ZRT z9qm>_Q@@gJY`E>5%Yv_nVN#@SK+1 zMa9PK2x<5D*>D!tPl<4Gh72!Ng4N9P1vv5IYJ0Jq4?1@jy_zc)ReAL?Y1k1X8j1GS zUks6o2_Kf-?oT|B0Z<^o^Tizq$V6jA^PJDO%cK-;Bt1a{=@D>N2RJdRkN4UG25_@a z?fL74Wl5EFy`P+*U|Ltgnv>rgVy#QmQm>RIUr7VZg&I^c$q(9+YE(L} z)fj~&r;$hfX=s`u<-ziE6#p>hRx{Cnp1DirSz}d)ns(=eV~f4~mjX)8Y@yt+To)$L>WT{fTKKE) zV&qH~3zO3fabYP;b|R$lkJ|9Y!vomSRUTtN0IV(A=e*r2ZF-Q;eIvPO+sK}C%$MU;W)7aXx=9hYvp&w5d zi}s2N{k^*gSA@pbPD}Dom+b&Ji3nI!82l<2^=r)e!-YX=Qk3A$M#`mA)%d}Z+IQmu z>QE+R0JnJlJjmkrlCis{#>8&ZCI3iIk!%h+}Z(n! z)nZzysbx53M=KWdyUY-&F1cQ8$ za_mSS>Vr;ypSlg}t19SC%8ewMlCiRiDq^Pn!0a{CCVT~T*@k?5rAS}`LZZn18bMu; zd77nA$EbwIah6Yg9cJ1`LXb?QGfU*B5D>ZAOo(|JhK6(X7m5cYCu_&^$gTScT6fLQ z6QO-6d-wWa<8$rC?-Dm99Wgo?TQLdcz|NqK&Gc}H0(3`!E5?wV@*9$H1rB~|=r;u_|P8@XqX zwB_hb5?9#1!U)dI5=Iq|6Oy9zp@g+%ZO#{pu3X2*w&?2D#)a5f9Dcx!`+YB1KHIig zed`luxU-c3GU?EetkC1l?UVKOVU$q0EC9sU)?$`sGZ{+b#i?tAWq#}CSrp%F@C%)rbX zE1Pci+wW+H)>AFF9V8jfq)ncthbO;h7mtn zrqyVv_X!j#(uftNpmzp;OC^|m0dQH2+q9hbzoBwp>s@V-6NGg2MR)Lf-|ZL~4b;ar zEH>}QkSfWi$Ec;r?r0p87v_ctf7F5%S8Q^!xnVnE4Y5C6D&Tl^56Gy|c9FmI)2H&E zgW(4m5bclx7OXXmjki_0@QO*4DNE**5<|}IE#mAV)oHomjMK2ucxLC}3_dw>sTh>` z!z&Yw@t&y3MAh2D6e_y0#@NzBZCCFW;sv7^ykzi>_wALwgaOu%Gm=^>y z9nMIxd5@)e(%W=ESDCALt;}wFJK|c>4)Hxi+pir+l%=xXAFmog5W-6?v*@%@!0brR z)0I22{}Uwy6P#K4K0oKQ$^TufM*mV}La40&$#9mTeuFHY;EV-vS1qx*l&I%gHc2Ev3S*Kv+LnFSi`BytjJ*Pi z&XGlo1Md~-Z6+6f_&0I%pmTXM!p2pNyKfe8)#Q$2m-3tM%mg*P3 z7#9UAKk~!gvNql9-4eiaKP=yG{LJuHl(-p)>2_w*N=i33hPF23&kdJqH{A`HvQY>< z%`vl4gsz)X3tjG~SpKDAHUWf9PGprq|L&7zP8xsY7195|%MhcbvE3!FF}gnLD;G{P z;T)t7&vLK((BydOriVS7b#Qryp3@5!i6&Y+OdI5}ASb{yEE>I7y7e)1LSIQ+UYOC~ zb)X*%sykjv-}}P+m4RVL%@~L#>Bu^uEn?Z9UdL(8oSvVnugHDpx!xC1muC``vcIb* z{JJ7PtDXwohdon5frcCq*6Pw$s`!egGvoDXr{1F)bBP$`-g4aCH2f+u?T19ln4J!x z2n7wJlhehzFpOStQ|@rojOTSr67yCOxn=-UyNrLBVAoV(A*eUl=pvf#mKeW)=}NmW*b@vPK0#OBc}&Wox~D;TNJ3e7sVcb<2ZOY$ z$tyof(x59(AU^9A-8n%2g=?-6J$?~`6jom8apY(e`O1=R8A@f;eA0SK{?jYF-m_gn z8&L9xv8yx&B8vCdEP#f2u9R5n^%_3|CZUBmV31Zd#F!hWNV78QzFk8sI)-2*f5$lB zuO#>?)j0%$Df+_>C<#K?@j&LNdU}WNd`S zg3WHH20B{-gA$rO6gR$^gl9DER{o?uAa=7?+#4D2=e7t<*K9mV>9PH*x;p2*n7_`) zZGG^4^#nAZw$p$ASV94m7(xYOjw`jt&zrT9IqOS;*T^0q~<{pcgsvKEgggd+TYhJeH=a=>xv;o#TyA~8}7^Ne}^`~4V1y9ME9OMP!H zu6St~KF#o?{jlM)-i4;#FC(!R&^P9~?Lz^@;{7C@5A{KcKDS@dE{KnGAOx(euUX4S zs~wC^!{nScVp^yLFM5S8?#$Cor5B1VyakZfJFj{EGY~^$OPV*n9hebb50&z(Np^ng zA^DOS+5}mN7>r=Ywv>(_YiA%gnR3%j$Q3<1Ktqs~EqEv<_8qtNc__$B8sSt(;MXJF zIetFp>3GrkEMZPXX`QFtTX`5?6gC#Ek9*to^;;(AM_-fXH8m|bCg3$mh4ht|!%Ri= zh>ZxzOwloZ5~!fBz+Z0pt@p?Y-45Jd-m9vrs#F(h;;&{oOkshPGj=IMX|18}?7M5R zBZORw9nC6gYCYVOw(_?T>+7qfDl}0fT0DA1DcKQfK|8MS4iV>b5u1H2xYtp`c#F$= zhat%jH7_SJ@Ya^T(=lZ>IZhB(Sk?05Ro$_GnvPfb7MYV%qq3b3_b+)h7EbT| zOPh( za>t>BJ~c`uK{^ToF~jeliQ3*O(D$TD{Mu1OMt7)3#NQDWVscy>dyp{%SiV>u0> zer2H)#yMFA!XU8|SiLSF)0-Y5A9J-tz=7u`2L#ijw<9dI%0oyDbNTX3!DqqrRITn} zx+OArc!XlO!AN&lg`fCaz1!OO**)?<5KB~u1iO1fV}sdYD#B8^tneQ+`2Mtbv1qt! z@G4OaOGT-H+G+@lyW1=RrV(=tH+d~KCg}2-1lew%HNz!wh%zG@Bxw0 zP_dly+}4@wFG}wbTJE0`Tsy%pZyV(`63xyLYCnNVbEMX_kWY<#xz4k{JHoIi4NE3Z zN9Baz3;Q33eH1MS`&82q+f$$2-DJZc60ospU6Z|`I;@=s?;D#MEd%HUF6G)@fUP+4 z=T}a1El>Q=Nd6nv_88_{eec8N^RLpvIVOMlYmUwkaFvBsq354(SX!K^DTB6UpnnBCjF@zZnD z-WYTRU1Mev31rGaemQe=xJpAOb?JA!aP z^4tr1PSZ7Z;cMqs(_UU20D955?d+99TYRp+O#SVhKQLlW3_DO4nn5|10cyD=Pir&9b}3`#peNm~CZ`a0=^YIb8sEdq~y25zE%u zvm8sQ96JpKkW4ZoGle@LEV;@{&eg5$L6%Mxb)3Dfyh%{+-LIS$_Bn_rA1w zsvJ#~Fs^kT8`%ghBt5{cIe(~%s6l=iukRP2>&vWZM4F)5pT&U`5H7^e=tSPu;pCk800wv$MNnNgQ= z3e$}LjymV!toV_aavtqD5>1}T$`x!dSbzxbvHHJQTj~vEKe3X1qF2Z+GxeK?_NHWYX4!A5x-lX?lIK!P^=@ZLm=H|U3 zOMolg*?kSUmLbft%g03N#J3OG=^exfkabpP$0YG=rb~b!N!CR~N)yjob}MIUZ+r^; zBcjdvbAp6(!Y0T<&u{VfAKp4qeR&xz?B>@iYR>A{tUdw6QdaDqy_5BlPM{GTBJ=nO z9S&$VQ$L;^)E9;+jZr1PX*2MmzSV3y_1ak7rKAQU?yA|L`2yQNM+p)rpRmMxiXZ61 z@vEy!&6F1N6J;_#n@o?e5#>*{67-PNYm~%z)bA`I>oT*ZU4D`P9p~8g8u{(TnX*n*=}_C|nZh3YZKnt#VPo7Y1Y^F|UmQ3FO$M?!V_YpFXiklgc6(686V%zF~Nq*U}Kajy}WP6dO_%PCQHt> zsE^Y)tQ10y=zuJMeP(ceM_%O5pm&0ftp{4D_atO4t4Ro|mAq;w4wAZMJ3N0Bb(vLt zI_NkSL`w&&fu$7a27&^fyq_Je3;yi&Y-hc6J}$AbN)_;CDtsRh#+*?yIXMu}^GE2f z+vVBgcjc6$7o2GhsPoQ=*zmKlsuov6cUR+)@DC=uX_u3Y`?yE)6b4!(iFtndRinR> znLcpWCfY1|AI_@YF$&b5{vK9un&d#iY#X_5K3_}D{?YO@m{Mm`_CDq7uwbZYNk~8p z3~|jDa4&H*N9p{yEiTUtfb5n&qHUlfZmHKVKZ=SsIV&Z2t&WUgu?an1-PgU4NkNW~p5tjnbxaar25+;*2Oc}X_-$kn+c%jNiFI()PU$@Y4jA}^fsD>*!V zJnc_ue2gmdSh5g^d9hn}EOc|D7`xrrv8tiO_u&Eon!Bus3v{^?dKmobTfJN*tw^Hc ziwza?u+>+269q#8fr(K&Eh1cmg^u(J21c{(u|?z~!R(ihJ&`-!7kvaYLqz~k?NB#$ z=6yN+a)0rnVf?rPiO}d2uTzI0qYA+gq$*ImSoIvX8`5@CTuH`WlHLLs8Ub zWr;8=RF!LRVikQbEMHL6{EIcoo&jV%qW)J+rHGV6%<#*5Uo&}`qT7as_!?t+$cTFK zN7F{Qmx9K2n>&(Nu3uK~yd5PFg8|Y~2-Kg+pBDHLLn2+29glyJ15@Ycn!Jgy z5io_Vt#vWO?(WN4mN2pb0I*)t_Pk#lK0exdmPYJ;b$E5U+XJgnC>fm^$}&9~}@W1eht zIAgm*N~kJD7J%OV#ze!Lz%dQi@&R+U$eG#I+jB`1)9s?5cijVnU!KpOuZC5e18thm z)d@dTPYr!*6hD+u9GvC+HeS|rSMq!|p79XW;+dVsg;t=gt(}ql(ZoT<4sJi7-m|Z{ zxI1;=V3@bOAK#qP@Eh6i8_r&p6Td3x-GQkK2%R0vU7t;OK+AZmSH!@W->ZWGMuwqq z=>~7uRmq;+JF=>{K9GL7PH3_#FaDk&5Ga;cE7Ta+`)8K(@}&YcwtRxRsw6Mhp+mIz z>k$L#&0ND2iJdJg45qh!fAd5!HfThQaS4P^Kp}SG`VKl_Ym24FGTFpKv<%^M`7$;F zPiaV1NKqY!-j zsU{B|+no8USfp+HR)IY8)c_`3*jvcs&{_2AHtJNwv-3GHJ8c*mDZrQ=jw))yio{SN zT?7<3Eb)>+UP(`{u6=I?9O;;H*IP#VKZSu1 z%m2cM$P=NXn{Bh4sQq$n-C#nJiJ2NX#`QW!oWCX36=>b|Ih+!Q6qz{Tw1xxQBsQ;p zFDghubs9%#;zK$E5n-`*zqNDc((l95tXj^=72sd z)S94G#^?`ZqE|hVfkAn&YvbF(|9>&PL6(ny6%sspSMhB-ftVNoNkKSrcCO|;Esp^R zG+unv;>+Y#hqaAl_QZY&G;Ld5fjB|j@+-})US`cM?)?-IEI-a<)gc36LcWbKlgpxVUqNaN56Z zbr>0LtZyi3NT)%InDc1iL*S2Z*;W6Q%T-7NRmWb_UmoWB$8C(Qc07xJvn|J5oM;A2{FCFJ>iMr3#RUP2l4cg93!;;B6ZW2bsFQGoSG>w z16bF2aN5x!l%`8DiWUP-t4U1neW^rXp9m|bvX0Z-DX5FB|13%vwO7|4Xc^{>cYE+b z++DodNM`z{gBIf^C=8%5q=sOW6Pg4q{D80hn!EBQf3`J62>RKNWm=9M#<=nZ^e=ly zJ4($oaLt=H>CvM3&w;~^&o4s1B#&RYCHu2}f~FI1{gfxOvGe@Xve=(pKcpij<%3)< zfk})E!D9dE%uO_DGcmVot(z>y=+vycRY)8Vf#TO%8h)>hTWGZNc0jfxynLPJMOX4* zv6wm0Tk%8GQr(Q$8Kcg>2Y7#9gYdp%ya4Upf7v{4b_zfDwUxUGSf>+^IgvyH=c1&N z$mhpFuMX5(IkTW8T){Qi$`_P)<`GM{sJpx4LAaFa4<~;8_^@k!io6=PMTWt2cvb5` z8Zso;k=?{u!ec?lc{Lch%wW`Z0EQpqE0d0VU*dH0YGWx_=5>i=aW{#_-}~fesr9gl zr8xrNdXj%MyV(SU@kxO6%KIWrF8!~qfm-Y4=SK0}9-&=fLfTG!t$_RW-c~6vO_mQM zHeGQq8w(JdV@(PcU(JTU@TQ(xS$!MuyvdoMhQ%0y%rsU#0DpHm@&yHwhddxQxfih% zDc3kZSRl3^4Tl1o{U%jT`(Dnj_v4F)=~b_I>Kkgt%s+5o^g$8AYSRxN>TGmc)Gt2g zc7jhIFAr0^9|?T(7kRCc)zwJR>Dj^%D_l84-f`fhtmX7xRhTsdI9x&nk%)}c3($y^~`RiLY z`%{pf@D!J2fV;{ zDM99ttui`F%`XcivH$PJF)p=vp}|M}s{_rNDW9m{q}?T#C0>F9NQN_f*$mR6TVt9j zMHC7jHQk1nKF87rb5UFbAz*tN#1dlL{ES^|pDp9F8Ug~aOovnX-I~hZhOgC+x;xGFiZxz4n^CV3Y6dIjD~!`u&PA2~3?7c=GKd8{(r@Tq%QBxLzkWDrMIn zyw5GWGg}&O2Mi^jH-gHJe7)`?zkZ(EyX5AsOFiBAs)f~WJ34k^HW2wywSyKYYC1Bd7>yx zHZZQmVFa1Gy8p-?)=1%wH}=mZCrf40K_(Kmc6BdWws1~0>&$l`(?^GZ5_3d@*csF; z28YHNm8W1IM{Z6{>v&nOS9?fox_CLtX9szIggIMld0sz8JzWGX>jWdPQUin@@0^dj z;cqbnQ?cJyO4F7@@%3{d!KqTOEXmpUU%0Q6=@a>*V8)L{xK%CBFYO-HBKG5rX*h@t zLM(?5hE=*IqcVif0zffi(#8oE)f0=#nq{^n8=2bI^>+JidbRx6W8fwG{BL?#tAW7l zNkNeF>+$>Nw=|s#RA6bN(PFAUn>5VEHN##&eU3ySiv;E%8!-JeRyrFV5YQKWb z#ohT5ZCT*{u7De<$+hfxJwxYfRsiWEu1+`tD1-t-VxZL0X7;+9s>OwY66E6;&-l7h;Wz+;!HJagMg4TwKj*~h;u;_BcSBV7?oC1u z)Ab-^VX?VFaCuABqV&lo*5{Ai)1^ycu%Xn{L&>(9`NsrI>c){PqvJ9aVkJ=+^ef-s z7FHDH)ahw_&C}5l4bJ4jz{DnVMx8|sNrsn`tMPjv0{DUTBR@`Z(tP^3H^qhZ(qG@%*4tX4<>Y34u-?g8;Q69MpKa0Qw9EB$ zbuugku`;jbNm*#FyPburmZwA(Q47I?B0inY6-DyoK6p{E)%3e&Om z%L(4|*PWb=n~Kb2X=^Y2`jt|MvUQMLHOMn?e$kgZta0ftzM39%r*E$kEG#kW86R5w zH#`dc;6EW5UyR%LZOa+YP*Je{XkYkTb2r!LUkODo8wJ_2Xsjk;MSfj6Iju_t`CMsL z0<;18JK7bEaLRxR);?j&37?u$mQW2v0+{|U3H`&~m<~CudfU4bQBpDY3>QZ_M2Bmn z>+^IKi(@TAi6LW+!li~JI2@;m5DLqQdwsIw15!)TL+o-LPRV5y5~vu&6#;s579BmM zrT{4#niXMEBBa8IK_BjG=Q{h8Aeo=RqKe8aahVWERso^mR6RYzIiFLz>?#+t z)gcY|R6vCDuQv zL%&70X!51>2=&#Nmqt-tTx2QOCexFJX zX8*ja$fhK$pO%N@n4SiIXKMix(Ydvyx z;1wab-TK-jKQFEluA0cwaYc?>+Xw z()rLJn^sfxFB9|ZS;aZE6bwCzAihQG79n)M=-}!p=z#!TR!xBE8>n(f`4lE3pvx64 zo(wlSjRf>s;>2G}=3@_32aH@2VC3vP&3nJ{1TWlb`_z?PIVE9^bX>*qo<1mwi^SxQ z8y_EH8p(d$-U+{+;!DwltKn)YjDy=kIux=6?pHUP&$ATdJ$`+6Xp_hH0=9=V$>dXJ@Bc)Lw;6 zA*ylh_0YvaSDzm7y54tt6FJa2J3=Jec!}CSpNiYtlZv_oR=fYF*^8+6A`Z`Ej)3rO zHShv%(U=GX{JsrHs^Xev_!T#*LA%Q^(v2Q=jhL-6xF&ClQzZ%SXEGq{GAwL?=DaQS zwyXc%L{faT-yd3RP%(7kHHs-D{O(+Ly}O-(8`x+^DD-@}9fe?e{h4h%_AZByhJ7me z(i`qikF?~T`$>~fpOoXCr3zQ2_LDMW$2@1KFK(8F74PIJe3iR`F z&a5cls<2h(1t{M4D1&xkOHp1QzXlZ{jNR!x`tf~dK8|qg^~U}w%s6yco5%LqsdLG# zFZnX)g7Bu=hSQaY(W%*hZ&fuTcV~l^)Wbu`1hgTx!50slJxfm~Zr*P!d%k7Kn;TJq zWjMiT?d4uk#jivZxxTosI3vv>w%Va8m+}F*{aF%N0Duf6r5B?4d<}v}n*Y#~(Op>l z>u7X`=!?O`i-To*#}+CWK!0bl?Jv@Yy4JvDO(DGwqQ3mK01_1=y^+kWfd zv>`jpM!VtZ-(grue%gzl*T>5af;L~B=h6z?;0f8pw^=)4V6`NWLMMhQ6Bk5}a4C^$ zg~u9|=r{3IynawzLjMFrz8oUjP)%Ph;cfT@v30v}Ddw$r0d!I$*8U}62X7E0=@p;OwpXRq}l zYGSZT6PyBIVRcT=SA)2S-M(C^nwfx;$0@u&F*3bCSFP|dB}4c2pbr7|cNdFvU z<+_ii%U8MA|Ge#e<6-Ik7^Ew72gj1^-@M;{yiDE+L5$J8FEa!^cX^&x_z(z-PHJwF z<1$xtFgo*P;w5A+&PN$yWX{b{sX@xDi-a1$CXdL??V1XpJ)gID>YX2CJcesRfmHTBgL4FM}+Qj3Pm%R+oi4O%^$*70K_FW2#;(YkMjzc1TDS0OYaDo ze2WTq7OsPp|HdzKM64$Yh5$g=S?vTmd3=ABjtxXSNa*3(MVFDwwO5m-F5?EUkO%QBgVRu}CG}t|D7>@sa`uXAb*a_`CXtM7$|Mh|$>dt3ZOUK;AYqr#n;eF96QrIvl z8OF0A>K*AgHVR#`x=&G}&0;sJy*#v%b3SK+5CHJAbuur#dmJwFdKkwoMG)Kj?eKHq z7hAG6P6%M(y#(oF;RkN5LJ%8`;VMgeG zb}9dzb!-`iaYokKg=2&B+grITIqH!DI!9 z@CthSz{16__o2+)rHyA1%NA%}4;&c2lsZ$6otCx6dUu z;{~^$fN0}Q&Of-?Jg)R)$5n=sdCSi`RqK+bQF4PS&y}_pjg6Z)ekinlCwr?6n#}Wc zQ>r}gSUTIuWf1nPhu`$b?h0-=kw(vY|sPy{kFeqgRnSZmeyc5X6T^ z0SQ}P1s{bFB{q!5&)00^H)^nDV?_aI0OX?md3&1}ur=k>Q2$v|@-Rc2FGA{ijOJIa zgYR--C8~@4)r`Oh3z$#2nuz)KhL~75v&GW#lmcu~qMPuEdxmj*1jN&*JXg}_=YxTi zG2mQRb*KaY5A2xgz>&?>%b>{Sr$bI4S_6>phMZex+KX`~_*YDz5KN{V7A4xq_Gp#~ z-M@de!{3R=X!Bug;wY{HGh52o^Wbf-ZM}vq9eTz)4(z_koHV-5#R(hh^&fH>YEnBT zjq^61&E!s7GE)kf{O*Gd#6i&wEg$@vokeCj=aj+Ux?V+bQS8jR>!U!xK8`~gns%r% zjK}cnW<@76#msyLexUqHX=PSXbVWwN@d7F4Tp>SlRSOp8yh#L2r<0-pql`wcvS1~Ntx5VVO|CY7 z6tI-4G;PF0^eA|Vae*au02Igal0qYiL`o2TyR!7yI5;#hw%U>ARFaV}lpqQ|b3Rk| zMsK^sC7MM?ip`3Nl+E0)BuUr#RUm$>)$sH|$a~#-nzJirII4QGVAdP}>7tbB6G~jt z<6v7K7TeABZ;n_v*EctenMZPUVSWc*nV}>hN4xYuVpa2f7v?NKlnPvJtRq3h6?8UO zAgJB$moV1p7w^mm63a#r>0l zt}RgAGfGVy8xQk8-t2O|@jfexqAva(AVO~zhXW^ctJY}Q>pkUutDZT!8k?Y9?S65Tqz;AALuWtq1Qp%~hXN(NiyWhQ@XU<>muzyW1JTBvu_uQ=T3QkZ=A>N&>8MVAO=pbCQrd7^k7~_bv z8P1@KQ6d$r4Dh=-9npJvKCpmg9G8}d4xl%%KzxL`&Fq|Hyc{XZiCOLq7lgp@WTbLB8x%#whJMbU3;pxy=P4H}8$Y+PEZ`l92`pvdN*x#g~hs)L0xN>Pf>jzpm)E>GO zxooPPR%hpO##;C=qmdZC_leIyF+^2Wi>k z%LRMvTdYewUld=-3QmkI)-n70{Z%>g=*-Izb>CN^MLlBU6mD+T@49G#^SLBXF%w?Ci%lVz%ixh6={L z2G}Zxj8g>jp}rb25dmOIu(5dfpXGNuJE#uC*Q~GGrKjD3>#-y>BIeOyjMQkt^Er06 zzxqI8Ddx-v{(tq}ow%`pBa9~g#6DeKy?3Alh}A6*Ul|Hw^Z|J0hTAjWznsK!)^={b z?fz95dG{yZ_q*2-;=gs%w?jii--lGmxAZes>(3qCxi5GASIehy`WaRPkaFVdxhrQ% zFC=@wR={HU?!cMIU~OS`UUa6s5TG2Q?G0xVgZbZG(%#q*ZNIjxT?K{>u-UIP_u7 zg%`gFFzU9p3@VCADwGGCQL`%@fJz})b9osmjVz|}l{&3(lW6Rv9%7fDWdf=zV2k;T zmiW27_txlvL$ba}uZC&UhBuzT{F?-}k+{>bIAKEa;2^i}#Z2?R)K$>8Rd?07tgOT9 z$Lh&phMK07zarz|68Gc_e5I{)% zIDsKs97E$T0`ZM&-GMG{zWgT$Kufhm<6a$|XeH>FLNE4K=lzSAM4~eCcLj zYgs?LcrB9iJhGz~6x8iar=+{+qwcfS2ohjwzb;MLU({|17oME8ujS$1P{k-fQUQ!d z4T$ru8f>=R6zn}Rq(n%40G1B8s)_?f41HgIFPyGbD^G10xc7Qq9dePKmLJ$C=L-1R zvac>%O|o)juXxk`F`p}B^yayW@*wv*&jdD%|itzZ{$fVEANeSG9S3gB~(N5Plvj`cj-ae{;9toer=yu!h7^ zqlrN@*lB_yKvAJER11FyB;oG`;g)88~_fR|D9~-Dz|Pw{S$q=(k3Ci zL89k%{cSxy$pZVo&gS)V^|JSKZ29Ya|7ZK9mh=$Uikz4$4}s1EHw0Viom4=~uPD~k zBr)ElPmz^Tibmb$BdC&d25izQfg2}v=6|SE0McgLSLFmPNGvr|%@*$k{knRRZ}UZ6 zw(Y~~e6Isl%1r$CUJsXN-|eLhKd(cCmfD&ZHith~5YOFZtAFHM+xT3;EVWe_J7Inf zrjAt~5!6=xF51~W)J$(mSA_suq&+W9k0_7NarZr%(r)-Y&5Y#Nth$|Kv*y*s67#_CEH# z1LCNHKbY(C?McCSvv)ibS8T|jpqbQd`MFO>h$uSWJ+QDOsz)L3fRkb3Y5O7|EZk$Q ztNuhbdcqVEWN$!#6vxWepWlUUBOty2!avYhc{xWNxB_PjV!*l4-m8n=V)BRHHQ~83 z=Xln+&om(CRyyB^&{8?>c-EotEc9$Wg+V;Mce5Y;KO}LvQG`QR#inaJF%cp1BN(!Q z#Jj<%8@CA(L%{wryhHnHx}33S(6rSjfEb@CavzUvgK%p_u|S<28WD)b``Ys4RTv&8 zwNVX2?I3@l3AvB-uKCu!4J&8@`kk85 z)S|wSEV+bb-H{%r(m-&`zo2`%yHKjs$Qbn-4BJ<2#i`jKEkL`ooue#`i)?iL=JaxRy<)+I1!1px-w6m|8-^!2>PBKj zATli~N!i(+nCQ9&e;B0~uu)Ky@Phu54ULMo;W&=;Bjoej#o0xab-Gq`gg-kCB+!eFquehy+tM03ehwT6+XR zn)L-$QOE>zFIicn-RY>s;x;eW6csWRGfZR(j%D_BX!So?=`IczD6~Gc-}9Tk>Ff-C z%^KlCK9u$}HTSgPeZOI7ifR#DPxI#o-S-*oz)lZW&yMc>Kq*GO?xrlu>WPP&trTvwz#RSGz#pUz&F=20{_Cl`IozbQGfj zg)$%bOFPvkJ^+lEkq5%8<_G9$;RY`WdOeS(dal;iwwK>l-!9&&fKCDKqOV`%Rqam_ z+=4>)B(f>cY;GQHahW|Fuin)f^tN_3m2x4_5Th-K_Fn%vZ-6PZ-R#%bzpNjI1;!<> z?1x-q;>Q= z!*NmouoAMW=6ha`lgS%Fbb;psW7+wBja5raKF9#X^USL~=t^v8DHX5}Y~&5=$IfYB zhx^}lJLKzH+5phOh?c;_AK)aDj78!b_1MSo{4b5VqH`hRfPz#Lv%nlVAcO)~9H9dp zoAjo!Npv9$p`nJn8%=eN`wnlAx|7QQ6xS^!d-(ArwjBzsUFh(+_%-Zw{%BK2SQ-OB zVX8ZQop9Kg@ANzy+RA@E;8pA-O9BOmNMgay=7x#S1so{dy{ki@0^_4VM95`Obx zC6h)h^E6@Mo%r7=Lto-Qzq*a{1io3(f&-8=U@ngF!+vH0Lhig~gh3oWc;!*CKRKE~ zsDqryD&{bx-5+ZVTEfM0EDjDJht=2*COQC95ZWiATP0G{ic}O|D?43F=?2J1+;Z|& zg|H-@0UVntYSQIs^G;Tib6}<2@jV5hiIAN*QiTnFWe_lcq`$8(-mm>~OHD%Dy8TSY z&D~VVHiDc2CD6_5e7F;q&JS)HWh1fozq`5Jw%scy(5_q8=Xbduz01oZ6u&FiHDYnZWm zr`49;Hd)7jYI!Z_EY+195KxI5sS=|`viWdpm5umzy17Q`vY!7o`}b{PEc}($`(<*y zHm?Rr_kQ-R(RokEXRi}_p=-Y}2_OMH!b*Q4&p&_Ju;OmME;JeXUyS!YA88I72!8Eo zH5w2JAj6S5ew%h?||O#YIWLSg>V z2S=^vjbI80HZZ7&q5uF7Us)B8ThOo@jdjnMQMc(zgbzR*pe7dzW2(~CaR?_3G3(xq zQ3MC`of8w`(ZR#tqK(!7U5)iAH7K0y#fgx!Rcrwkf6$9)sN?7`w85TVA`%=g3K@nYR;IH=}j$W9`b-K}^ejSFaX4c*Rl?>R0<6s9SGzF2t2d|yo zBGz?ZbMw?Pwr;YimJAfo9T%+DjvQv!^|-v=uQijv`n+E#rN`0YidAgz>iLY%mdgh; zNQ&q8;gV)FJ;<;s*Sr7}(g3+WYC4Pz1Yix0vCjj^l*sGS0qnQQcMo6hn-eOC;LE2> zD}mq?(8o?Y5^|3*b$hx#Y{d2wV?60j(nS1BxRK6~x_WnYas1_Ht+AsXJv_RnNX>k? zc6!tMx5MEd3O_c!+3&5ccg+|0-z}WP#@ef{=(ph@JWh7!p4e?#&PfvnWFnmZL8%_z zlQp+3VNZ?)O$H;KadBW%L?d%RC_2`LK0}+^Jr9P#(Q2Jl7V%bbP{{nvCGc%9H z+7RpL-tZDwT6$g19eek-ue@uq_d9YAl+v&rD}!LvE&U-28?ZrY8zNq7V1w)df0JE} zDe`u0-;`@6sm>7}HKt0YF8~5yb_A2Op87mrAZ>a)4Atcd-c492X-?^(CVUGO-$n@g zmQ#yBTwr)vu<6Xgh|4h82d`=tBHd*|Ee2_iwiEFUwB<(Ptu?&ZpHZ;T3OEk)(kwxe7$$+UAN=mg&+)hH5p7 zu&<71{1*P_qcv6dgazy+%PYec=KAyW5TWM|+53lQoT9Sd72#DnoYD|;tur!8AOi?u zRLcSZAOoe~rYqo)_6U@OJ#JV2rCz0rIH?FwzFU5t`!Z8Gr=3@T7EHmB z-CXW{5J`1nk?$Koi1%mzLh@kvaj2R=?C`Iw5~+xr3*8669AVQMzD2pCJXj5=DHRY= z06?<@0L8>(1Lif1Q%Y+6J_Z{L|MU8orl}^lt%6EREJOM0IQ+dW`oczzwFL>@`Wncs z;%JfW7KR^27UWISmZ-rb&lC2BUd)8rV6QL8yj&5mV#>TYS^S<%3Tgj##9%wYLYiUU z9A35U<*K{>g-oe=H_8a6iRG0kdWW>zky{#=L3xMt?~v_!rHAeM<3W2s==SX8Ds_mP|aDCBkc`Vc~$qZ}<6|V=MN=yY9lH z8tv%C!EDY~hlhd=U7JTO*co*{Du%aMLJX2xDqXkMVxQs_fnxLP30sYOvg^0jQ}ch2%HME!a+Ix*%MFS!O6z$vnOsXM{IO502NJkEy>B%IB%j`wxRxW89NiV zFF-U4jYa>rWl5!%sn_l1Y;BJCsd0(Lu+PVcfX!dpPea)WLIA*|xCuVew7cGD5sln^ zDQM#4il1z)?&-U)1P;1TA_6#=YogdvGtI<042B56K&k4`!h=vtL3uRcQ|ONACK?-= zBqIV+?&qFDGNm;qENgW7g>Ml><=MCP>{p5 zltgVOhXKbK!N<}m1>vONev*}Ie8ZMS2dN6mCmrRlt$prf6I|?W*NSw_^LG`-4;^!` zwLP{BU4`rPGL;_iDfjho=W}Ad`Ka~zZ0&GAnWXw#C}FZ zJLf!+*1)@T7R};ZuQt7~EbROe{x&8l%5)qkV|GW2GqV(}xO9L@9~+`o>(%t>{>!Rf zQHFW#P&-v{|M`y*6059|k4G~PCv@zNYbXE8uugMgdUto4m9bU>Dipb(I0_Cj$fZ(1 zrPX&YTc>ZY+N`aOwjHh1AR`Qwpb%JJGQIAbug__cJN)so`H7bMR^QxWj~6lETirJS z4!l~%NMm>3zg?eps$UGs^%n3_UsO^>6iqZS7PK7nyP|&iU$5`YxV_H69{%{#SlC5Z z`!696S^s+?_u@DeRKH)x&9ZI}ov1w#eN&!#+g~*lbv;COUWu`sxGA$h0@w(4zSnQh zD0yWpKa~W)`8}-1``^rz3T56@zN<>j3d&r`es9p`=F5C#?`hZiE!=9s@9jCXc_I}@ zHZ6{nZ$)V}_)|-Z43s(`t#o%3AoSyavs{wtQY)?Hvv%E=cE2mF@_Bo#&&h0NWl)AP zR0b$&gi#qKqL?}k)PC0(9$S7$hYAZ7s7a}1zCK-t{mt$4C8p*U&;kN^8Q zbGTteUc|852rEfp!aw+O@%J>#9e|B=+N_pm$ zXYl3opP>gmUM=_%-q~cr@Ryr8*V)N_afKwVvV$(7Ird%k+!j+RCTmF~k)MyjTbnP( z|0Y|>^>VT{4jn$uaXc<=bg#chFE5J&{H|bW5@MfyN5E=Vb3&dYzyf)~^FT%|fPPZ! zBeI-*UJ5Zh+e2So3NwH5yi+6zB+8Bpo*T(jQzXX0=6P$RE0n?Gh;{uLM;2IVQZp)t zf|h%yRJ_St4Yz)4vuFR64p5MM@s-!_dh7DQLFjsPpmwM@Uc|$U0eMY_+)~3aYY(F> z_BcV#)H+V|^M=>4v2)&;zvb=q0Rqx@{(uR{>-NpqKi~TuDp{qRW3h#&C+F65hZ>TW z*Jp=KpY2yk(fh4Hi=Ia*!?3rldF6!#%!rQKD9n=c>n8QwWl7;rtY{NxnE(pTi!#7( z`_J#Yw~Z|RE=`xxk_*BEFois}A1%3D_bpk&K(;XmPr*r`R(-__*edo$A4#^9l`r=?UAk3VUtQKeRbvw)l{N4 zrMUMv0RVu7Rlv_aZD}MoNed~07X2DO&f&#L1cNv^o3JXmLXrh6)3m9>B66td*{UcTQ^q_YZKd>p?8fCZPDpj8ph!o4+tI$yZA27;a8=NjJ(Z0s>h-tmgBBZaW{^ zm@zb9j(ZUMu)sp1J{SI1l%QlbE~3K1a47&91Ox$Re2OUu2tW~ZWmXAS$x6evmI;?L zLJTk|3+u!9jdst%aoEckF`BflqiIE3_rajeiR3fOnbPJOy}F#@l6zd`-W{vGvMZ+7 z<~osFnmgp1TL+T;MnQDG&-k#XlgnWryM*=vRag+1k^_qmo(r9_#Hy#ooZ$5|S72~? z$P)#hTi|S!xwq5z>df!?Zc5b9eb%MJ9X#5{Gz=)%A+y3ofY`RKXlfEjW0{b;`)5UR z$#{#KL^K@eu}NaFb5c8@kPP;|T*T{V<#eA--zc77Qj7_jurHtnSZ{+r*Ori`cIx@Y z589Wlezak8i}{F3nL@dM@Ka7Q#>o5^3YI*Y9O;mn(hRjZzS~DUThr?CE2Z-AGSopU z{9HP$Q_bk-G+Vc1D+@&Qmo8bl>MVKHLMCpSTA!ZOY~5bMmX*v;=GGBHOcGgBHyuc( zHS>eze&)W#&X)i{*WEM{EbQ_eZ@F}!cvA+Q)#^0V7%JGLS=Tj(NEL7?R_Eptnmc;6 zWaFiac%1cC?3^65+p~Z_6j3r3C}sQ)obr!5;B7hoW!a2Xp`P)g^p3?rTUSo#-_OYc zzrVrN`89Q43}N|<-7Jv9DaNMn+rZTxYxm7-`{Je-K}w#__JLAX3V^l8{X(0y`)obs z?gU(|+U$M)``FY%=|)kc$K`J@X5Gd{-0@6o?TNaD(sTBE-`1q*Q2Dd(-dCKtm4$!8 z-y4v`qEdq;kS?2Lr#yz$M=-k^v8B|4!9#O%!;O>>laW}* zk-j;V`7BY@g5mF|KuT257s>4JYLp98ON%}czNC3 zetn-mB%sm<%Ui`Euk|POpeGw10U!Ic4B^^c=pI%w^NMQr5*!nrCR>c{elv z`L9bJ2AScrSupA^Sn9;=gP6TZ{|Ez~bDX$|KE`V8^Hw;9-$~_AorurQc%dyt@XhN= zlQOBtb${l9#N&-@TF=AibD%E9ahN#$1X{v(OWKROJm2%mWc3_^S}u`?^XZ%q^1i|= zLLLQIsp0X=%q@9Oj|1_dUza?)JDyQ!)9yH&u^VtF6FZGQBRPu1_wD0+{m2Z65u-z8 zDGXiKO88{5rC+3KZ;Mx#Zz$+vcHtdQR;=@+g+zq&WAb?IU#d7a?%vm$Ya$Bm`N%XqCe8=pkSD=O<`iKflndHBFWT^#xEoAcojgVw~<@EoQRsmMm(@2(2Uz?9JG9H=wz8yWa22MIPK91D7Xh8H>{mSIy4=(G$({!O`mj z(O0!vuah^cy*~eFXps;d-A8Rk2ngbp6l2l+E{EGOMPc-EOg4lW)Yz0$^KY+MyT80c zwcNRF*l-{r70^Fjv?e4tI(>tKW>7^0YEDk>1)*d@X_G9P4R0)T*V-b)iRJEi86>wo zd8f11`8g?#<^x~6)ZMY)&kOqg)Wa&_gpx7hCSa^c5Ht=2NP-21fM*P#3l412t98o* z9*c_!2^pjflGL>}lFsegUsd~RVvNJ#yIh<38E?0jvXjx#f3gM0LXke0;*A9^G)Hm- z>A<5;WX@<*Zf|(DEdG8Jta}l?N9I#ffF&c~ZSzK-v_3H#4vx-2^RVVB#Bv1Vt5ics z_fW+>aVYDezkg#al43UIoqtbBLpJHPGh->h@L^&`$vtXGIS?={91^L6`4VZvLqgZU?h!|Jt)jO20$+&PrC+*Vj)S2wLIYl*IN5 z)$f4&lecaWT5&QXab*17ySTs*3g0Mp;$u;2z@q*Y7PJ^)J28(|0%lZ_htq zfz_|=tGRH4MN8sLZ$qMQ8!zu>V@HvIewVwYhA)Tck<+BKxo*%cxpZ=$okBSIcObSZ zMlL$)Zw_ZUZ+~)5(0)2r*g)N+u!l6r1U1?1?RDUAcyV=-HP8pQ1+b%3Y^w&fME=bh zRL={0Z~pu%yW6BhdqItOWJ5ww2YZiQD@|SP>^@aUYc4KKOufNRY?)1ysj?Zvh9{d7 zAxO6%w&Tk>Xi27CCPKF(*TaQiAC}Y0u8pEMrPX@r-S+AG7 zw`q!nu4#jWWmq#ZMcK4OXux1x#+|Ui-$41|*oipwE9r=szK9yd>-)Cdjav^8oKe%v zcOE$G4{+4rNb66NSOLT`>5QHdA4%slxoZZSEt&?KISv-Dz`OMw9Gtv50*-72^POLh zwkhfx#-@=`h9CtL9063HsyPO~9wk1(U}OA)+uO~IN7-cd@3ku|_7~Q^@Y?L@2^#xS zRWwInhf;iIHnUVgmj<;+n8`GGRsZ86DEDj)sUbw;r=$QmhXL!Q%u;BAe`d=4kY-dL z(HL>*%s2UzW73@5qF20e-D0VaVG+FrGHdQHSX#-=tcquvJU&jV7BnxBJ|n+VE%4v*3HV3SbO#^7aRpVWS$NiA^W@z zOpOS*RyZbu41*6lWFTk4lvUOd(_CI>kz^FZU}@Y4k-f|_FF!aNRQ%g;FC23>fXX5s zJMShL9eHejqSxb29(n@K3?AEY~d>j1;Udq2L4B z(PaK81kutAjrf>EQ4}%9j!i+4sf!DQlL`w<;AXDcK7O%i?7G@`N_VJBn{;34ZqeZ; zj*^1V?kgzdID5{3`b`Kwpyj@kivvgIT%iCesw()EQ)3W=FMk&nOVhjBu~kOeW!w|%+#KqL+U9}Tv(m0-OOnNErHzCtsb598ffR)cdl4!YpSm&j?;?x=0}TJ?DgW~ytcLnMUN#+q1Vw}y4FE=PL{KcJurG2T z>|3x~9@hVjF2a{vNz@A_4(6vaM43=_e_ix{q??7MwElZu{^z>XFzE?90oYbK^->1< zP4K)yqV4c9vDusSHR-+ug(^{(4Q;^b>*LOLrK#+Opu49{C*WLa&+19CzwtT39p9T99A7Udn%94g!eF0Oe=FFP zXIG58ZD{44_c%xBi5Id616GjxNi7uGD>4ywIf6Kqy6J~TEfEE(s!HbZ-H2oZwg3Wz zdR7w~>bZ{pMl~l{VHBzwFK4ynTG-Y+u~XIcP4#^ zaMk4+q$hwotq-sS(UY2HJMDXZZ`d{6yiGc}-}TO!sPEzMuT=Psa7=O_z>>q@OOxe5 zwKhvDG;UmR!mm-c>wfoP!tddFG0)@W=1!wIxifYG@=-vF9+Zs|1VCk|N@9m+EW5gI zeo7}7^%Vlm5pQ(VKdjJt{k!}p`qGVK=)LpXQ0R?wGxPD)G+yNGu=DH-{g;`bVT6*f zfCyB4v~ey8CM>LR^Y{;MO7dO z#WX)OfY3TJu-kMutQW&|J!do)O~pii5eR@WiXm9*?v_BmUt=D$53dFssL~LuYK8#* zy*tqxu$bmqS;5^g=w<9kId3ZunOdIPrib^* zOSCrgkP7-TJRiG~{tCMj!&3>_hTg}A&4gzV#a2|!f*-8VH{MWsn>IZ$@APkF!hV6@ z$7gGXc5HTi(zjfoe>+uPuv2h@w!MA2!vCtr)cI{&Pbe~0 z{by$yqc40>tF^VIb6qKq_N9n;zJ3*xfOSpo%9f1*i%EXHqF06^$2xduDLYG63Z678 zUF)k$pN}R>qOZcksPy%F(G@;s0kLtsm8e0V0=0#$i;*K-$#w~LFDq@Goy+G> z^*elm>2fo3CDZ4d1(ZRO^;4g<@dEnS93=b#b^rPfUu+pxY2j1pl(4hLguzEZ3__Cz zQ>B4WVmL}ZSrqU7AA23`{0uUVRu_PvFeiXo37VCOdajngMGEbSN~DyujH#psWrPfJ zn2}-?G4`N}g)Yz9`WD-#83j7m6RUqUhVVv)(i!=uuTyW=A4j;_t+W4o;`PAOWE$cC zC~ALI#MP{+rloveBf4#Ul$*=54rHM6xSZslVzSmmJ7MxY`w?E(>&&QFuC@)6Vqu~A z{3upyGJBkPNVU$4gHU6tSK(T z#Z2IRw)-|HW`J;C_Te^gpwH1vfn(U%Ej{dfLn)ymdn_O%Xv&s+S{2_GUWv9mMy z)1$2ZI#WBvH8^73JiVCGruE#6C!a6{Cey~{dj5I4IeYy?v|?qA-7Ld?$Ow|iQf|5m z&`C2t>@L?BC#4Oj$Vv%JAk3U#k5UCtY3!kiQwPZdmgpn-Dm4`)*Vc)1{jr05=d^M&Zan$-qH7eia?QXn%tv!(HO$Ap7h+ zR-G=|_Bg0b{xrUVU}+y!qBo#mjS;Zup{8+!lh4 ztN=^^YG^>de?IYI>u7HD^lh1UT%05o)ynqqc|BY(awSe4!M zb@9;O{Qjz0z@yZ-IGl=5Q1#@w-I34IM3C6KNf?3t#8uXlm8odQ>PZBKd5Va}0sRUJ z=#j`DN$#*3fmz%$oFBvhU!i4@K&r(6l1a6*-D&g$&__f$WGIbdLNgKXRUsrRO`(|U zMC-86LV+I~(pKG)d@ofKx{nf$5vw9?O$}Fp!f*(wfE!a7ap< z!D~8)>^$8Mxk(&O92r%0ykXxfTdLO?Wrx1|(f&>zP(%dESRP8 zoy-x_EF!>^tO$q$afVlqbTyaBC?(=V;7XJOM8rrLrm;x0by77tYCu2?{P7LKq!|9! zxkyT68HRc!rSy}~2Tns_zrV?^Y~K=TIk|Aw_Uh082@;Cty`i(U%gei}+S>MI8#cR0 zokt^>WPE;)$1tQ(0k%f$&+HQd+_i^yZ>xTDDnIIbI-Y<33>AI2UEXasnAGO^wsxQV z{`vRisnN^A``6dtCS=5O5OeQ&wK+~1(^2zf`Fr7AK#NRvv^os)JPTmUzL%sWpqgXB zkk#aCNN6ji60zc*;)b_`dtSOAaqy3T_2=fs9o5j>TdE&_&w!fLRc(A0Q2~?Q>D0`- zB{flH>e8B$6_Iq{A;Kd80EoRfFe;J(R7n(ARVC%ha&U%m`TZu>!Qal+)%)MVNoeQ- zX7Alx|IouaRmT)rHq|Fm?XuogeqacxSs#*LV<_1SPYiz1dl@VyZft1>RdpPGJc(L?J z5ZjE6Fs-<%=Fv_rA79qgB+!2r#`0DC-wgImJL}ZSe4)2f`vdlO z6sz?lN5-E9S=60N!q76x>9Mplr8lsy4j;3WJ3MESs+>Xwrdz;OStUcqLsllj9=! z^S@g?VM-LJze6fp-F!af^?R(o8?z)!7c^4-GW@x3MhFjYHUnBYoKFcK_Gr zbS>raQ`;}`pP#-r3s>wBV4i)0bxoYE#;KG$YbVx=;(1mKsztnP9`1VYj@4-29-;|Q zrXSE!H50y|Y6VBgx@EpUzD4+BW9WT+_nzP7^v4k6*rGBi|Ks@prqA`*>(A2A@X7Ju zdCXiP*Y{$GY@*zMjnowhk%@;UGFlp8e1xg95L6FZ#jebjQ?&I3Fup0=Bwx(Fy0lqr zYbp^yA(lu{Q!|5yMrXWUA1zP=CBz^zWMxhkxLP=R57B(A55xrm+H9#k_frbuE4dH!a zYUr0C2pGMBOI!u|uk$S;3({kIASRn!X9A9w|()SJK z9k_8ErNgqlvDQFGombG5;I1W&5X)C(%WoV!+IVz z1-sN@ekh!{RWns@6~qUOTb5PvXx*>B5SBp~DhP8;p%AAijqJHX8i~Cmp{b}w9xOMh zw)Y<`48KdFA!E(M11pR{=o84QShZLZ+e>y!Tmlr1f^+7Y-}Jgu7@E658XF(PlqLjG zjmjhd5`2El5q=%1>o9zJ`GsWKgwAY5#_GBorB$g_9q+txO11X4w4sXRmWZ~>opnQI zI8IG!cz8`JYTJ;lw+gf1sE|g%WU{BN#WNE8;@;;2z0JqrzxLE`mrt9*T*AJN=H@NA z$F|r057S3)*V&sn)`4@d3eAJ7IGC8pz0R+vvw6R17m|uZab*gO5D z{)F7Dj7@_*|Kf%R&!sN*HBOUxaAkZnY1}+`eQWK<57CFofx0fwe?K0gH=n!1<5?%+ z`g~5)Fcg?sH}RdZ6~v_(ro{QNMl%BFrKzinLG@RYw3KQ8CgUK@jgyj?LM7Bj+=+)>LMr}e7$+V{^M34um#uXF&k54IZF?_@DbLP*5Fv21o+|153a5ET~c{A%=V?9kwG zzTN*;IWJNmCtKN`rL&gVgruUV^1S2F8j_?h7!+7^^iKyy58?_aAxb^8v`4A z24JT3Js;N!QP;!kP0~uGB$uR_Y{V$C>NF7+4G|%VisUE-haeSL!b~nf4$x=KL)8TR z#I^_Fr*@0gr@m;IWj+F-D&buH<~@tW4jGK;hm{N@;t1RxpS4B1&)4h7a&j`(p!a1{rk1) zffHJC&-2>5DgAag!3U4uM=UJkzNH4}+G$hFyX(KL?XKO+f`*N-1uBwzAM_%;I}Pi4H%dnW&5so z0yCdKCg=A~=jnnZ=aHe_$H7>QL=egh4b6YaDOo9&c4!76fQBu`7_jBIUm-oxU5}`SyfsBVmHCM7jl1yz5vfTj}U8s!eVD}k(MEg?wkjBZ9N&>ZrIlDcE70YaxJ+ltg1e2#t#Rwv--f z2;oooP&v*JVg(H~Hh6Lnp>?(xaI70ufm2e$1rQs!m{V=iYd zc7JcgrICnnafz0Jkr6@lrf2kn5lc1PlpNR*x@?a7U1t?3YO=&5tK~pnvJV0e;`cl`Lt4%cUf(={$Pi;G8Itip6?U%17jc6`zsxB5tjZ>r2Y z*FU0HnsjL|tbj7YQupRebUE+m48c^c_urFutHo5~KO1mfP9aLo z9d>eU3s)B?K4#C)dTGKn=X+fq@18C!+?c!7U}t7GVkjmvg%B2(#Lc)YmY`j!&YSY<3QcMVk!foW?ztPv-fa+F%03$ZSl0Cb{?{)zs9m{oAn6 zB&6i7no8*dCRG9GIXhy;>oKrG`6tx)a#Xxi&bqv}B!rKz3+HXvD^f=w2waLb zTVlvBB^Dno6+wyydL?+TWVj?s_4qCch*T49qZL1{cXE(=2{t z=P#0mi=}AMmQ;;79&Esg7veZoCtrA}t+k1>rmka%_{hagZJKv2{Qp^hHcU+z$&VvTs8mu)Un6IUB}*#cbFmew=C#Hf^fWZS8s_Kc=@W<5&}AB3tbOQq zISJBQWlup$n=t=+b<4axppg4|s#GiK;Am|9iJ{BQyfb@>l}W+9dZ!eep_M5D(5 ziuG-HpFP*k7bvgG8ewkm3NI}ovu#=_!-=U7o??`xO%Oq3!`PrJ9B%YusWC?9FsPL6VuiAGCfPNW30_4aTT z#|Jhx9tr^KK3bv}f(=#puQDsK(m|Dv)e+P@aq$xH8~KQZy0pQ_QONkfe%=wd!f2C# zX<%?sCMN}a6tQAxW@P!IbSWj~JuM;dBs#x;AK?TH& zG$u6MV7Ql?jn=8Z+-Lx-BD#t)7GML6f}GVb(r;<@M=gC*SAl|45=z5bBo5!_ozGAd z!T_pQ&KTvCjuE%kfa!v4J4i>u-+_{Bc0$oV2#6ToweEgnj$;o4M<4?wkiQaST6hz) z!@+%xKpUNOgpb4qrX(h2&MPQLo8l=j|5VFogO62{iUkBjT0A+GwF{SRYfLyms)y&Z)+RKMXseP?*gbRt6>|o-zQELALatpSP@@TQ!{0Y zw&<$ZgYX9ger6(v4+u;-Bh@!??z;#~wr^Fi9QI!y|7hCufOZUNYxA%eb}yfPXEE$? zJsvHr%=15beu!uJGIkk!vPLU{fM$eII{}b#<*F^MI>N&COox0%o0jWOI=Oj;KDjY0 zG$yZ0hF87bvAX*JD zf+%Mb=j!T_CimBmbw6xX7^$x}j?P}644+9;{Li*z-}d9*3ILYoKkNK%99_S+gO)X{i1lofrxD91|dBZy2mAkaSS#W8;j(j7{yG zkBXdJ@$}z_y8o5%VXL@!tSwS>skf02FE6tw$7m67u3ROyy?gS^n&h|lR;cDEa7JYy zW_Of@c0_(KH%i}auA(%n%~NF@jKLBD1g&;{;SL!t1*rmj><6V2mLJ+@!^>Ei>U!=E z^=PN^RF$HOR!|o#hSrZMxLe{HpU!ngG_T)iTtgSS_1C!z^NySh6P+v1!bb{C-4 ze~&uOYfDc+JW)WXh!H%tn~uiTU?l9GLm&dZyH^KW6zYzH?plF5)&elX!NTu=1%m-ND50T zqZ8d)=Zyv3bA{|^d+>^@sjXZw?~r~T4%Z7egM%=eNrhAV{#}oMscvrSXlm?!JvkFj zG3#pS5N2rE6!CwZNtKt@oFv!ziqzptMlRgIC%j5tX=jV6=uzL3DOFSvtTUHeqA~yx zP?ShJ#TK}6Wp7M_$kndo*)h9z%IoeebA~JB@_~W=4;P2B@AdQZPt5XcB;8!j{{+bk zzW7N|t8+JneX@*+wq{_bkZWBXyQ;x(B=r%YY06?_lhgo7g2e{9bNw82I%1#hMy0lY zCevPabvHHt%`J!KX9ww0(ZNxR@lXd0w}@9)i0Q+&xgZ2X}%?upos7cZc9E!6g(9 z&Fvn2d%XSS{D2zcoZ4$Y&zh52VYqVebT9$#X*37oWq89qB;2%&;Jsu{3J}&vsbs~D zrC6eoA^=!iTrOQ28iT%3!@?Ly!7u1xBLs)$s?)LIF+jaqC$Fqnae_mm8S|nF{)Pe7 zr1}q(B!Dr$S6t3UoV^`=;?O`oulNGlVFGwo{W>gnJ#Y-KWkyGdfSxF z2`P9m>+B_1PR@^&2TqMg-D6Vl!rXk?f?t&WbP^WVyZ0=~um-8oBQ66yGL31n*BO)l zL-H;hNm~go>(ivQMO*)GC2{WX(4W-3JVQj^tu#U63Qy9@Dqkwj*B~$R%07qLiK2E= zsWjNGEvx6y`^8a)2-4n$MSLdBm(d0&8!Q(L4h$^q*+*JZX49Q|?bK|f@666;=jgSR zg4`c_L8~4t{X(KHfgey$(SnHe%PG-`uXGuguc0F$mz9fa-X|+*DF2w4_$>l9V*D&aXU9`?Uh`1 zP?rdKp!b!3UW=qMD*d471O~`Vsu4>}K#Ux}(g-GmJdwz`VsuzI)+Ln|6 zD>?!GqE^!MjXh>`&n>+}to?ZgEzSf@QL&aE9I1X3Cof4b)4Ck#8!I;_IG;)DEjBG zwuS3~KBh8$q?bEgR3oN=|jv)oWcJ-*v@f zMH1As5)yDO@rFB+hO#&a_C+rf$UQyqTw1rkRFCnPtdi*g|0q6gbTs>(twgJ?vfD}{ zAmGjEr<;D#^XvVm!pU0 zQ5($YU-AENGST%k6W35Z=IZ4r`L*sURhU*?0#Lg?=)&z^^jJxo6s^DEntl@ue=Py(oL9b78c~@p_QqK zy&pZBSu6*o!(I^?N+ncOT>1a`iE9EjDKED`1cjp)ASz!%^<0ZgEC9n`t6+9{9IlAZ zHP@M|yAf&_=pj+TYQ-8GH=+Qk9aL%^7_O0pX3x~wrtE#aV|H%<#+1m-UIig*&;E~9 zU8I+x3*(+AA|q$C-);kp349RLhKxsY8kuoCK2s7I&=arivBu^Z$*2jECXM8S z!3G!z{TlKG;8iMU$0L7KPHwLy!ATs?O10wd6S0e`j`~K62$15@Y+@uROUew% zjDBBj`f6U%xM?@Yzp-00uIB%nMr&ggPc`plE0^D_>D|NnuP>o);db~dA|kw0L>%{7 zOU?#5AZtB?(bmh(&fMJmi~K#=C3b#5xfKU^P%Ujvvvd9ItiAT&-~h2t0`!+>rA{>+ zHt1Z=@tB#e$+byTBZjSljWC*{LqPsJ z64<;n)I8dfj2n$yq`Z3*hMgYD&}5o;o^5FNSFdwXm}Sa`$cgsN7mZ7Hvxp?9QW8M) zie?a-4%{>g95GbaQAa?RqMr}R9ZLp+BZ!G%%4vG;>@YsMH*B2$s9Lu;%YS*L>s)r% zd+dH${?QLZrnbbgA3^kO?0kZ zht_P^Ncq_RyFHB07g%F42ViT1w)$tF=Ytc?9-xd&(buiNDVQB?P!1y@Byo6DR~C3J zPPMhF$G$69V!5B6Zi1fIBR4yJMd!&jp9aI;&Zmsi6M5ym-!hcoBvxDtF9NT|R4Lno zY^@mI5sI%HZ6`Gf@9p9fxfxFVb>d&X>k6_oG3V1J?J-DemXJy~N&dyxcQW{RqdZm13OqKHx-=dwoC zKt!DVlIqD1s~+tPn2hBS=Iiq+G4hyU@nSSCq-e4D#?XvS^N6>HIbVc8u;EG z5QZok%+Z=5vnwvPc$$WlmK{X1+7U-1xXJ_|x`+rU=cB3+^u3~lekPtkkJQ`Q>f+^tVxkWO5lewvagw=GvKIujj3r!h> zy?TC7wJP%~td=bn$~d^-{{q?7$$zMdn~$<+&oZcix^cAU>W-Sdhn= zh{H&waScD4GUe)N@xOA5-v1z$y!r>B9@7Rfjm9v5Z~TbcfY;yevbN3XeEe_?mZB6GX`H4i?C;6Hr(1F5$tPPczZj3GIV7TB zdwBE^JLLuy#sw8qtut-`o%}`8aWe{k46vi*@4qe{wi&etv4Nk@<$Le1=xl`PA#kHH2>ZI+Q8miMgiwga&6iM0~$w zwNXAze%Uy|H5HUy0v$Dy0Km@V^L=58*g@fbwjdc!z1r5;1KNBPo|g8!liB0gd`ybc3u=IX>qND%(SstDjf+5v-T_k#j;9AZ;&1)p z%jVn6_|+~C0HB!|@Ybpo!xZlh@SL06$v5u4U+Yt)$o4WHbwbDN5u<`G>CC-;Et8A7 zxuXz)jz*>%1)1;vnF%XX@g!UYY{B^7py8YjuZ1X%z3)djzj3@BM^X|4oSbiUooap& zV#eXDMp`RWeBHmLq@tyaBydlU3A|5FvKUfQ~m$Ftd*S+e}i)R+E zb)NTT7y8#LqjBo3@+1UZ;xpBYSc4Uv>E-WwdL4k(*dj%At{~!fw_b?3+Ck1ZSyo6T zFV}HNs-zidOhHIusu~NN)Gl=*Y-W=5ct+>fSd-Yy1`LJ7K!((i8&F#a%oA`zLR&|> z9wPk7w+%*=+IquM_C~wWa4ax1q9c-=05{0s@atF9YY) z?9ZeKv$Z&RG^`avE&6a275Uv2aP%vAVfBQn0ur>{Q%~1;k++J?1#)pL9%aFpQ5r_20a#y<0Eck>7*CKxuo zv9jiC`~2VI-+xk?ZZfv3wF=f?KF+P7F|xzJ+pEUA+{exfQIW%kin2pzM5xcOpEp`C8NltPXwMkm*M{kFoZu$EYT zh}Tp(dTte9wpUL_D^SO;Nk1b__T**B`03v;Gf__aCB^ z_60d@7Ai5#v_NqjiTVUGlyqRcXWn}X)uz$}7BSdqoST~3wJ(tGICL{FZC1EHrKr$D zDAx@C_54Cifr4&Eb;DrwEFBRH;RF zI5-S=cZPKA(oZ}=Bu!-8@0T@qoZ|0e91?=H4fo~kJw2WL{BAj3$71F*KWe|K20d6M zHx&yl6Is*Pq-;k3OPexALI#ASJWiu>Gg)8&S+hpTgaBsXq!}m3WN*q+4-hO$;SlbX zzwC7p?dJo7pH|O}A>Ny~OcQNuh!m5&@3Vu)6GIc471CeHc+uEjQLdndJ%pK*JwZh{ zFq1Mjlt87o${hIUl}@CR{yv4(i#xns6Y*09F~-TG86Z4 z)aZ>)9;`Im-}aTAJM+~MJAHGNtjtUge2AYR)W~FH(S$`*6}j5`!qn2<2@&yqh>NL) z!B|=>K18T!NBme)uErmL>D6Y80e~4`_>BL!6Vq}HlssiO=2+>h)#C)g-(@HL>YfpI zG?}rLPdspo=tr>xQdDS6`){~y3CSfh+9;Q2>w@T~3ToF4mup0QUPZzR>2id;^HG14 z+RaGJoRQLmmPb>&WHl!y+b?;3(o8N@9;qz{ws2x+E{jWAk^oq7KiH`$&X5$E0)dX6 z`tXO#!)TnLC~E3M^d6{ETOOY@sovi=v;O7YO z)hq@+E#OdF%D>(YO+kIv_g4+hHr!*k*Y89}8!%}zNQ-DCkPE9TJy45X^V6w+qkNtW#xar5-yG z(KmCjI2jf8FqHr0>EzJ(i^1m0995uSpy%JG&8O||r_EPa-}c)YXVDLZ-n-4ZzGt4! z?JbSXbX>%oI5dGO-y*~vG@xoCYGyl9E|m}eVkofjz_0!2mR%@L7F_cREuWK5abT<9E@%XKUANcbH5Zqy@5!8b50YYaw&Zh4I z%9m^d{-@kUAZ;ivNhT}+&O20U0*4kvFY5tT(pT3Z{8bDlx$oz-V%nK+Bg`nmH|_6J z5T4Vt-HBYyHflP_yHpt2CV<7k1Ut{TAhYfvz{iE{0(8iw^|!WS^oZ49hjt&NxQa z<3)YZ!Rl;%*!Y*ZE6Z;D?0vg9kgXU7heRApgPH-mXu@Dqk%a!)1O)&eEZQW2HnnLa z((LU}L-qQ2=sik8TR*q7u1blTG+EP8s2|P8HJp*HWmb4sdRp4aTpZh;9@hN z*xciyoAKK|8i%vPIkjc6xY$@3I9HsU#}W}EfbZ9@Gx<}}Q2QK;g`<{W>=DvN!r;pH zqC<#vS+F=Q^>%Al@Zj8r-U@%I^Jz;__s<7MSRUFk*2+ERbv=$oDfH2s^p-iGn`p{c z*VN_ov;f{vK`s)%)PMZ{KE*G`%hgQiORS;ce)xNH6lIKqF^PwpM>Uofq%y+h#5A6|*?BJDNv zBjVoxeOv&Jt+{6;eG>#MDZ`g61dpD24^(WNRY*g&D@4WYX*NK`KGqu|^&dsIlIs^o z`|Ay8ZQlsmU7Ku~VnjeU5?)&VW5hkgvbw!n79rlU$Y<<-6V`6zm8Xmd60QN>{8U5# z?dNQ4Xx!Gx>9@DIc>OJg2(lbfQ$zOEk+@myqj(|f?R0P0W*|EPAlx0OwCVd4oeHVe zQiMcQs0i~Y19~}E?n^pi-grQE79OdknU!=!9cf^lXghE>4Z|~8*0+gqw^iC;ZQJiG z(Yqz(RctiV%qF1N9xM2Q(r630VJWKu&?$wN7NnlR;f? zt`QY1C2Cv#BD7e;#t>>$*V(olbo=;2cIqF%q-;}Hjhmx40|lTIJ(liNTUY0GJ+Jju zEEszNpLIs6y6z8j3%8|nmoLH-^|*TdW!o$N@2|p$R`-I#6e(6}*j_Na$t%C8?H#Hr z#yF|b!(=U@?I)|V^J!1-kF#Fp3vvOktAnYOrS6-vGAhApF(LZkzKF(omC_e}_5eoXp%m3kD3-~n)zIMzA>ZlHs6 z37=fvp3P7=;gJCZ?T$(S>SXKSSsB`^-w%q4H!(<9Ifv*6Xek(#6kc@ z3k1!$QXM3Oa2{*Sg9TTD-oh$$yPC{t{A1#kjj%fiU9RW4c+mVNrLtG@J95UQum)vV z_CxW~#v8NhoO9qUjiS&yHYqR~MgOyoxEp2T2jwr1hW)|uc)^*8Lr#oRaPVCDrR=ID zqI!D6txRu)bNdv1iR7D(^2Wz#BH$ytA#14)YUXp+d?(i-w;;a^z=!iX+?g>)sY4rG;9dNUBr0 zqORBg6^h)peeK+)T`E7rfhNI`1F8Dzyf_=K4w(v5k0n@>QWatsA``jX!yt zP?md`Tc#d%syCxWV0f69KD}6??vcV3R*(dJ{}UV$v#N<$ktJm+D?`jH07X?Ezoux% z>^;ZKe{&2+Xeg^N)6|m2LsH5U0pUk&B&kcV#}xT#LerN%p3Z=v2Hfsm-jP$`alEX8 zQk*u6w&bG;#-Yy=aEHr167%$beXmQma@AHVL4c%Xbo^k)1TTAuoqja}3Z_$K7@(G2 zG*Gnq^!&2c3j0?lYA9rxgR|2<<=eex$r#0NEDzO&7F~?CArBgE+6&q4xO^lOa5BAi zA9fLmg?!czd1T^aKoJe7x+bVEXSb0!fZSgXy1-?Uuwg6CpUAY_>^$Q)s zx^+V~?M$hNi;~Q1Z6%phzk0H8!%yvjWQx)np!}-v>JM#?4a&*V(h=ielfln;0w6VH4|Li*Y^4)DIzV|lJOY8zbPf$Q32}%<-^uz&Tf3%p z$UIwD{6Ln}(9Sw*cAY*~6DNTLR5tR{Md6bO+zy8_a>I!^;^z3|08v)q@b`~5d=Jqy&0WpSR^cux~amzw`sMMiN`)G%$*La?lX*NaAckf zg6vEy?TQ3ePb{X@3ChjSi9_Ie{0{3ngU)yQ?(!Y|Xz?UDx7H;}h2Q~jytF0`j%i`} z-H)#+s+YZRkvRi2dTQhFBk1bGdZ}r_=a)DI(afo>CGoC%E*EX)QveYBQOu8Uuv&<1 zP2x`^<^k@(r77pPXX|$yi~HaDxfs}=1{mFK?)1)a+?&4l^Xf3Imoyy4#ux2I#|;XF z(IME&^THHVrNrr%N#ttfZO=YCUE#KqHf7M_>RR${-?;_i>1a*UWsG)BKr$2^ zt5&ay^Egh-`ZGhmco>Ocyna2(oa}&C=b)@eD35BuOVGO$>Al>C^S#BZ%nE`ux3|^X zSG)7l>BXKUBKa9ne))$RW`jy&e#b>qb+@Un1jUDqh!r7aTk)9dBP)nb3cdM@8) zL#0I3#5@#9KGGbcKhsWAl?F*=?|kwFSb ziQ$si9fCnvv35Vt{gze!bhTy|9xN5t$*mB#^+^a10he0BPANzLIt{}|a@qZ(VQbQg zxZDjhH-QUi7}7t<1zRt-wn~O-bH6%d3!^t@&?=VtO6XY{K!`M`zL-zyGNg5}@%bzO zXPc+~&g*6I&~vy@zmPFpFtJM89K69KDu3J#goh}$ek~hpu#LgyLNt^84xC>NR6L~Y zTfkR46_&+7?)35!c@oJqmV0J7xTt-h@p{sBe1n$=FSnbuNLkJkBZBFf7epK{VKYM} z0DZR_pUgp#ilQwJZ5)S$&oyC+^M=CWdacxq5jl18QsoIifc$B2AcSd^-K0iC0HmJF z`(}WMvhyNANL~h)6PwFQrHDoNLL?!gn0~}>{nH}xcrv}I(ia_)#c=vit0dW%b=Mjn zTZ0#a$b^!&gM`4TxO4*KEvCcwk=;*(E@A6V->&1yAE-r*D9I;5fWrgt;LG$gX`ZGa zK4m%(F7I|J*%)3gL58PhC$kKz^R{;)uFJIg(BP_Siu}dG-sSHBbC?`sV!4R%Dz!-8 zDIG@Lowtp;Mh|-{&EiogbTplp*9I-Rbq-DmrDdftLh++TJPV~V%h3nq>1qBh0WZ*r zRU(dy_Ys71E^mcmVaaLHgZxWJ^{Vi|E|yB#z>2+d&`u)*ow$v=V{kV~N>Ca3;;EYg z^-v26U?!T?U3e~R{r=*83!?!wmyIdh!R~RW+xH}QY^-hf9Y@<#-zBtg79o_CX^kna zr9fL&X%#0z0YzoSj>3knd>*27lGLIT2cdAY+PQzcX0sAv4{;5=RTjh-fL<3kD ztVRjNPY#1#eGfAm6GUC^CtllYY6H&xg^d-Tv4+oSqB$=W4~Pf2cz%ZQU(H4^jsBfhmU74UM)!MwUVa z6ht7G$7KNuWpsdC7^QY4V6YAHZ0f*;z6_~o9K0>q_BVxwQgSYFOKN1)31EEwdumQ% zQVdSaYoW>_j2bdMdbEk;Z{XGIbYU3XORI?2k>94Ti_gQZmzeLvQQ@3HHl)RQ6M%h) z9FP|!$0gzpM{XU6JYC&7a4|^aVbh}-d1D~p}&;a%rsWu-WA-PSip=_9RAb3yG zp{TuxINb4`egJ3)XA4?!EF+~o7m|ecQ^%`|mlx8oQ76-4fH@W@)-K3PRY@V6^MGjp ziwNd5vz0;YIMiLA3Kr*9lc1p|iy5O-;7U% zAc{R#wxO`f=WOzHA9y-r>NHd9(nhd;`%m+T!A~JO=~1W)#d3J zmDhIy%hlXUv58GM2ao}KaTgC-zXPhQ=q4M5W6Rcd9Xq_R5;sIv6PjI>sro4PiQ-2% z=;&doi%9#Jqd5U|@w~ChLM@fJ!WY_P4OK4j%Yu?FTn!n7Y2F_4$-cS^9ux}s^b)OO zt73s(H#*fTD4c?E{x2(|za3g8ykCzea8^`}KBaqKYvz_`ajHzUsd-fvxyvu#9(fCe zC+?;80C9MbaIB7CS+Z&8<;OnOId*skwh_J@Juh4Yp2#Ep6g--8Z%=n-pP~>5F2q=% zZ7{FBC{@Z1pdIG4!dD|>V!6&csa@X8Dj~u7Zuwv^)0}TPfDKvzevF>E{19nPDeAko zyZ=~R_0Su3IV3iIaAWkf_~tP!`{vh)#nb&x?4bV{VSn!mR=3aP@b3^-ix%A$Pfx!0 zC@+CL3*s7J{_yCV*94Qyy?8WQ@oiBuVQuMMn7GgD?*82N#Qi}d>$PsmxK)I7zma8o zxH!{Nrj!`FVj0V>q;&d{vlKwsQ`LaO%>`={D}%h3^r=AC1yLm=lc#?r5s;G^a!ATR z8f>j8n-eYL`cVqC&^Qe`I*~nTZM!0W6Ao~8c698790<}uVdFc&__SbrK-6*q>QmKW z9q!ZQzkd)aOpGzM;twr5Lrce=-#7GDyFC32KKdFdXd>}hNG6^(@*|!H)Y06}PoUTz zvx1VK1K+{X7;DWV0B!pYR4E&{%-FAV?FhXLO6Oj89An|q5oSnGm!{Xz7#GD z+8)#p3-Uduf&Ef`B^>E1rkCY+zXz+v7Hr(@eKkK*9Ak@Wb&8w;Sl3#`>7PIKGZtKM z@)ANeQA0Pcnqy3PUyFD4@TGtuTTH7Q-&-%1B_hIn4lGOOTUwQeMGrQo?Sr8~K6&FHgQ^w~HKi^q>To`vdE&EF%?hhZt+@akEbOphkYfh}i92Ha|9s$cKy;8; zbF=Lw(&Ncu3HyN(WHyu4JTJigeufo-p~z)vy4By9927p7P%kllvK}0Y2YFUXOG& zg2nYg^p0UfCS%G9l84zD*6 zUK9V!SrC#~AKY_swio(qZ@_DUY+BGpIyy+*tpUAIxg?^Ot$>RbrLypBl^7>z!aVS6 zQS-}Vr%nFLwus;#0nyW1*+ED`dZz`Gg#;7@q!vf3U>^4UY$0Uj4=M(=I;aPSQy4bd zqo!27XmM+9Ua$<2mNzupHow~Z?WM)J0uh+-{XqVgeHt-n}My0_-3aeg_3D1A|hv4p$*Or#{8rKIo zBOevY6Y};hW>0tCxSU+RP~)zw#6SYypWw}(X^yWPJ_CwAGa=fyU;%G{Sa_kq@!UE01Ib*R>My2t2IR_3Vche>-BH=l#qXSLZz* zhZ9IeMmd45M#>>@o?C1O8kSZk-KKh( zp@Ox3dwP3lN&T1Q-y&loeD(jg^0Uf5NIvgZQN0~yBKdY!4Ebt4$qqNiol(7APwYnO zye?pMKTMC*-;9?vAZvN}7H5F}#9&EMyYv_tWaD6yBm}!x8pai*-E{U^t8Nta4(*&UsuC7?Q{w$29buH- zPN)rs3tJD&RAaN$F7;_i^ZT~ebQWu{hHQAP#*pW~Vur&OVOibSv0%6OfoWK{4OR<~ zji?XtDo-JsFCb_@`nlZJ_GvvzI|=5^&Sg#yT#zU0XL45}sHyC}y+AvK3UY~%ttv>_KCJtMq>@#Ab zOlvuE^h8QbQKlWb$NJ>zq-nY0;rhRSTKYpmh^oVwX)kFX_|p%Fx*sn;;gIf3y1eU# zu)V-r?16hNCeq_<3MD~D<&X1SZ)=)Og#uC|mbMh3u>CW&QBJkGVnJWeRJ-ofBq)(Q z54M+`Ke@sd0ES2SRH#n&E8v0`YU0jvn^l;YzySI0?Lf&x_-cAED_ljVI6~qchb!wV(mtcjA zI8TnctEJFTU-5+ux9x#F<2l{}YILyQ1zWZ4OW=6%kzkO&Xc`}+EIl*cuO(?{n|km% z)v5^7y+v&M+!T&jUKw}oeo<_Gp#fh~9z8Iy+=qtN;uZ1!G48Gr$72@k{qNjD)6ICF z%N~?4MWvGOzdJEjoZlhb3C~~eo@lKuYgzB9sM+lj;B%QC^!6AK=~qbJ1~iNrS=Du_ zb2?|+o94>M&T4NiKCE?gJjZVdyqX+ASUQFR&#LQc=}QtmJ?ar%z9euE|2DtdL;|4C z+cCf^Ai`E3;is`B2PlM?`~BTGm@%gUm~fqG&m#mI^z|Bd{Cixyt$FIc{UdgJhte~V zovr=%05eC=XxaZ}{Z3WH<5$b0OT_(P=RvWaJZTQkI{v=oX%ceS zGu#MAz=H=5inc+);eF9Gw+e?AC5mbaETH%MwSyr7b&yOBX#o6ULHR<*%N8HW)t-cRt&#tsDjLvQ_80QfhC=`6wpY{uH& z=6SMe{M38(BDd3{{0TW3Nnjqv&lyc?yw z(!^K2Nn<`n9)2iY|84fC3br}myi`8NEh>dr8#uVtc^5S#log#7Q7I8jKZY$*F82pJ z##W|>kQU$q&!r(ZKiXfdQxU5SY^acAMxx`SkMz)A434@B*VFx>fUgaUOhX;&riAzo zA58jlsL$S0Z07!aKjQq!<9RS{e|cHZ^I>NBTb*d`^L3iGw#8#IT8+6A8P3e<5`FKw za$rDhJ617jEBB60jRNP}yyj4RF7jcW&Px#%V6O)l`~v_;d`r)N-T#4>{RUNi8|oMW zfWCVlB{#6*i60o0pxDqd1WQEwgpv@`nQ@$GOG5t5G;2nxl7v@MR=S(3{j0DAI(~+~ z;KK~#+t4jRHUpeF@hc~v<>spC=Bf<&P?;GuN7#xZ#q#3EB0X#(F3LYwgdM|HsE2&PfbyTzp>mpgU>U(Xp+7r1>#R;}CbEJ@-KebE($(i%3wP z8BEj#JB8}Y8rh+)MHBDT?1aIp2dM$i$o%PqtK0tCfS#8@4V-1YkuIccDo^Cs^c=9J zO5LrqOO$Og$UTI?E1V!W7!QoxecmoOmE5cI!Y|g{MDheV5e|A9<2M*0%J;vAg~x@brCtmiVa0#};9;4r#q&c4c=0g!DmD-cOiGK8f5R&|*j2(RTZ{(AqOL8R zU?LA9qn4nS$`r)fL?vv%rZOYGKEvL`cOddx0u9AFW-qH*wUTI19w0Ogr% z6X#0l`4!Ak;mld3%W?bradGb^PDQ}waOP7GbdIR@OV{iBO8Ul^P4C-@me(U$v0)7+ z-1+|V$=U8m6cR;D2R5YwD+279V8DDV7=WUzrOn3rB&95E2b4xEDADJKSd)@tJ?FcD zNT1*IKil(5W~*p&0<>^wsS)AVHb~!Z zeb*$W>Z%Ff<2rA@>7d@%^avR}WUcRV}ms|{l3n>^z0|9x>OR~w11 zFS_PWh?c|#L>KzX_FoJa!P$cev0$@;A``jOd8KCg3s1yK+NQ%3T3QzskW~M%(A6n! zq=GiZJg^@?Amr(D3>O!gHj_vr87jmoD7yhV+5SmY)vL7>0!ve|QXpnR!&uA9hh(n( z?FxdlIN_$Kzo`8ej|unbMLgMShCv)B_=FYeYZ&>nt#SQCSr`Cw)WqG$F%oyWuobVX zd=^9E*l+BH&zE2hh=oTdz=sh6xNOdz1}OeCh!Yj+uE*d^ZQ##0G^FgfKfi8FpzL*k zPG9{!u|H`(K${c6CXQp}xd6jZ%ka_-8A&4x$dpG19|1siDWlP*@SyYpMS;dOK|<}J zD0nz-2_PI7kDBD=B$E%R8Cwjk{4Cp;S**0Wh0piq>lqhfhJ%`4ZeQ@FW9w-izb0JC zn)kE@S>WMl%q`Pea>334zlK}+#gY}k>PL`{az~H~-cJ6AVse$%A0!S)4kn?43(ms5 z`or+2U+sKpE)d7u|3YGm=xxt1U+qq`G&8h_B{VBDgpX<(3@EL9KU;?@58e4^<^QhN znmgZ%Iztl;$w&su`)g=7m*XxQj`PJ+3|n;?afG+Fcc~+}^qU|uS~b<}{m-(Azt8=Q zOH=*3#Ezxu@8a{g5)=9=yJt~f@76m^i^*R3$C*#MDuYD?AG3TKW)r41A8#&A_C$&8 z7JdJg;rA(ayNsy%Ij7s6C96Cm6CNt!H1=#pjRl9G_uj$}X zF_KI<*@@Q_c~-oh&mhvI8i@KMa_~j4obCO>jfHa6GB0Wvvra@gXk2H?Qw(TBGTFL; z56AEK8h+schie1PMqcfSN2XiEh+*P8U-E>?NNZ#&#(!^sVU^J3uzP5%Sq9oE@x$iJ zawoNf3-t(Q2DlelLN(cCevHk+H8yqq@;{$_DvQshfX~S@)<8f?Y!)uwKIbqBxC@I( zFCl$7p?U!THX*IgD`P}A4q0J??J_Ev0h%$0yWCxnA3})6a=(ZLCX-bLU-#3E{LsQP zLkHVgU+aP%>oCVvv}rdV4wh_l^65;X%kS;0Q8X(5icNZrfTuisQbK1JX%ts;qic1K}ld^Oa>GY2n;gdz=If6BBW%BZBF-zNITA)N%YM zcX~=ZX@96N%wvyo0EK3T9|VuxA^hK+H&;Y&`uh?b&e5Hnos=ggJ6?F(Kmg#T(KxB5&!kT&8m=(YovVb@3_9cuI=o80#B$kZ6Jlu&uqR7vD{+s| zYtkiU%Gpo{gQ%O@AB}WnPdk^gB6sg1}HtfrdGaQ(uiYAHrt_>Vi&= zjmR@Gvx{zui5A({{~STn@1i@qpCK2on{PvPur?lzte9R)NPRooP8*wKPWZQ;^RtO* zm9@XAFHiw9m&Yd@AC{P=$YGcjeLWav`oB5V5ufqC{yQMnrS1nw>3^_qHb|*lTV0*_ z?6cvd98C+qdG4NJ`zbS=IF{Fo_8dl1G;tr;RA7w%J1v%}l_9FN=Omla@7LUvk|-u2P1P00#%AvHa-mZ?cMSU7xe@F+!%y zbwxQmE=`=3?}SPBUFbPvNAfP`sJgjE$Ei96Tik`c&<_?KjM-{CapP}o|I{7uLZyS5 zKibfbq{;`wTe%^C){$=-L1LBhpU2)3dN+PXy{m(ha}x;#W0=Bdi8)bmmV!(b8f>;> zeC4qIkLv#F8Is*F)xNF!?TtyyLFwf)JzWARb#Q9?xP#$8qiT5xrS7}ucR2FKaWf?C zaOTYJsI9pv1E){dNIxUZBvp&Iqs#??etKQN>7!Nm+qZ-~;jY=WKx;4Olfg5$_p6`z zZ31$mhvC2L-9cBAkd``jcgdhG-WBNrLIX5Hs!jzPrp0*%0AoY^GPk`r#ze0?bCtZv ztPW+aynw8Q+8iHK`(~?G-S2R450LkLQCyBH z@MtQtTUMF#m-Re+N{Li!ymt_C9DkAVa6`P?d!DQoi&@A1vT;aRN7wo9`rE|qD*CrN zYF_FNXCw6@W<-4}4d}k!Ae?iJ{fv1+fW-^+@xW%*xr}=w6a7w2o2C@gQR)rr?Q)`R ze~Eu6i*$)^kBN>-f`3Xvf3xG)SoI;t7jNj=Ztj}Lihj+dM`n46yiPCev0t1jl^g+1 z+Kjc&Nm3(LH#Ft&*J7P;So!vF6rK$vx?-D1%PhXYS;Xh=K70X1_0ITMk4 z^hd_gkoI)EXUp>1JAc=8=U8)C7uD8c=tE*9pTHlrdiOf%XfQomXGEn{r?E~y-oPrfBq?}KF zZltv}(A>+z$tX#x8W+>y1OsgrpR$}a zjnA1W%=F>+FuUB9h2oG_m-`^D* zAZz87SNGd`+P>t4QM*9qyaxqwR0s^gYTv}~$Sb*Dd`N=~t9`v2-rl#@?Dkou^7?T_ zN^+U4-(-p_N5==3fy$Dx(p>V5O$(Fu#_8J9!HTn6Rh5+upXemCwYkDoAXP;hPuQV9 zmC}J9LbS@HBh>qsn(G+R&pcOVh@>f&=?exaG41E*9Th1m8?@|`KUwxQ*Nruplmb1Z zNX^wQQ!iJXo$lY3_+c3|x}N$`k!|s{ljTU|X)8eC)GHkqe=DHY&r7J<&EMyMj?JHG z`h~QlQt4GL^y3Hh4h|Cck0e{xqd56%jmIEpjIIP0j~@X%`%CCNEQ2nd+=ffms6bQ@xgnJ;U|{T|E!*vtJOWxFT2c!tI>AJLL8n zRr3Q(JD;Ipkp8Md3(#Ew?7qv76EXs#8C$<0{y zHK=6maY^ARDu83$r!=!56RV6IRW87`AhM zbM&2mKRdNSMA=dK;y;jB2=>n{Zh7j*VSMmeZsSqP7hNC2B{?f3$LW`+mQrj8nlU86 zHz(U|XJ=igbVR{_r!}_J8f(zp7Qy17e)OsalagQs*x@SPKn&nal@0SAxa-0y<+vzq zm6F+#AI{yT^7TFo1^k;X6H9vCUoy7Ko_0Lsvd5Cqu6!EL9)w#IFT|Sn+E7)8iI3Rd zdz|1sd>q1R2_VK|T4m&_G4gb@zmVO$FWY>+<)F6}B3Eh8b<%uc0aF#=7FEp0%NHtN zzq-pR?N&#%)z?0@TgmL`eUs*G;7p+rnnqII^$V;pjoAqL+26%-@seh&+-$TfOc(l! zYBxz)aw7_c#mS1!(V~%}m!M|Q2~kh1OvG(euaMnsSRdI+Hq$btVHwmGR?g4_2(qBy z6R6>8ytgL4{*?P!*KXHgE``dFB(q<#_H(1UC>Xa9QA3?ujWb}HkOp|>hxI){;w$w@ zHmm+Q6KWbYHKMqAqD@q6JuNM6v;OB`)P81|aplx}b&Mj|5Sr%iFm&S7xDtsaK$$nDpR7f*U9snV78@_W`1{5b?NMkAV86XMQnW$ zb_np70$-f$Y}Ki;?kve2@BjY1{O9q%s5%R-Ho$dD2MQD@#VJ;_xCfWw!Civ8JH?Ab z(ctdx1a}P-cPQ>qN^vXhFr1k=cdeV1mA{a8e_Nj68bUwqN_+|#9jVxwEVg5OCO3#& zhH{ko{U2gSLFd!yjO>)d_0W=P96iA$X8R7e_U(XK#%19a%_Bwu5;p;Y4D?d#lFp+x z0Igm9XsR9E*sNj&vx=DVw~c5$O>vr3Z$n%tk-(D3j?`-hHc^vJ# zE(VF8ciIeJ$7^cF&Cm4O|E;z7|9hjf`ahh#+zGq#uh;nAl)qkBrk>e7-Zygo!_ilLTM)+^ofMPH(3@jGPt@ zcLgE@Ry*F>M5a-qoDbdincbGt`xQwb@De76)2sn^_N_4;n}n#yKR0~{_K&W|T~EsQ z;=iqaQ>&{O|MXm8C!&}8%%l~y01ad!bOyK$BPI!rKAbKHEY`3v*Rah`pS3RNNgxl9 z&;C>mp!+dGfJLV@P&63&JFu2jt$m1F8kL;-eLUk?*Q!Y)4g+nU@}slz(_eup)+tt> zi{&Bj>;+3w-=|#-y$&w<#HbOR5DV+iXqq0Y16INdC?6wx)sRq(z?3wWAVebYKpKJw z+6G(cV4O5sU?o8+cVfy9B8QMtKMq*wh<%2+-{|nZgEFzO=`X!1N#^ z6=lH%0OK}qfA_7!2}`Z|**)%07YM(Cszg)9E6K89NguhW%y0Wa*=$w=RVa!i9{v2E zDU8+k)RnJXZrDIj&tc-7#qT=(9`}lHtTO#CO%6&wVvB(pjFiYw`W^xnTO2_NWLgt@ zqqy&Cbe&*8OD^=Nfl8U=QwkTGeSfuVe$Kexxc*8mmNGRPXXsy8!cOR zCOFQyRLD3eAz~jJnj|?7=iVWiE<5YYyG4mcP0XO#!MyPB!ZqH>W;Mi+ya%Dox29d; zYpzh;t;Rtayvg5B3)nFcsz21wF>T+W{aE2PV4`Cv4gI7&la5> zxURKi&*=NP+VB^bGvENIkPM^Km)YdRf1}a|ho_^>D9cen)mqFs$<0uc>K#BAjm22h zsEd+lWwK5Cu{ZoU6O^0r<59f@7;Q&na`yI7x8R8knl=sybvcPRUjJlTJxPjv|g#?VKBkoL^ShL_(#%Gp=eDN53dI8aHB zOfD%QR*p^46j9?dK@PDQi4Te^Q#Rp1`T$Q3Qo+LQ?Jpu{qmK-i3>f~2VjC?@EH6o*>CvYtEeyPDPt>DEdO7z2Ye-lqAvDBv(C7&0p3EGjF89mORqn6i)F#MXzD*poivd_T{wC%BijqUQS>ahl% z*Q!}jye{z_%ESc(iV7S=A#r8u8eh}rK2(-d&VewNQ1fOy-zgeE)iqm}~nJck4No4t&vl&z}sNM1EHxj_-V zli}8dhZ2=+Y$R`0!EgIR?qLbq{JGiHj9d-V1amIGo9q2{E?wXWfqq6(JQE>##^bZC z2O$k*K-0NKU{m=)=NJT3tFo>B0~FG$&4^u41D-E)OJXapk)>PajD(7<{Dle9IrOXt zyZH+D=E+13zS9FYWMn|wf;tYK)vR!i(EyY)t%n8u1O;`w2BA`a;Z(&oFU_B_)c&o% zTJ8cIRb#E@GYdW|(RlN>>_hK}eB0@?(cW|6@Jpn1+@w zCLZU3*KCS#NCbOOmD`&%Yl6anlQc3>40S(Zl`G)u?X(0<;%d9JJH1S=#_QICa=q;z z4+l3)gaw_P9r9OUUgm6z0?saMP7w2aXp9h@xvzo?&>HiEwZ#L8xTD4J`=9(omV;gE zR9eVIXMsd@)g;Ks+MG~?Doe<1X02z?z?z=Zi~IS$(}gV0m&$m@8mW!@FFw^ECRJ#E zZBuAkrVz-)f#}OeTQifB9pwU@qz8CI~ysYa56z#)6Xb66r0#=Ii&#q#~}KEHm`9@^s7&0)uBPMKY5 z(4Le8jTrF~6j?7ET|=Qn=wT4K6;Fft;+iqevC1tOpo#?Jl&E^t5QTEZydufsKr2He zl^nA;Cqt16i%l7pY?xKQCw1aqHkP0945ECJ5pMcX^y)B00lDvANkgEGgK_4zOKkXV z|3EFiQvHB5E!XZ;hr8ZHic_w?1+|^YW11aNYyadS!4>t%Zje9sT86) zid4QNa>zL57cvP5gn$y4)ceK1Ci;5#=Ai{74Hk;#tPGI} zf;G3pNM8tOv&p*uU7T(NEBM{cb9t0%AO3F$av_k4EP}PmhE|46z9!*BUX4` zP?3AL9npSDV+{E0bya6t<={OVtolo__biJ;AMi6d8`6I=YLRYXy&f}OiAI?sxzPI= zCo`)56nVMiNXCc)kmlA+=_gG4V&qVD*gk9BQqTQ!k;PF)ra~%3Vl#oab^)};&88&0 zZm`+PQlGTC{l@e&fO&<{mBTMVfooQtBEQOh6MXT!Sy)njT+y_qef_g1e3_`ZL4<4e z(KERGoej}W#o48A$HrLQqbR9>g%LGm8mi07;@SU>Svo<%KIa>T|^L1PM?go~wwClc$erb7CWZ z11NaEM+DBrkHn#JEuNe?+1q^i*&vD+K+)C2lMzL0o$|m|9tjc4x!eB|OCn4e%19;_ zx4w0^v&e#W+q}283xWS@P$F5fTBTw}NY;kXAug5j;3@mdcCp3*ohMhv%iZ(f*R7$? z);`{cN?W@k_vBo-`5x0$%WX}_K2ReK{C8I9u7EmsMFQwtaC>Hq!gC&~>BZLgjk zr;*XQmpNgqmoyGff+hYVPRHXnmO_5c<%=z$1kB2;-@#2ZVQz5K$_kRE`kTOmnUVQKr>;d= z<-nM6!nc;~%)R{-?B2ohtKKG|ga5-e?HiL_CXo-$W{&GepiF;s0E7~WsbuXPE^+_> z9a#jv_ILO5@6kv{{Xmyb<;i+Lug&Oh!kb>N=Z~VR{{nMH%8lgT;Vg4XgaZ-CegJ3V zzECD$2FWJSe74t4kk_O%0xaYo3-;bRwi9)IbOQ?>R zU32^{3Imu(iP9^*k7}ydwO5<9b?J+l%#YHR^Fr^Oag>Cd4(Fzm_h~;|TI$MKzW%M* z*a@Di+=1CxvR(bG3qb@_Tt3goUccTtb{%>q(X49$EAla{Mw5?+U{VNV;!y}x zljW31@pR-ScvykZ`T#U?r*=r;@Lwaih=nKw(qg;U8%IHx(_v%4bBv(-A%*JVpW{31 zi>Q}X9)m{fk_8Y#FG7KeOcMf-gXdlskVKC<0084rs8Ge^Q;F&)G_6$0@R6b+ooEP5 z%(O!z3%Ygqfpt|q-FV021%I&T%)t2mBp$By$qVd9+q-fFBp`;yjxLJL`*|# zK3Gzsc$^%*fdWv#pkku0u@UCby{A{-(6Z-iZ~qBA!wIK6C%R0-LTxL`hKnM`fT{w9 zPYW)$W_-pw1_|<-myxQ!B{ySi(+r}4N#@I^e_vaKpR(t7ieX#f;5{$>cY2#kUGCev z+m=ec+qr(<-^MqJLp&|O=@y+3=o`(}wh{$cYrH!+Ri!8+fk|bvw7SlJA3r2~Tg;j| zV3ER#@-!)2HP6-5?in600!4cZPdqkr|1XtCALY|VOxyGwKzwb+GiN%qA$M5DVv~7U zM8~nY)!g;{T9torXeyi6(^aVIcYb8LF#^JUSknnvPWRWd<>vXvQ7B?CTBO)8kT8Kx zQe-1s1d&a)(@^xLLE)Rn01>5$J;##BXwhf-r{HYkRk+WGswdU`UX6kW?ng{=0GxvG zS(@M0x$r7r`_Tj9-l8i!oCdC-p5J$MeK<;QQz%D0kH|JUOV>X&i^+yHe!*gdBxk23 zAJG&z>gcoxk&E!kKm?LiD>1wZ(fL*YWE2}iCBy6lY|&&C1!4fs2MWo4Ome5q_fn{M zkb>U+#xr=kP{9!XzN|KHPE|1*X`J<2QuaXl2=xFc5n_-a`7i4->>%mo49>dx4%lrU zS$CSpW2N88!i1@mrvL4t|MQX$#?L$!Zh?w4yrGF^S5SS0VDp0$}#kDSLX48N=1;)>LqyJ0E6Z5}_%u33g5oI-{D znJG*W*C_`;Nr`$_>UJ7*s|f213r7X5hmD#W(jU=Y&1ib^r01`i(glr@3s(@&Kk%KR zQuuwJbn4@Ox%9pqhgAOFYqO)C!Za$JGhN_Tc$M|fv&u7@9tm8W&Dk&bZ14T)lXD|e z@5@LfCOmffF_{#CqxcLTHmJLl07??QTs=$Ts$RFw=z)t$g0l;6@RGQTi=R4nxor=o^+Zc( zqLd<&?^C>Kww?1KvY?C2b#I5Qrh9es9zW5Jp+%h#!rq-RFMLSdg2>j6P()O^41GUx zF_la>uPjr=s7@x8%)c^UWKs12B5oR)1dW!8j@6)b(bM`Ir%!gDK7E2ikYCYuEZtxp z6o-G~3(hem1aX0SRf(ei9?cJg_|dMeY#@Hy`oq7BASqvf+upMHw}aJwNml5 zYl$GTy_%U=p5w0{*C24iFhOlb-y|v!rQGF@DY7WVAORBMnW-JBuBh2&!?H+HR-$qY z(rTj1Udj{+-_veKs^8sq3n{720V*|E+L;rx}<+;ii=^{_n$S0aOIf!Yl$ z6Xm&J(q|5aw`vktXdfy1N8x%AwIn~#(=UQh_am9W3}J?ofPv6rNFg{^8cpc!s3~`o z(FRilbB>8(`%G}3H^q{t7IBZjM0||E%1K&sSMtiW_1IWx{#?1wkK?Hu%+Aw~!qIqf z1h5ER%gBOO&!e08FJT$33|@s zd{-PK$V(1>Exy|(;X9;GaQ(_T^1}%^Z#Bqy?_yAjR8SxD@Vs?Xi9lqW>(6MFGn*cN zd>b5wEvd@~bA6l|94xZqbcMU}j)d}51yM)@b8pr{qJWi^D|$&KCB5r>0%>>MuYUj z&Cc&HA-PYk-rlyJoW=aR+dg#1gDi#zo*1E74}fBT=D#o%V1kRpPBF`98&lTHP9vgmMnL~y#I zo)1E!1e<7-VK4DYse>iJc%F=MmCJ^?C0a zC{Pm8yW3S%i!p9DW4JH%KJyoD;z)j*K=*AXS*C}em?MT1%H2(i;r;ooq4r@`h7mi; z%Q@{^plI%8Bi27|xy`{(z1aCahu(wTi}57)^U3wl)=X;m)vxr#QsLYFc&g1#&x0BK z?tkOrLu4e2K~*ZxvHp+iMcJSEyIOf}c8unJghh^pc_r509q6{ikP4|r4W(9RO)=5k zoJAErjzeOFosW;tiV6wHp2eoN~bB7P2mu);cifG|bu(xMwy z%KjCNw3}FfgE1k)e3n&=W9?8ItVSKrUM3w+GB}n9cF;(D(d?;c8~Sk+1ol=?37skA=B=bJ!r(S z=y%c-$XdZvS3o4K`Epe0DRwDC*CuJsVF!v6>W}^@_GLNP-o2X{yn!N@;RuC-o+q z;Z#VV3f(`7D=BxWW*Q@)R+#0KxefgSnK`*3aAMDiGX|HHarV-i&>iXbW-n>g8pDI| zuuYA`?Y^^kzbVn!8T(hFpV6VNwm=d zdpcttdc*}dtkJYKQp^AAvj6Ajo~w_w3BBN35hq(fliZ?v^}5*p;}*+=8}qw32NR|q zz}V%7BYej>x9Q2%nGp%Za5mXcQo#o2^M{?0G_EsR8I8FhK(jUJ7L^61)JBkZH1?fL|{;I<-TDHC?M_TaJ9dY{KFB5`+x}bIHYctt-tlu$NLZ}>u zNXx6l&;Uq2rb>P&QW~Mxken3|3|c9N`t8WK$uhu5X5lV`7%y zN!y`O$oq!#bLo}CDmTsDF02X<)yafCBZt+ zrwKKVp}-W!EdWL^)AAQXi>4#{sobA(2L2u>eO;ZLecgGzd);VvH?LdQ&N;FX zlt$Lv^t|?mxovMgPj|n17(PzC-YdPBSh5{od%F>;hb$@LA%Or|+0#r-5H4#puAT)I z7JY-;6+VyCyY$4N+^@dw{CQleqVVBo+3Rk(`DsKoRyGS+F$=CdGkT?^0@ekS*>a|3 zzW)%|2aBv#7yWEqIL4eUqhbw2QsxOj-2Bn4sU2TO12eus*V=Vo?g85u8^(GMIr6>w z=MpZCl&BP#Sj2w67llMvOCk(uaM~%>KY3StsD548oj50Fxz$X#zgngx%XZ1OnX{P! z^El`~t~FFtR6M(c3Gt-;Zqjr_4;9cm`+ENCjX>(-Fn)jQSDOk^;^oK@FX*%9>+-r; ziVtCbFqjeczaA7XRr0-;n{s_v=JEe_xxVf(eEL~i`+lXye~|IzGS~lT(eD{z!YSlk z=WAAMY5%fvO+w-bXm4LKT-~_*#0Y!&qxZTnh^*%R0Q=Lik-F7U@$NabxoBg338bQ3 zz>cO1+H7p)?hJz#EK5;H+Ah;Hx51!LrY4y`Y4TA-Ao{n|3!6?=GyS1WeI`|w^?tkA z_QIqsYd4Pc17q0Z2PtkOo@}DpChl{J@B}Eu5-piN!{LJA)5yd+%;rVwK3*xu@8r*f z36%zV-N$%_%vDY$8L$k_Cs75ee%bh&152+s9u?oSmKQ&?gT`l#*6C{z@qfTjjST2u zec2KfL@t}FKfH&>M5Zr*p@98--Q@jx3kyy~EmQ`(p+f-f-&~>+TG(zy_cdSQ!MyEa zEX%}o2W+G$D=9vTx(`|;3lt0F97&+MZi;ov-JYefKr`14m;W+#*p5ySTK48BU9?w6 z6bxT#RIC$y3^Uhm60kRw2ZON(`1TSSp-0dg&m7|2>2J6{c0DJ@!P{57uP)?CNpu*=obA5BqF|Abs2?%clCP$Ant|&P-0tLz^cKV@p_mswl zo^SGIOXRX_GJdI&Mep00uEcRR-WRM{^FTr7h2!BW>Ig(!lBV*=6rh8vy(;rm3;078 zrsyzPp> zY24yiy{4Cmi|9fm7M$5i``+su+Z zeD&Wn4VD=N`QSH?Rk-XVnF2t0lkRqQ&%c|4d8w(1)rnYFmePCl<6cN0HfMyR3m!1~ ztUjPke5iv7@r0h-8P)&Zh$rHtmbJ=AFNRjmVu@h_93|3v;N!4SwA9su{(3GPP`zOQ zX`5yEg&9V#uk9gbt<$pdti#(578qRIaa!+PU7d_4weOUn!JIBVVH43R+Veo0aE2lf z9CA_MT!GV!Xw()}olM3XS91-s_I=)8p&EaVg=8YySr)jbd`Q zK>%iU2B@&?4w~HBP5|EFRX`&y9Q_a}XKPE$bGjza@wP*$^x4oLM;`iB0hb{Uc^5-f z3CrqrO7yoN7CC~34SXVAkxG&g(LFxEHcBhLY-7<54GW0XFK2c%Q~o52xPnYb(67My zZk;3bAjm%IL1=q#3fMW#nszEL+#x2+S+shx(aGOgFXUr&KfJj4eWz5o>*DNn9Y3~) z>9e7>)oGnU?&|V}=V|g{*WH}dqTK5Yv8FyPthxDlnOa9@6zgz)cHd2dzsjoMK~{5j zh7rHzSw%`zb+=&8e@L&-~|#$eF4fm?Y`jwC&s~&DRY|*#{op0Gc+w z*FSgxU6(=lEbBy1VZu*tXI~(7+<`BY7pdO7RR^0MBc#6Fo8;6vs!6C893Sszy>-8$ zi0Cg4u5eEIkU-m`@`vj+5|*IoF;O7GSSjtCUG0=&`no~hPuDe_Pm^ZeK9;_-#F>tg zqo0fIQ+Ip5EF@cN2(}@2wYJ#?nZXi+U^0v}C@P@1{g0np(Y_kVs$(3t!-GnY-9#Q< zAdbNpRBD^vAqoe`SdgN_NLutrbV z*Rk|gh6?h!`9o?MmvjpFi4c@p_=TTol73@F{o&XYRM<%+J&_Fg+V()n)FmnzC?>L1 zQO|_699BLO13+9tjKs(MS}w8^jSrZ{4$AUr3zC_e=a`D|Iv2;aoS!-jZ&%C+cFm&g_=1% zp8n-;hhcEFNM&&a^nTig&T|7~SJU$(vfh0|VN#~JAH&~xIqPn8ZtZx0G{|KeAG>-( zY6LuI^c~h)b2c|nTO2-0g)Gk1dGH+JZ?rO)BvvXERE83s;gn|D|@lLBStqU!S^PO1ocsONG7v$cNE8q!uS@bTV|#nMJ7n&Zw1cicO(o9} zUKs9D;@*Gr)sAy2!bNfIgRn)O(rPxBz3It?ZF z1s+9GJ|9am*U98bE*mK^)r#I8AE8w;XZ8VEh2+4@77jVSFZBDk0i6m zbED>HM6M>=AK0b1VK&mKiR?rrr|c(ejEZG7>d9r3?QP+Wl=0hN%=E;V{a77DO$Pz4 z?f<4?;Am)KQ1LC!I{whn8V?}BZ1QMp@~yvwNe8_*JbHH>fBSr=2^=k#ZkWjg z=O0F54JTq)bN9)hG&@72hpD|aU7oaKdWdExYb9tu;l?6;4%P+bg6Vz%*e6%IUsnCK zGBRJQrhL%?-upcqBI4uz9Ln*8w$yuG4^Adx)Kpfx8+dxe_5HCdAHuNcQa_tNAo&%k zrgP-mJk|(&o413z>YDajm5Em_|0Mb}!#_w+c+1sbyb4S| z2N%b%Zmvkj6@6f&neq^4-u`uV4PF)@#8P<5Q3$>|dVTr??#jGT80vDdSKx_Ckh7cg z%ln7$;qy1cXx3S$MrG9*jK1V9e3kExjf=1q;=lwEE=j;7k%&o@Oh)fAa-5ZVlH0s> z3q}>wTB{Rm_9R4XtiT5Q_nCGM0v%z6P1I4*zW=4JaF^$4eie!K<_1Yv)k)Jx_D*4q z!CJO@Hq{uS&?v(67osu3w4hrch_mT1Aj#%AY4 z(ULt!o<^c#-Nv~~EPR2$mN`&73MiY>w%a;2J8oVc5n-jymWUm;pS)B@L230%%N7kZ ziXe>(8C)qDHXF@vP=iq7f+aFjD)f?M_DWN?9X>6o1LbuDYnE#$)4SX&ji98OD z{lB9}{%V%}uxYp8!cNLuBq}JAcWVa0hXTzoP4SX!Lx6LK%*W`1@tB8oR(feiJ5`k# zQE6tmlUaU9+D$vN!m(=B38b0^> zwriSw)OZ@aWh(PJ8FvGXrS+;j(dB)t&+*z9K#uTRW#~hT|MTJ` z$*DZYbZRU`Zei+_B{^?MG7<%_jy1 zc@JO7qE^}={ShtO?8pKzNm^X-aXqIkvuj63!G^c>=fbAgP)sq`Nh(>|7&3zn*yX7} z>P2H!JYXJ^M2#<9T>?%vZGX3F^@EGci90u8tUC2z9#r0a6q{`@=%kO)<#qSguO-n^ z6quf54(@uG+*zD5{HLO~LP3HGg5jtK*;N>8_Ny&x=6K8#eQD>^>17zZJQvyO18UfAXO(_N%OJ zj^ABhq+^%+L4F&bGbhg?(L!OWq3~vguGRPcs_d#%|A)~uDUZL3 zs-HqGTXMa3-k6_!0^h$jUp$ODcy2%39p`%jp8fV*o)t~u*4JPYXp|b!@564U+R{th zJN#vCnv}SMtQo#;`PwA=h5n;DvWvn#G?IUN6s{Bpr{w*zar@W+5gqB@m-^y#Vvt~& zR0LAt>-~Gko~vuio)KWD11|o;9ePNR92M}Tj;n&ZhG99MfW8jsUTIGp(eDkl??=Zh zwKYipIj5)jTeX}#EjRInGXAIhI1?pM(v(CAf}QP!2L`Ew!~z=D*7m`sNzQth5_nZ& z->heHGcVuXg=UE4)>`4g;G3x|Oi)~U5_lnlSP8o4@9gdL5|uBm!)n;>0+XSUp38>LnTl+q4l|HPoqQ7JVaj2&eXd zTE?Wc^3a(VRH?SNL|`#mi3gu{$Pgv@MQ#||Hi|I%1D08K47EIs_}LMY44gd?M}tNx zGJ{iXivVG4biVrre`kApSC!wfPJ^f(%{`hQ!h*^vk>yKCC_q_l0*q(l{y`Brd3@vM<8LKKRY{2T*Ve+0T&7dUUztv4&)dn9!v+5JRvmlC(>4Qd zkEAY7KObKgpX2^NBll=F+%3Hoj{2QlFn2Taoqp%D}_VjxYIb zicTtnw$num9d9Q*%5Kh8PS7uBRn@|kk`tIo12hGHFNWzV=c~tV|FT*b2ATFT*zkdVMAsIu~r<%p@uRYPO2 z4^9G(fQ@|i?@9myE((IVRe+Zjc?6}+64r7V$cJ3Q`czr`!*CjB{a-Zm?d7@SuWD2w z;GqOhg#w#|Z>5P)4Um(A(@5MKYi7MC%`O8wu^v^OQxXdcOr0DYJxd@?*D&tZZe5ca z__gkA;<_r)R51Srj9j*;!~LtfTR`*gQoT?2?TE6}_|l4v0z8kmRy> zM&O)C`Y@d~HIgo;Fb&POOaDhdX81ZfT=_vvT2XosCJ-o&3nU5!G8Le4A><_Jfy_Xe z+<<)R-D!P{^{W(Xqimtpg#4mV5fImK(A%EUnkyD&+T64s9TC?G%6`(Bx1*zu>28$T zyS$mk55|TKg}B6W$*K)7>C8hF<=PNsbqflWo6+P99qlF6f4evBv375l(9wyNOQItmNfQTbVQQnh}bmpK$R$@GRxN=mq*b+miF zD-BvblBhUxus>c~%la(f)lU#LbUh&VHc8Xo(C$-~z57iD&sxvK+U+1R*Y9C^h?Eo$ zoU()Nb9#KZjX`2Q1omtK_ zF}LsSr@TFOqysLs@=N0ya}PS3 zX0Pqd%Bnhsr_VCvsqRvRU_z}%6jCwcPu1otZE&d&8zX?HCm$P%*6o|}>uwCHzBDWM zaN^aRdwrl|@T^tbJn?=~5nJOa0utkA{`0f=;J(7ZD9?bIsbM3-Aa)gQ=7k$ONJsH@ z=&#pB^j%6tp4ikk#IVtGdT;~ZaI}D<>!^s3 z69^b_w&SouWPqfL?e(4f-L=ZzRjARTzcEAZAs;*-=SaUAF0aT_dL#iAKsk_ zJ8mKU*M$zoE1*j042)GB+K0@sMTT>e*$m@;0ttmy&ig%_H+=g3Ji)kA#J%%7|BH+N z)%$MGoovQELt)3^dYR!2WV&)|rX)Z(D1RpFJBk7d4G(>~UFF-(zRn&M-G|CL(JxG% zpn6GBYy|;Z|En!i1&5yhDa!OsMW$caA_1Iz%5RHl$zX!WO2ST)sZI81M9t=xitDIF z$UPOZ@O_lvlOglgHnr#l`IJ4BW~fYj>E*Y<_Aa@V0GQh2>rU>=>10)=;nUm@4bV0E zq!l6HgDaC+hliwN8l%drt@>j5gan^7Rm6i)t;ahgL~y2sAGV?Y$>Brvs?wVam7ge; z^63%X7Z9Xn*wy?pvudkZ?YL+C;THh{_lNM$UvA#Tp~zA};XlFqoTSSOj79L*&zP}O zETXzK)%i6LzQf3}ZPjJE6N#Jc*+gSgNNm%hK!pp&#KS@c5OYpjP~s*RaHp$?A^fP5 zFSq&}{lV6!H2IyZ>;lbrYd79WmuOCSQihqOhNz0Vd>zUiEkPV&)cy-E=&`qkrouZH z4F{`C1BF<{X7WDuSPd@Ouyp6jvl$Th^3eVb>*gu@CP1|Zc=%4d3|4ZbEg) z#=Nd$=5QzA{hJ0KZ1KC(pw&Gg(^)1-ZaItk+^nIQ8k_C8ztYqsru!j`Yz9*m-#!KW z!=`@qv~_-dLvICAR$XIwb{+G1@)5An2D=|ju43dl#NYVXqrhKPY=8~wjN?q%poc9k z4euL{BiX1^MOl}J%yd)H8n20u^Ne_#1(WF3>4cC2qPU=_Goff7Dd3Yz+N9Bd;UG)> z3>u2y_G&75O6sVjr4sA0$fV?!@$x!UJS>M8GrGJ^C5uq4o4xi>Z?_$#Twbn>sM}DS zKD7D(f`yWkS|@7;bSsQ^X}8#@Tz^xIUYQ8RCn{r%2?a83pA{{ug?Ug)7-;g7OdR9> zx>Pr@5xx(qD9>zM+1W0Q`MbQfmAu1t5OtG~J#A!f`gB(~B=qukt!OaB00yIq92=9^ zQOXvg41O!TSFGxG#Zdj4d+RC%M%eC^REMcVh73HZ#bycm-t<2#1gOjC;BOMz+aG5O zg--c<9YkLW7;_$y8m1jx)7$SYs|xd7UL5i8EFe7_DAJVhd__S-G~(BkfhFWX+fa^g{Gai2dRzVRqN z6!Qjjo7ZHu+iCN@C{HYXBf`0EsoIRM>Cyar*vKoBO-!3B7Eb*aiLT+`VxWrHV6Ed@ zN;|rQyN-$akoYHyf_X-`W8McIE^~l(|b>;SV=?wMOBH_3RZSET*?+Yi+I{z&kr8>Imw3*KZ zx`!@Lo`zFSdF-0%J6(x&Eg(k=#@y-=yeIEh+X!63&J32RI&Z2mF{^(5#!6Rd8;FJr z8jU)57DW|!K7(6le|Bbl+?L>=;4xe*y;nx12~c5#7cKP}y~^hDLBk%hHc2O$`+g>w zxqXsttfKR{kuRxGt6;Y#R)9*dw_+}eU@2{p4xf6`z{=oCZ|_sXl+fkg>vi`{eZQOP zP9sp0VZe!xV*A3uLsc_zBrSj-h`TBildc>@)4y_R7bSciucQE-AUOJ}ZD;8M)-3V@ z|Ii`ElV?!79((y)f{`YKvBF!5qW9P{?HbFWa&UU(v3T3Y>ZgV{r)8T25;MJ27b(yr zo99jnEc=nZOz?vU+vnRjE>{6_+045I-YsMR+aoDw&L{lvcL=V(=si=3GFbv{sh#pB zg5>dpCsc85uoq5mOlO{tiS>qWKDI}@PQvw*BS!Gw;A>!No1B`S@A<#hH&JX+Db*`W zVY9wXT+k^bl$Dtp?ah4sk_wua)1>{))!ba^=3?5|=#vzeoUaiPIkxVs{Cd6VSFtGb zv9m_*TigmweOcq;DtZ%Hb(P`silhI1c|)5s!Lc3s&_M6O5aM@B({H}sGulFfH0Swf ze(K94l%H(ihi(Ua6_5q}f9F^)^oaJYhXtOJEj}((9h5|}$q!O@RRYq=@!7eyu7h-YQ>2T zZz8pF>_ojj^{IQ2RPx8|q+CJAj2H+2eCP+}4fK=ot-Z|9#!N6zAr6c=u6;irs`1_8 zh$YkIdwL>HJZf3!x+?En6!f}WIPt$ema0CnOHC9|67oS!t7#&wvAu=-w2yjq_T|d}mZ}YUv7rNegbC<~8IRkJ$5^5(d2|v$v3pia&$NF7;ae3~{ zF8%y>;4)QQ|E2@R30c*(jm_56$r0$OrOr$>fH@zn^Dx!6&v_qC&-+=ZHqjp?azPL85f0K>%vI+?}5~RSzs`pwUsyc z=bl8H@6qI`qyNEjf1LRj`OTM+%tB{odlHzhec?vi&7+}j$&q?;((0!7d1s-!fKs)d z=gHr?U%n&oC9Uq1l2ZJH_gFZK8ag^@lVIl_6-Ky8F@K5IcItddD-e>lsGYdA=*5 z;snXwrSZaotRZ-xu3RcF3f@lCbgQD_ zd47-tNm9u7F9II8pZn8?FmjA6J<@nn&18zV9-?6e3&QPLekXV7c1I7H9hu@`E2ITsx$!b`MrEQw3>FBlN3iWJKDmIb;Uy(EyayFY|^BJpe z`wq&keE}dbJ1b6`gsY}$d#>sV^&W6>QJVbW*8YQ0O__};^EqeDv(ev@XFf`7xD}9` zi;AqaJb=rtxtaCk?QT>mwQK%;fT@8#*677kin zJyu94L6po$+Wq}Yf&RlmBx~2hplz<#LwTx!m($6O!k0_y48UJ;OqFKO3=cUno@Nhe zE++_dP#GsvGEE!-xnI+JHB-c{m>KX(3tY$_XFfwffC zsM<&zaq(03Z90F)gD=m*lE^l%5^f_^{@VgOCRZGTbR$ZYNPoJr6W;$mBM20 z^F@v1RjC3|40buC7$hEW?b^P2Hl3Nk_1bIZy}0W7vsRR{u1qX`?Q+%C(I6&)7NPhJ z#+Ho6@jkl0*Xl8CKu4W-s=n!ZwQx(ZyQNHZnT_z|1khN^CJdwz83f}l6e*yD+n`TJ zCX~^#%dEJRUsC)XRFQXUsdsCh;m?S(bF}}g!zV<9&VZLIedT28q4`7DXjrCc$x`aio5peXyCXcg))~B7ZrAX?E*KKKNw7C+Q4*45xgIS0hCmj(@8Cs ziPO^DS^wVtuGgVGWj-)c2CGvkd$rYNNK1|;upUH*SjNeoDT(>Ok+iw)zbSXfb*oN? zCJL+RB#)xq-h8Yw6yVG4`o1+Y^0oje*qF5a*E07j%I`+NIeN*%8#HkGf@Ww7(rfLm+oNW!nJW%gJ65fh zwg0L5LYXS?{VrzLPYPPTuYId;;c9oc^mQxG*4kJi?Yg}2lv^9oP1K>J++43B%Xp>~ zLF}JERr`opL!?eA#v3Qj4%Dt6WWfAEi`k$;Ku7(y)7$cKTCa3Fi!l18>vnQ%eM2v! zWMffY0jrUdgreO)j^rx;EmvxqVJmyT?cJMTJ{i}1aT+t?c zy4~<{QKxYkQUX?!+>W(NkD@+5F~d?IT2+n**DU3STguVNv3l{77fNt zxii?@RB!4f7a^>)vn6Y8_IMu@h+rERU`r;47)fLa;uL}V7Vc+k%woeNw|R8?)_RH6 z_>|gp)3kYC(fu%%oee9xQmew5Uh7reICVI6zzFpo)aHb!k5Yl;l~t(w>=IGFTdJ;2 zx5^>QWQ3-nA#FU`OVg-8O2w#P9WrOljIv)4aOf01IuFdvl@D0 zc;X_W(bU^vOCyVZ)ZLaZW1Joy)Jl!8xk^qmS|Z}+zy7`NT}P#`@Z$ZX%tf?EMPL}l z!~w+vs7l%)b%fJ9eIf6h+7`YuL(6Hdr&oU$uQLW*n4}SnzTMD~L%^yVl!RbY>V9%K zB(#A;+f!DHT$w9F>izYof*`O5FH>=*x53drX~9P~6?E%#uPhwC*6A`q!^lS2l(UCn9F6T{fY~JD%-B`aB#qtvjej%X?Nk)p2#{!Mk6dp2Xtnmwm@1}BIHJ^JsuqR6%do*2>MiAk+F(MD z?fuh5dg!sCsg?Xs(2Og$l~GJe`Dgr!um{WC*&c)YiEiJ9hQ{Vb_On0xAHBENOoyUY z)|*Ja`rz6vRG*F(Wj8tP{JDh8Duobzm3uYe@E8NGSGx}^aP z+@VxGpt7xAn9`AA;>f7NQ!1PP&Bb>g%@FP5Jpa30kM8HeXf}QR_V4_~7yz8_($$di zlzBG?9a>$i72lurgJ8F}-sR?4e~&^!{EgT7``qZR8Z%_A+?!=tzmgIDw};1THVFOW z#~o7p$p#1;TOyaVQrC0e*QUinb`!g*VHjKo(a706Bk$)ckRD%cb*+(z%ggLwu7uRd zZQ8N7@ao$0)580R`d$v+uGXJuG!`mdt83_Iw*)+^;*NEursl<0lVhg9bgl4g_vODI z@o~qjoSv1?2agL0gsv`coi&OoHk~om4O1Z_j&u&nzJb|G*pmHGInFVuhxN|jWSS|dnU0hD$c>Ihg|8L{Q? zSdqX~U@+9XKyRD3K)90w%|RkYSjKFprnV#1i^7%;J@W>9_}8Rls;eSjtw1FgV~9YE zfJ<_p-E0o}$(%?>2b|La*VkL*T)Pp*jt0EVd%Ry@`oAv(oK`t?-Yw71YintGrMdZt z*sc9X)OAbluZk!IWT~{WdTnJl0#jsCp}4eZbE4&-`N7ipzeLPiKG?Pqd^x-oHVMD1 z>%A}$pMz>Eh}>*l?WMe^nc7ZirMHwpL`fxt2x3+L?0mz zj~$^*BS~Xvwm1gE6-&2g00;!kh zr|r&3$AS8ppJ)3JDsvbU{Ny~LVn=W;t=@2>JwK_r*C2eN;FIykZ;;~*A2AiquqZj1 z*=TI}5cK4H+LMG5HF4otPHZeVW%%u4rmDL7uIGzl%=1%a@9Wo7aBdOfaolAXA)@hj zM4$vEBELG5d{ngsPa>Zq*z!YT>A{BA)J?TS`q;WBgR<8W*4gSZQ7*^^3t+E!jQ&|K zrV*@N`(-pPLZ_<8d{)EZW5;;gGEyWZe<*f^h9*y-m+IFYP0NOWj^>&QPIF572b=IIB zwP}{F03LB_3`bb0Dc3?SLyykUxS~f~*Tc<`)9dDAz{~zUh1YJk(P93>rK+L#RpBF! ztcnconm`NRYNZ)Upgf8{=OPDgZha*({RqFZ9)8`RajOv3F>%RUf6GcPNei3r)^$FA z+v;QZLBQ2S_POxOVOy>LSteH*!6*Gi#l~vZV--Fsv)>*q^By&p^F)0X->_ZB5Pw=N zns6-XlbjNnln{Ffv$p(pB<%A_A{YE_PT8he6r@Ptw700%jaU2$>MJ;_w_;meiYDem z)DqBd@lW3=Sv$(CF8(boZ>O=~HQnZXcSK3s6kN;)ekY6($wpDw*g#+U^Qb<;{ zjT>enB!+%UR}p0{nV%d(^FA)$YxgML_eGY?@J6FaXx@8$1w~wVFlWc=bPiaE{4c?TwOvSD(!1(y5_C6qx?MUi^b{ zU!DclJfa+e_JSy3kU3-+i>z-9r4|YMWS}o+Qd0+PSm&KP`e9(^K2E#WpGGIU{~9X) zGe`q#XTq}#)xrRmtk~$Kna%etGrSBx@%7o<22Fg0jwN2?aq3IF-*a33OH060nb_NM zw`kY$W8a40v&V*)N5ePEYmogTFmNaGvBALaZ6dUKVKixAYcTh#QR|Ph{u#1`r>~R3 zj}Q*G|Z)3$CSIy*U-+es76*>H{87P;Exm4rdf zyrZ*7u(98=9;a2KTq-9BM>#$A$G6bV?N9A}(z(rwSDXLZtYRi>Gx9LApM>YC;f(at z!o$K>E5Lsb8`JsqsiKOR3XL*?mt2+#A(4_X!h|w{Y8Lx5x;i}SnDUogAc-A{To!I2 zoL34X3B9;)Iiy`9@kq7*>c|3%_50GG za|zo4!q3Rx(kh^m`^1NJpGK+=MVQRrja2u2vCzjPGK44uw(l#!+}qVRS^;<)OO;xiUS%Fxm^m@(Cw zp=kz?&w4C(aHk46vid~*`f~2|Kc+=z0&IFAA%d9FPCBE^H}? zYUVQUt=-x|UQS#5cgEuX3N)n&VZu0dJw7d`nwV<$KlBqk@|R~Z2PY*kvkVmIC2P=H z%4abM=<%hEl;rWZ5{C{>Sl8*Dq%vTF@`P8G$kU;eQpNrDa7+;Jhttl4wbS*9S=si( zA!r3+!a1SyO&?uUPP2eK7&~s8Nx?REJ`~Hg9YITA|CA9B5=$Rf{P5Kfe5}vsW|I(A zt-@yYS+jIXLz%(BM%bHG**rt#x;=~56-ZKNOPjfBg|N2uSblv z*OHRNf(J%&$YfeN*cEF=8G3fpuRHhY9r>^G=p6)>ht~S-#MJ|;bg^udzAIEJjPuIl zSml;WRY<^cs44dE>TpNFOVbx=op!9XS><`2JjDuW5v_n&6}^1y)Ko@==eS2c>TAur z8H65=P}Sp_4aj9ND~bPeRw|(_`4@qzCV}v8Zp`WY1S8Mut|iD*T@@b7BGoT4NGVo7 zjE9+eF-cs)*fhbU0e&oPSaK6y#rO}#sBr=#kEB3EL}gSZa4Mw|9xb8=mWtAvyJV0M zuw5+U6hqaQDwVoujYBKLrXXK6V!BgdpmO&u4wHDY+9br-c&Kl?#s72)w6=W*iVc7o zL!SOrrFY%;S}q$cx7-O|@IMt~9{FEYQ8^On;}_F}$Bj=~)pmOBELoAagYk^K_FjCu z2~0m0f!oeTx6UTC!R~gaCmQEFlR3w?$GMT+{xe2xg1BUU+ZA3^IMBpDi`Jt!Gc@Xm zSapZxs401^kGDR>r(|&HwC-KqJ^ku*^&^_~0)7_C=-ogo1sh~H7DW_>%63}L{=sNT zQ)pHV6J%O|t0GLE*-%f@Q4C>rC4~!Y+ju2udqPF$^NK9rz zn<}TqgM=a156t{`F)LYJL?y+W)!I*pQ5eU8oy_5$RjGDE z&VPZ`;cytEGj&uEzK3Uy9Gy%uQYJtGBBxfu>C@b((~U*m-^K_0;CSENQ4H61tl2>l z^K)_X^R>6Rm91Ut1dA#)^zkkD6q}lrF{j0`F^_c|K%clD_S=uFy7`gMs7uhtZH}hJ1nR-uR8`K6Cv+K^abs zQ2D%F!zc}2t=*$C@-gMx)96VnK`QstvuWcxGz9}wGcEaV{ArhG^m;RSddx2+q#J^+ z+ZPL>ZrdFj?@Z58ox32FvPR(?LN$kKiWB=1!kdGua0mPA8t6Z*}!jSD$@c2q_JJstI@dhuW7HbKu(|Ut6i>!K*#EY*)+Fm}c^qRVuNTNDaEciU$U~rn0^6<-gyuICWml;;4$$ zb9P^?sCIk#@H-B8>vwf@b-g@XC6CDt_EiqFWmn^Npb>1eJy>l#jQG|-0Ofv=D@6+KhLO>X>BGYhPn=$0DV1X>g z@fI0TDpt39aZ_VstO@XLBjIdJbcCL{Hm} zB7QozESWASl#k9X9q@fstlgVXE>fcbjg~|PZWaa5fGUM&g-u~=X{MpK(A+$e;xWev zER8Crk?%>2X-4el#tY*>p~42x7ptXhO79gMtNjT9z?)L3_XOfJP&$_%GfLUpn|9Xv zX<6nFr2>nF`{|+01#=WYA}|;%y95`aSiDf7YjA&@%{Ov5wWDgF!*XWt)393N4qiB) zdZrivZ2$Z_A<)tWPN%>7x0QUb(Ygca+|UJLC6=%bs)};lXRETlzv{JouIqkI26FV4TK*~~{^{UP1<(p7_Pt6LS%s~va5d6LB zt63@@^eTyBj36FR?+;mav}Cop>90nuF9@_j7yt*q2OC=^>flNvC$^!K_?#=V6~XT$ zRo`KUNoTo9V}0IHb}VWws}heCudajDh!p0L+UOHt%7E#kE~HWiHIFOV`|e!}Tl}`i z?wj|)l+J3etO4A!t*`B|cf#`S1E*Gc%^J1qmU;HbT`CiW6s`_au6c>5f^@$$A(+XbEmdEK5YWA^GPL+Kqx&sSDC zSbctg+OBIO**-99ORl5;)8ZwY|H+iF9UQ;+{taK(?TpZ81?>CUu9rs!m0WhqO88Ds z*Yg3sb-Cy0`DQ=R`F*{A3)%fAvvuy89vF^U4rH7}4i3buq}ecoB1%}=oHT z+cf)xZ(P$U{!BtjzNcp?*F7*$Z|`{j?sNy7`Z!?%C|kaJDfP$`acz2xdmqeosCeAL z%tZt?lM*DyLOQ}#a;krRilq?anvAA+e)3o+`k<(QQ=DWKAx?5CgZ*db+rJYhEN5to1|7vl+ltf+}W5e;<=#KdO_4g6zbS$PWBj}kA+52 zh4T~tyJD#SW`=?yUN(>x8vk+Db$1N$30Akfu1p$D(CJe+F{_3gvOqL=Pt5A(%Nb1< z^Z&WoGQ(E`ii)6P^Vli33c_FlhmDq=CCVQ?D^N2tu$%`axL8vn1Pk<+Rw^=!&g(+D zd*gY#jSZS}X|9C4j!mRN2Omq{T%zKpa6Q0;Vs(#aE8I*j`3t|*rkGH6PEU^nQhaK7 zzdQA%>?a__5Qvk{U)L}5h%G(BkIxj{kQLL|W=Lm9tE<2hkrX5;u69REW;z&_b3Jbn zr0|Cltqv&N$Nf=&Mh({?C=;P>Qwr-Fiw4gLfWb6G4n&1_4wjL}v5N_7pKj-jNhzt6 z4e6(?Xn^4llF*KnfWKUBHL@{!cJ`2QGY(|d(eKitl^2rVf4`w%E3=tC{wsfT&-aZN zeZW6zRU~fH2h(x+Kp3XZtvHe*7Odz^LQ)vIsr#FLGRHM%*9E|;7jRG5$ktYlgcGJ&3)UW#LTO)iFd_apeX zfd9_uSng_5`|EgE>EolY4bhmoj1Y+j_EE(_Rk{1J_r`*o*JV zqca$C+ckrK*f<^yk5XCXR$?F~-|DTPETeyn`e`fXNnl5@bMdqs#-m+f$-A~*h{K>F zy|}h^3fvC$s6j{SoBagMsXkc>B8ZIHx%G;Xe4oWiKCJ}2_*d>h; zsV9~b59}&1S!;Y%u&s_|CJrLDlft$uwr#x1ZC1kdGnU-fNJyZ;LsQPWHrdYMLHRcw zG23r?Qft_i_xo9`#utF41gqj7XE^rXWfz(ya~og+Tm85`TCrMIL;_i18Ix6=%qL6fnE0i26wDSLJZ0>3K6slw(ntZh*>7Kqdw5cPe{u4M z@O4c?n%q4+p4L>#W{9*zN4UcIiO+7l*95a7v;M~Bu701U=89!x)6$yi?_rTQhl1%* zl7lS*Ap6a+#o!5jwqA+}?PD8C_c<{Sz5-pU^^v87q{I!DPfSi1boLo5A zT;^(gw32msO>6B#0m;lJT=k|&-kr_+#HggX1yW-V0FJv#h5&M0Nvky?Z;p7-FTllD zxx4N&AzI!hYmR?Jj-IFeGL`~l*xz@o{5p5z`;>UORDtPa;B~qCCE|D<{M_vc*;kwt zeV#@OFQeB`D5@axdp?_99oG>~vqh+icb`Huvq7C_P8mfE*Gl0(jqUe=<3x?JltF|! z_~>%0dIf0Q0{{)a&Q)%Q$;h16SEFL{i5X8Mr!%?;V z=o$h7VT&sleL$%m4P3=?tm6mEMv0D*Qi_ zO%k5DC3EGF)RAOG$@!3(FU@ib^WFm!1@=-L{8Y4eG0?5Pv$%qskEQWMwJSh3u+l*q z7eOO^^d+R+lF5egeM~iTI+ zDJ5Zg{EC0UeiHw=|IO=)9~S_d$T%CZ-oYA)8)y}OAyxV6G~je`Nd-`xqd=vWkdhRs zLRBsAC#oBT1(ZlDO~CYkkUE^Yb3<*~E-;B*V@r6`f6iAyE|TBpIV#1!pyG*7JQbN+ z#USFQ4SRhqZ&IJIU`3#euufyYUCR*C#>+#cl9}!$=GVqX2~39_!10E6pJ*tcE^rA|XiUrDHneZZ+s4R3T`H!g%ggAtX>7868zRmi$#JM-&#h@RvAXR6Nv?tRbPXsG(z*Y900GNSuzEG$SM^ESxgphspKgVr!zEc&w)Xw_UEljLGR$A)X{6e^CeP}2l%?Aw-5mbp~yaoHs7%Q_Ok5M8+O8r&SpQb zm=oh~;pcG2RZkuKU}_4T@HGRBS(H+0dK-`Mb3b3Yf@#JE><_3G+gWf}uz2$U7c=*M z>o|#qXIvDBBc5mc@_K#xUGF=54eOS=Rhi||^zub6h#M3bq8;BM!Rx=qyiEwhnmGp9 zSy02FpqXc&@6v|8-LLxVBs^wNypa z!Av5;KbB^&j#sCiG`mx>LgxPbI-KruJj1xUy6C(f*L*x@l7gu)xoSW6ocr6thWj}5 zW9PjMX|$Cj>zD@8pSRr1%2ux*!E*2-!Ja?{N>&SC(#P-+cG7*yZz;TCp`PbpE9s{6 zRW_v61sDwHKseG(01`iCH^zm>-_ZyK7NGMwld@5Jps(%O#b(=eE}3<+E@W&>Pp_}& z&??j39^)9RiC(wQG`LZ+0~Mybk&I*A<_kayEb{Mh1d7X*{xRk zDESJHTCs=2WFh)YODi6BP8w>sQ+Z2Z0Yn^WY%q%DXDt(?W;cpV6Wqc-cT;Id+51zwf+68m~UeZ9dgRYXnu_RfV=Hb zoT0Zr(6`}fOMut)onI(DvQnBJf_)PPEZ6vVhykt^+~B%7T%hn3M`R$yw+~h9luWr1 z$VHwtsC!Kj3_+d4Q)#+HCh)BC{Yx4oI0N!N9xeG+HXlW9XDirIYgb#{PWMH`Q|WKt zD2?>>Nzoe}Ys+FK%Q*)wP|`n${?e6Ak>q*WF+^L?_1uH6UX0wJv1u=c9JKMC>!eD1JYji0)cw)~{tc2JGO1+t`9OmW!-X78AT9v| zN-aoYZbpp>*XcoFmn+Sw{EY%zXNnXU0i%5yrGwBCdnj0nOPz9(`4gAg255;-O(n%} zQmqxJ6IxyTQt5`#-R~#z=jX{$Umo)IyU&Hbk*Qc0JNB7hE%UW&IlMf82qpwh8Q^^P z5JWI(jH!&k6}x|8vW9w-(72{@Z*9hTv-)+Nu#^K21^Ig|7=*pAsVNBQu|fUFLSlfQR>FE!c;Cm30%&Ay z`5A@B;fYCzEc)j5AZ^&JyAI_qPi+({M1YTn{kG3hmNCd8@NmUVC8VTLMed0@HeSzK z0v~Gw{4LzOzE!E_>-g?pv`$F$IzJtV`FL&#`|b6Hc6%M{yYpcln=NPfd)QeDd!6om zX`uj}o-|>8R6&FXs{|^flcR}cmr@?(QwSdpe1Nv^& zvQA=6iZb(*m*|d{qySJA@z_)qs2&u=Nf39h3=7t(YV~srGQLXaU^EuZbhtmh{ncB5 zNw&%t(5{->@P?$zlcJ2hY<;%M7j%7W9J~EI_0`bN#n+aZWo%NcqqCut`{eeDwQBA- z?)6RmYw5>IFdvf%q6s`bwniNoY#W0wCO6|v$Q#zCob1hnZ0OAP<=O@3?Eja}9+*eB zz>SbU#utZM$AxW6GBg9s30~bX${G9tm%T)Q#;z_s!Km#tSvFu`!Gk`_N2=8M-^6Co zXwl&Hi-+wZ?RGK3`Dt2YN%+OpMY-+Pxytm?kBWL#bbZy(cT*X|#`|Gk_Pt|Zjh$RV zAXt{tBwkL6k#W9JLm}~ZD8sChj2dj@cKO>~2LVIKk2d+jB&xj6aYY2F>-#z5qHHOcMl#6Q+Sh$yy4)WsH?!& z5Ypx$Wp+6-4k;;~(Mxa1e<2MF>lLkBY2YI8kdelRB|O1vM9depy)V45*p2LKfQ4Ayqy(en~*`pqt}cW{rzyrKWHUhuv$0{APYxl@`>O+fQSt+f44!SS zZcm;4TW?!&XZ{-n+mQG3@fN^&Ce~D+;Yf#j8HPs-i2k3Nb2l=`xgf4_l7LG zZ1on94{SMFmCdZcmnvzDHBJjsFzFhsnAUskrxK$D$&(S{NX{ppISNsV`5az2TGfGt zxJ@bn!Te0o*b2p3(Dn64y)S?Xce-L9DLDm{uYJEZ-Bikw*JgdGnzKxEMr_r+~83Tw0*17kSt8fA~QZbRXi|Cd6B6dMhF*Csa5JQ=z)j|t} zI_1g7AWr}iAkU>cj0|{x_6ZKz)uf5@Czgq&k;N-z1R#pN4(_hUaB*i?zQw~~GX56N zr?^?uFp`hH~W?>=1T-1buM61PI?oc&KNa~7$pAPX@olb(th6ON={%FV%+j=v1Qw+OfX6j4qwSZdZ7F=xT|xT2f+RAm z8Jg;{E*LMPVt5u*uor~>v$e7rO13EJhYA5cJE0wjP$lq6t5YXZX-cu4IAD~dYRL5$ z++ng+TlZioQt@kSp9sY7jcna6u(Ne*uUwX<@hwq&!m7uXEzpeCiZlZvVymgU+Jai% z^8MdjK$+A7AK3d+Z&?wj0<0=t=Y{m5p-iP72rB`Z@rRO0X}u+7;%c(o?2rbL@s@qn z1^000+vC&7BeZ%Oyib%r7!{sPFy@DBcDe7-#=(wY_4^5qxd73K2S|MRQ! zamn!{$+k~N7X5IEgqk+wHL2aUw4MN~5<3BiH5Gk<(_6--uHUV$b4AyH)qO}oDlaE% z%fA2GrFg@yOG6z8GP?|cg&mKH0v0sk1DfWeD(T@RpdR5Y;#gf$$Wf-eNq6I#1F!9G zR>mmv{Iz5@MiXl%tW&Hf%1(-Bwwn`%pkVg@v4-d z=;P@1(EH7#nNAmHhS;(er1l_GoX2+yzk zmuE@^Vh`YRV0rrK{%h&&-sZ&LIbHJ)iy+6$l13Sp^Q8nToTc8h>XBJxLR26e2i%dk z{0SveNo!sz=PDT^6NK|-d3FYi)c~M<2A!6cRpE8wku;=A&&(CIeozZYUL?|jq z5Auyp-XR0+h!$jb5Skf_qKvJ}ntQGC4^FmOljMtTZ3+x`LGm~%B?lF<4XBAjdI__L z9*4$;T4Nd3oAPGjn=E~~omxy5ShlZRB835XsY+;2wbzA&A79L=541f0C=||EqG>u{@*y9V1)DllAC#W zi1g&H+1lCq00=~;t(HPjC;fxd)qEWM?{KQm-$83P*=PxqYHP^rNw=cyb9jwm2f={u z&pV5=&|)1fSM{_Y!T}yYXi4jjZ?!8Q)FL1g)Tzwu5+CC+& zknuc1C|j)}U}0#R)(=G4f_n&yMV=XYf83$RZ_85b$nCgO0T` zq;oKzsOVeA#@q6;cG=p}ZMiaV5E^gmbDrB=qLf5$q3(SkufEqpJjKR(G7xNk+SJ%- z-Lk?4elZ0ifVUbV%_cVyegGZakvay9T|M{=3=ji#MgE2@S!(~56cJt5HC)Qb$^v`7 z(ONH_o<*RTzlta;~U<(=-XN9$PS`<$7I9*T9kMyJ3AHbZ^Ke($;Asv>?b50PaA@qMc| zRg-zo(H>%l2anNZD*d`c&BSnoIG!emH|tzN7YcB;QGgz=kE>VqL;{p>C+?5`hSJ;Z zAaJSNveLPfjPONwny&pla#2ktR?+}G#GjWFd+Rnh){>KPzyu;03A1A~eA$-|AL9a4 zhd1!#LoW~nEj3L>q#QIc*nu2HLBgsvYlf4j;uk=H^nJof-mh;fv3~}i6vPAteBc54 z#L_9t5=$iOj{kJ~eyHZz=zCUbQPc+9T?(n&oeaNh`PTV++jLhuhL;Wu-nQ$KE|@xJvRE3O23BiGkabYSVXShD3^tP5f-|G+i9b3I*ND zc|B-Spg^KwG-Cl~p zhaT&%IRi1Oq}c3q{F6Sy1O>eeA8H#~=4C3Pe2%;?77GNt4@Dp8;8CSH>JlQ@nLZ){i4;&j4%5Xb~FG0zuBaKsyh3nDwAeieB$Regd3;ws?7pqK1 z?mPb>=4p-(#eIOp>4lRmsL7vj%3?`e>h$EtCSm8pOPgk`vQmFx7|1>G!}Cv6^eHn- zOj|$!Bf0w?$Sz+mlHT%{VmeVzCAzu}r=De>FglzAECftIk9+QyK)XicSKNiK*I;G_si=!{^8V2d-E zA>_EpMd&uxP1OUvAv%ea{F5h{neyU^mHoL_i)L@0dS6O)YO2pB>OBS-vF;{N;g}3E zq5g{nBmlNa>E2C6G2mk4ApPsYZxS=ESHru@vh;=tdQTw%j&D_S4Gri`Z^dE{V8dFm z@n^t4`)2L0X@G(Sh%G3I7R!>epEav#(@erny4%~*^7p)@G)58F4W4`o&OW*>f*}iL zixE}cP6{{)hzO#MKuR=1;{VU8xf%E(*s~GY@Pj4(H!*1WK?+11-jyL`_#vv3@AKA9 zB1XVz#Or+q5(_2+v{~vzdDZ$ zzi%9$CA+z!s;+Sbze$iiX0)7gio+$cry4dsthIb}sdA|f`>*D8fi|2Te&}t96bbev zP0OG)cd7_xSWH8g3_YV)Cx?lco}AR8b;|dQ{^q^g^@D{PWwNA!9kht1MzB09vI2m+ z!q9^efR>B{{Q>Ic&h@+8MU<%n4~%>-kM1`D-YyBx__1eM zZ1Z;7{mOUEl?;2a-f?cw{rz=zd*rK8r}Ob_B!rK=^I_XwB!~j+HsTYF>itSyINMj! zp3k-o`uN$bi1E`HHHoE+4K=ltCDx|jJ{q7JStyR3)Gh|$?`H$9wzlRz{uIX9!=xVb zP`E(ZF}t25p&uoH&3~(rNOrj%VqN}^PgiT#dM-(`)8%~Q**hSv@B@IcmsPXaI8Yt| z2F@1r&ABMEY4&X!6cP&)2+#@i#Mktv*U>ekM%{eQZkWIHd@`u zFqxd^5V)6cYscoV!{I=z&0k(lmFC`=o*;b5Rz|-{WOvx3B7kt7u<@Jv`@fE##=;=IY=S=EcQ=8oTHL)P#R^Qf7?_%borYVs-Uj1>)3_Xns>Fd7M2tM6*e#L4} zcQcIamicLPWw(G0l`|LPnPPpM2OjcIGx{F&>0Q={c$=P>wA@emyvohB3%&9|jR%MB zWdf=1$nH+-UdFIHuWE&hg#_v*++UnxZ!gz<(nYfzmEm=Pp=uvo(^L0yeK7lF)&HrX z3hTjC4shig=?b}3du+}2hJHZ<)bSj??O_IR^6(rPOr1F*^e0}i?syTQ?Z0*|o|W^9 z=ws^6Q!8pHk^u^=ut&ynfVfnO70oH`gc(`T5#r;OQb->^btw6Z^Cq|S#D~~lkD<^= zfr4>)sr#osejboE4r$Xui;8eZISjA6JLFb(Q4OZ@bF#c#==DUZDMHgclbfI0 z_IPa&DwdTExduYj3DcgIw%@l%jKqE|If`~RHgsBoz5=py9m^Vh?siAS-gW{m{>V}Q z#E%rDQ2~Ov|E{Ar6YX=U%BAMMMR(aUd@zz1#cU%u!FwAbh;O52B~fi!$i~v-A4SkV zn;tj}k_sRP=AItpvgbaWo$H_Aoelm?%~g3`+3?!i)!boD_aJ8#aVv)bd~&lD78Miy z0N6ppxp(KKiuBzY)Jjo`rx4`+mdPSki(}zyZ-N*IV++vbS(RnMbQX^E4b)9F>|_%` zHc7;@h*y>{DL@S-%?6;nT5G_YSW3cK6iiX!eM+w876eulePKnWI&~X9~$tBb+5sPkuVg0xH_15`aGIS=!|IzuK{d13LQtERRk{7GF103j6Kv zTBVD=A5QcgsIUsZZ@ZSMlEDQ|TbfkQcq;~?LSQ1M4%v;*!@tdw=1bN~i%L;fa2ugV zHEC$>dmYia{$7Fj`xjr|WF~Jsfj7K%Vp|#-%0oFS%^Me{LeZms?rF92SO@`SI3}iMgc=KEplsdT9!Pl6lB;)Yw>m0PE=|j6D+pL zfk>fsX-d(w;GH1}%{V&Xnf5qu7@4B#=WciQ%hSi3=*=AlzikO}@%n6RCW-nhYaxup!}L& z;5B?&lkl@;pRxCcKp5V}jMfP$t|n+Ms%38svX~+b6v~ z`}==N=JdgLXK@Z0N}ZlsVE29ncc!3JV<*~IYHApK+2W@lKZDtKi{W(DfbQkHy05W6 z8Rd{+Y2i`D6V!nrKbs_BV5lVK#m6J$U|CJ4r~-NGwXp!4jngtcAEG4+cKkRNb_jT$ zeA!IpooR7*{b04b3P@AfK9T+%?4wA9)DpFpO za9*LE=~$a1x!>Wgq{FiPF>%+ardj0{J?Gnu)7zihp=i-nG$1Q;@QteEpA>xzG{id^ z4*wFhxQ83@HJ9T3BDqW@W?^tfBES>!XJ3ZoJJ#j?pV6AX?gMx5kfLJ+AI+5cGWj_L zZ9`zH#Q3m9k7>&HUK8R2i?)unIGi0)q%BYE>}pbeqY#A_=$@_g zrk7uW^PIx1^O#Sa|KjwIqfo%5nuk!W+aVQN)rR-Rl+o&}IxT>ANqfXHU1=>Ni(;c(oZ$#0z4*m~AU`6A{Z+V#w`lY}E5 zM2RO;hGdi19JP3}>vKDZ38}x-$|;xACu*0PP{8I;BSx1lVs9v1$U2utv^L3eh{XhHp}{J+sz>q^>p0A32!u7P-v zJI&mGlYTW=`D!laJU>WtGP2W8uDnj*2Gi_s-{3{GV2^ymjsSAoLs^%+ z3oVP8(UW4{pYM9RMWNP{6*c`RBsY2~!{zS91F8r=k%5Vc0CL?_2NEX|z$VsR53Vl9 zNULEEpZzP@1@Z$W4&(DkE>^Iv)H|=TI`u5rY5vCb({g>Y#SVHXHzl^-KbL$pg@i|# z$o)o(TXrqQzIwGxtLy|8XH13zBq!U+20}s=uGbYQVrm+d5^Oh_HF)_KTbt@j+Ar%? z7t?gLzKM1X%vU11OrP&M@Ah8KH(Rg~hxnBp2fdS(mb31!;i+bVBDxN^lxwXGv&Ca| zG^bhB5CWE0KFFZ?p`a?Ft}n3%;&1}Za=~|21gO?h6v*l#U?cmDB5D~g@>J+>lJQLNyXnoxa4SHgnvBKDe+`OWQ4Y1OqDdSe+rY>rg(Ar^W8sjb-Ipt`~AQ3)#r^G z+hk9ptc;u|TL0%hGO@Z=@5{%piG+A_$=qB^T1mr7TsMRSG8kun`p^)V}P{ zeOSN$V%rYqjOj7dwN&M)g4F;3YGkh(XALsg4v>16b61=<})K3&y?h?;1@Ic)i6AkyS2MZYm|l zLdxYt{?66ULzf4NA%D%q6AB6G)>Nqgls!@wFMfac?JH>5NEd<|G-E0KCj>BODf;Dw z43VY{|4%yq%H2Nv{tcOSd~}*LI#4Q%vP?GojxmgpZMM|k^W{tS}({EX* z<2w@E|1>l0S0Pcy=E+G{d0`1euiKxR z63x#TCibOR50UP@O^`<_tDh;BwKr9Y%~Y{%4K@?AC|C-VkfATtp3`bj}Hi}-Ea5*>1~c0o9-W8 zot@ig`48(J%(Z8F`dC=K1{FhItOe z2&6(ig?|ZU$)##FqoORI$7bWN665ahYVvG_lqL>GrgN52rox9`c9ts5&(#lzcWPyc zx8Ke7m%pimxP+f2?Gg9mzp;p>lNyI93|vSq2n*G;z%(; z(&vx3mCYQy3CUm*=F)Y2t%NfaWR0r+V`BhzSh9m^FJ zc+2~~KV~%oPa-<6IK^4qxA_fvf)+IXn^ra|1PlQSH>ZgF?~w$6zCDlhTsdW+F&JNh zWA=zTjV9aP>9?oW1k14Pd)&A~e2Gz9Bgto}NPack&yr2~paJiHecq`M@j4N2e)+dC zZ9XaCdj5y{<(V1)E8_y`ikcAfcCUt-+pBrA_UFav{y8=B-oetXg-=V1rc+TTF3xY^ z);+-S_TJ5~>{W!yF~Vuci3{YC-HR-1Bv~otmCqY)ME=*4=6&?MJ8d4w_dbq*AEg&4 zBv_=i#UaYyq@8oM9Yd9%6rUv*NL1=_!jxpDMUF{UQpJM*2%BhrVC(=&+koJ_WR zfOed-hc7Og_PYZY7j%pF;e+&p#(l30gWWlM_JuowMiaMG#2F# znZb_7C%sqALTQcZ^)0aH&rZkPN9C`DTn~=AAGv_)LTioXlkny)^s8f48e zwC$wl#xs!lm}*dfa<9htdL=P9 zb{jI*Wz{}h&Ro2l@3@$n8a!;|JdfSyUzM|2S#9b%4czCz{1AWh`RFv@f`Z)KDqw84 zW9pN5dneJr@5jf-thSr)g5)l<0`p!X4NI4!m%4uQy&iHJ3oG6xW%J#f_qagxn4I{a@Nf;Ib{4k^iz9bVrzr+Cu5873a`a74zZhK5_VmO)4M&jXBUZw z%ju%|?E8ZfTQw@okA{-n#n<~@**cHC z^LI@4J(3lY=uGIJFwlg4=&O{|->m=6B|=k%es-C%`f!X1jYRX19On_S(D*os0C)W& zdXvQdj4*irEtx-PylnebWsFy_9%q4H~}#y7=- zxxU4Tl~JicCAFr`PI#A+(+|SjUd;LCoAza9FD8MblM7kC|N8wC8%Aio0T1cxCku|l z9}o=W&^!(Q@Bh8sYjj6>6=B>O9lU3gL87jPtjg2%mF}u#XlN|!y=%g+jc}eiTU>Pz zRFY;A5oz7+w-55Ed%73M_S;n~qa;=?AUiw_xWbuB#1n7l$!2gHU@#5XxSU*UxN4wR z%@*d*h>m;eL?juD;wGMYT(bGqjb&F?LOSmO1QoqlBo zH)?J_<`6GOtj7Cfq5Nr8PyFdJ(O0aLk6dG@M=?`T%VCr%`{nP}1aT}Ir3g1VARGz7 zNz&5Ro-uM5ai#o^p?E$6W-4(ZWCs*)M{7J`1IA+1AgBT@e!Xs0`8I7!9@2#&QCN&& zK9EX+tSdi>Q4LKARhj#L8Q=f4wo3-SSwBU-YCbs}IY8&~wX)qCE}THFka&4KzZ{rR zh;OvRkySRe6p!rK7A)<*b;E_Jhb)A zV7I%N5(ho3a{lzy#h7h2;sbEIiReiQe4H^ehIx81C)Kj%B!o}+pplWZ;JZA z7j$q6!0L@%yjE7)X^=n^%;}U4G}wN+-G(v$X``bEu3 zCLVtIIolj~_>7*jGIm7z16(aBNw$-Zsk4)8w$RRtB~C~ri9)Lp8Hu8(k)nn~4=v8K zaCuOF-k6VlAN$nQwDxecrOxsA`=;YzbL8LV$gJCHYn?Uc;=+p0%k->^#0w=7&PAs5 z(n&e05}>teY>On1LW}fy5Jv+9NvM*g2{TM6EwXBIsd^_?C$i@FB=PL>!U-^aJBRn| z)ZF!$y@YNpFP>awq}EW13kZl@NCZ?ZAIB6iBa8Ys|MYNwnfe}X3(7qX4ybP@?nMIs zg+wM|DAuxNWRv>s_t_RP_9JZldZ|>&J->HUsK+L#g+v9sF8_8f-P?daDv@Jy6JYCe zaP#|YriHiE5=oO$sH4adMg$w0`u$rx=BuTl#e_oi_YRfrldIZ6!0Bq+%;JInQ{mpr zepB{Nt;otMDlj0*C4>y9(ROv=MkvwmsS#~e%$?+mIyZnrPUIUGO=XsR4)#lI$H(!F zn;$>lT`yDX>8{nXP5Oc9AR*Co(g_{z)khw*oX}h?#jfsbu>^iuxojFKQq*B4VwWs{ zS%Vz^I_d_a7o?9O4Jr2jtig=N%5nT6>3|2q+5qQ%oTTMSvSItt8n{3AF<4!~qkOE+ z$%0SRP~Ty78giP51xPL@b?2JY3;6vmFm!DAUb1`dIX9LlwOs%HR0HK*FELb_rQ2NN zGlQv6)Ti|s$K2^azcK-lAf~AC??zu%4ofJcz+bo)S@qm2r1sB@=EcocLCU|BhZ9T& zAsXa8A_i?n*Zm=Ab6X}AGK%#I(yOd>`(KOs_0~?3FB9F(T3Zvx$qH>h7u>WX6jh~T_z1ikc)9$lvKC_JC5 zuNfFes^w&LezD~vEmJ5E(3{tptL*zFKBbyBm?D?DxdC3VHtcI8lGF+74(W>g{W+d) zQCB-I=B>82RgCYKou#pHXGV=7ow~cnySrjyk+1yx7e-!l6{VOg`9zAC7;Le$JQ5P< zfC`1voB!MrX8;Xv9i;m7ip7?p15_{W0knFwD*hfrIQci!+^prak4c!5%J!IP+6w6e1@{~d*Z(HC=#k_auPsgv-iDz_b7DlemIWt}od9O26gOIf0SO0$nw z%kacsyvxXXd%Z6LUkdvS`~01VPhz}MfqX&k4d|;KO47cVww~gqFg8W;qq&)21-0@G9<7;1)(++KY3R#PH70hzS*W}87zIZ;nYrYq6F1fkH8tZ*er}Ft^srw3Ep~-JT&^0(Z{dcE& z6poJ(`$cNRIp(Ej?T&$NR?6f@hT|KK!1D`=>^6m6MzoB5Pe06cW=ZbhPr;8ge!jrtD74T>Qp;E$E~Mz80x4r{+)RvJKwP!>C77iy33qE5vhBQXHY?ogn99yU1A1HG8 zleRE*c!R}m;AMXXM~>LpWy!^?>K6y6{fjmu#+(3qSI_5EiGWjy$Gy{_Er%*aS#CMmo>#wOFY-*bO?!w^_5o{x1`(l zJewmzIBwYA>Shw-YO_ep{IAttj;b=fVtlhOpuH+X(gSK)0oN^k$4(+V*54T$SMFwP z=R_o0A3n8dx&S}|ZU_@bad7qG;mg&s3$F0aD!S3jea<7CI$&>hLRYW!J@vd1(6x@% zlgDICRLT^EL1w>_`7=HT-DX!JX~oD7i^bkG*>t#Qz2$)Gh!{99KT6(lMOA#1fjgkm2Y2&e7vW& zK9)jZV3VTEm*VV=a$}t1sA_N`b4hL}#+B)U#J=Y${J<_n4QU)VO1Xvv)lZB0WxDgu zM>B}DksZoQB^)m%GCGtBA#)lVz|Dy&DmcA&YB196J#8O+n>zdiRgrF6uqQenMpR6* zyA9XwOs04Ktl&hh=}(-m2xcWjDMk}<6)!Bt#vV3&1&gv%ip@AWw`dU-0QxDR+It*x z3=7AfNJ-6D&iZ|j0Mz2&HTsO3!INB2l8KoUD${lEZXn_X^pX6BEYe}Y4UhfJu6#v z4~7K%BYQ7fWH^QbEZ|~(G2^WY=-~cKTm}1b^w-hB&+n=sb;2g?;W@|TgQtMnv`hQt z@X_}6s)7-+h#br96y6#h4n7Ki60H~_Z5oY{>~r&Hs7E{Tw>PU8KhKOw=e)tvXlABa z^X-xwBhvcs@wvO1h#n}rnGxO=xroXz7Tr(1Z4NV-@L6|D-eAxfJYwzso^@u-*EGd| zOCznVv);qPxx88pokk?+3Z8X*a-REH&jp_2bFEWG1~)UcfX(9`vVMq5158N>G%1j2`=Bnmmwff^w7`V2C~xvpn*&VT8z4_9LaRMg1u((-Uc1 z++OINkZ7-M?Vuy-yQ-h53%bs4vKh$mqGtDM&BBwoZH6_JbfL?}BDQNJVZ85aMT=lF zIh@F}+}I!x4S8Nv2pxVs70cTt_~N$(WV)~109J7PwXiDQ|Bl-smioaeZYm7#PxHOz~>&ZG!zQ&22?Uy0^rQ}wf^>nLn%KO~s zNSfw{r$=30`JdGlFIid9rc;o$Q@+_{6V+-A{BG*cc7j4&V`>xs@*?M?g`{$=Wz$UD zf$Q$b>gp)*zd4bdH^TKLs`Vn5BO`Cj>C{LX@j3vD!vM*JasguEUF6YF$YbZr*^Lg^ zB&a#yYO24+_t2*4abJDyi|H@h-CsrdRarvLkm%`Mh51wR?o^IUm3TB#TitYgZ&`Y2 zh)oJ($VoUgUTW=tNQln&oCAk!UVM4#pfCAsPGWCd-m98+Ho5N48CGPcf7~4&i&3w7 z7oNT;Ndvx(MM1_gK`xJa*#>vKKR7P!xYg#XJH*PA6v|0c@EMMQ)#y(MCBRjRSE zV~UcRb+J(M5<$PU1^T_E5F`w*yqxo!ws$M5Yi%WCoZ6kcX3X)=v`(ugb;?tWLI`m$ zQ}uLT9v>tg8DDOxSK4p>#R$Ml<^72@$Rp%BMWA#u@92|2oQ6jK@c9j5R)3Y}h-mS|h~Qqrto`8r$*!>s!B zNlXPB6&kKd1OAE5ZrpNzJ~Ch$ctB5=W!ZM80dJo^A!*>;R-5}(jmD|;Gm1I5+zI;w zz6hgwv_$(0eu+&Hww2vYw}V12NfU?J)AluZBT{#p((iAY`*$a|d@^1sU?W&w9s-j=nn_7#_JmibD9tY)-YY+!FjCP(R66WFr;Cvh*!-7PcI zJVDe;yV1ccxGw)(dT@k^)ralq8x%lkkQ__;8i-{zNLpqMqI4=+`$gizjj2Lq+kN_> z3j~7DV126__W@5u{l$D$QH{|Sg&!EAM=n-qD-Q|JFUf|HuqS}>d9jhObcyawUrr*K zgT6QCPkk%FNiMW5v16{o(%G`DMG;{)Vh*(1Ijjt5M<)%<|30)iSdzf+O~eC+lu#0z8+_mCj; z)Va2e{L3Y#Dz<*JJkflK(B9O!mY>|QO2}u`Yb`z(Qw|ddUQqxxBXK>oH{Ws7KoSZ> zEJ{Ki7BgH3ig%ecvZ1zB?xYQ)8BUJ>;gfXSUve7Y~L{eC_rg7bcKX)_O{*;dZS%@|ppPgs6rauG0r1gW z|C1&7O-2RtIcK2^c*nU?_W!bS5IKD)@+hAeq}PN=#nM|w+NSZx3{s0yiUp17Ty2mvSugP*X-s% z@1NmWZ4LB)uUfmKQNpm2St|JYz4!F5=b8~GF^r$I))@E+k&}8VNJ=Qk`N7AWBL;oK z(V3qBOZv)Bt^eO>1&Vxr2m}ofv9Zw#(spiRHXE;>oNGE6z4@{q|0tIR8NG zE&WAfEnTlKhqk(act_{*T_Jw*87VCz1J)C8ypbl0NO2lFikdwKYQn z;Xv<=g_YZ<4Aya9Nrt;_{*|)&($c-_j%RO5UCyY^8(P}DY47$Ff;^Tt+QRrW$!zJW zE2<_nV8B-PBwPM6xT-mK;Q>wk zkM*rfF(4Vk1l>qd&D!?X#+HWs{Ce23iK%Hexl4HP;_;E1n%b+2%^p1QXj-?Y{)!xa zqqbvDzoHp(2V!f@BoiQFfDl4E_4J zX-(v&>iITD^x-kbH{iHuqRP1AET8jn4toNS1fz^2Fi}p`8DGi>gS1y@VklSR1A01# z)mVAiS1M)4%SNX!CpYY|>hpDMYb%B`F?7aQKv=L;Zo(}ZCK4v*Z{%sK`9%_DLJkbc z0!2F_6qi0f08$}Sg9;(55QBP**Udwf!I|3Wi+-ZGV@m+MvQ8BvEk*F!KA{H@zjzdU>>VW-i!ZRX|R z51RDd>j{!*?&S<3|2Zd<&l9lKas$f>E;n zz5j6_#dLUozuz1IR#C=JTUH=pFoW!oB*}eI!*$8yNtG}^`HxaOr}MY3A)eNcRtgBa z#&bX^kGcTjHE3$R9=wp`M~g8+O4F~e@tzLU!6+zb=I_(Rc(9Q$v6*OP(HKf;NpCXn zwuhQ*=*u(XXh%Wj2D0wCe3mJADz@R6QWS5vX|ctnGN(wsa{5!wcPdOH=R%-f<0;(3 zk65x$aL*(d6Ig*8s$ZE4i*fUixZo@Sk=yitzhb_DR`Pui-l9?c9;UML_uil?^9Il; zo6SUKhM98>o*TXiVe&?}GK@`})YZqTt1Jm>?p07p7lLmk4{Ir}eJKZ+0a4$2c_K8| zcq50^uD|Z_uf3>Nht8YpWgraahBU11qsk>N{#Oo(SMKp799d#5vl7v{3nKYkQKNdD z=iOgxeofcN{wmp+T1iMwj{DEx_|Qzu%YXVWBwZ1N7mxfXxA!HedY{|tqw}s;r%w%i zIjTG`o)fZ+r}r|F19Lq|>kGO)CW%^k-+y-5n}&1V;SuTxL_1Gr=Q#Lp-SOZxis-a{ zD~bh4PNWug_7DZ$v{mI~rfKD3#gnh&Ig38_QQ&oJnfjb<@?2}%p3cG|dy_kjf$>+WJray5{Wh<( z8@((=huEf&7o)a`Au^gP#zsZ{+`12>C@9YLn=MugbkcnT|(F zeu&I@Nv3%Ff1nlw--5X84BQfYv?iLvedw#{BQ)qFVQQ#@HNa+dgVV)$DL#pb^H+i^ zZr0^a$rxD!ZLJ*WaPoNH@9o-zp<`1EAmn%kNtr*AlZkO*(x_@*`64%u#!tp4f}T5qHhSwA z<3M0!MC0+z@3BMbAL|Z?8oJJ>P!|#(j_3m*e)-wlmJn*2_b;yFS@<6q7^igd=Qnk! zatxzk2IuDvx2+Y)ekS@=8p-E4M`g?(~57?bZHay*qLc0O!|Pjl zDzcCrj*yq;YABkSWtG6nK?qmF{YUVh@>}CtT2>un#w;d={E7zM{rZ%CPzQNh0Y=z;lGs=Uv?yES$eJf23XYw zzO>};D2?0X0#Qle!%$!ncbLX5S!7s05Q$M|i=?AS`~B(xiy4;|$vTafTpA>AA%9M1 zGN1Zh>;s1IGdc2_3#Mz(rV$g=veaC-pPRGKn+Fv-;vgd6?ELcFx22@r_fmR6C`dG(^zCp_} zNm4km0BL&%5zF_lrDB#t%a^Sg%PN+_RW&4IT(NZo0kuq@A?uo45D*JeKdeJ0;l(ZS zyg17|$PcRy{meL}ml8F>t#b4W>Fmx)jgf3r(oHnb?jlFQd`A>2=$Y+ADsM=!XCT-_ zTo%?)npdTK45CV7zQe{^og$N$#o*!;{OeUvubG#GW`ov6A2re)LZgG#QEd9a#~4)d z{Fp$X+U~`Ve)eHk53$VQXQw3-0NyusBX=jmFXs|Z8Tos+QwkZtH<85@Y&}itD_~g! z^!=|!a^QNJBH#PT16&sg!`1c|^R~+bEqs~llL0;TEH-L(7iXu_x%5{Mr+2KcKlgR! zV)%yVD$42*@a4xNM47?fYZL?r|GmEXRQGaW7MRXYi>^e8(BD#f^JQ<{7 zK>=hypB$QC{{79~VpwPepJ@i=5%?kz_$p6dM+)rIAZcJrn@spq0|4HIS_z=ZUg)t>J z%as0ZA-A%~>Fa_~Lc%4XRMpeQpMqi0Rz%X3pa~OQ-kEjvj+;mQf8hb{L2eIO>L-d?<5hOdl@5=xx>ekI8c9?|s41xiQJy?eBO2-?>XM1~hUN4p#~a6i z_f7o-c$_B=FSiX1m=a0xs|kngWnk!faD_}A?q-Y zxo{~;IoAzJx=8P7`2|}8DwN<0DpZDFzuLD%Sq~e2X>G$!Zz6bRW_apmn$Tqgs;$np zvDbWY`L^Ly!tI=-OMW-=sVPk}oS)~AH405#8$U7|Rh*R!4fzs@cXAd*xcdY!s?L;o zQ8@*=!~R)sU4lS36-jJ!$##O3Teici9b}%#AjSZ>*6Op{TSp!-zQI6Y%2+#|adNjf zAU84zlT^plTj2u^4-!FcDaKoyv+PA5D_&ZySB=f9goNs#&}Zks`{)w_}Geh1eHNlgkCt zbVZhHY=%mbI3qG*0*0)G8Wuqd+N3B+qC>AHQzNT}4IakJX@WM@ME>ibAO zXU9qp_JHeO6QP6AJc1eWcLc#%t(}k8U4491f7yJ8d)cz3-9U8gdrl|Qy8b>@g0$m$<*W(*^lvy>p-4TOs;WqE_5NQ^SxSG7gx_<1leoT7 z$3tA;OLC22FG!LXUi?nX-`y%N`;WtoM9V$(i|dxDKl_GxK zIXJ>^mv)yplxiuWcfQp&v4+sPyzMq^oR9O zb=~=<@vH!w_SvOH&w`=+0LsnAy*6}wBx1`L42*2pP1mTTX%`YV)^KFO+d$PyUjUzK zDAg_w)dq}Ideo(bIwzA%&)mGGI{Y0kVpUB6pC(s+wpgI`$<$fp+H`@nF!M&Spn-u_ zt{@Vsc+J+00a~x9L(JG0Kj|B12JM9Jc|{=HMOgXDnGAmwJlg)p7W*0Z@qU|Ps(^U! z*A|l+;w2c*5pfFPV8cl$WjX&EX$zLrHx^`H&-8*ag^V0h7%eX|;6&DI;eh69XdPpl zz8BQA)*{BSvnP&h@2Z>{E)1>O-QUXL+sPJ|YT^&|acw~ucZj4mlp#{}PjHCpOwe^z zrvTA?9kqWnRyV|>&}4a~{q|g&QJwnfGN0m*)!2dFXOS)iGO0Q&q5whM1cNlYK@h2r zfj3^JPxmc!aa8c7BQM*He~Tq^=Pehk1jdKBE}a*cbQO{65z|cfL_q|+0}{_izJqrO zHNENg?@1_Qi`1ya+Gkc5?OgVR`ZXcz3OyjE-!PhMgrHONGoG$hiL@pFiHuaEBgF7y zs7A7L2O)t3*NvH~!E9>pKTd?>J>Q$;BcZ_dj08@fCpvGqsnU?4SIG*8BR$2sMF|FY zN?;U>1h67=qfb+v+x^l0Y5Vi+LEJv5-S5e{h^d$7U z)Is(6D5h+#HlE*tr31@a4)s3 z^Nqg=a}7HxITGOfFiOscX_=i}l|u;fIHtY!&(fKH3H*Q-xD`G(KH8hfU1w1H>-_3Zo~zzs?-p9<1(U2HG-)mB^UnHmm!6D(GPuy|eeL(g!sufN68_o7bqghAfUxHui<7TjbH(9v|9@ z_vV@Cvb3eG3<}cZ4D^!I1;y1ZZo2teC{fk_aMOsGwG2NNY=p2}*$1VLWMjK^ej<`( z?kmg`_p!8Zeg2J}L!B=6XK`!<)a={WxjWi9kSG3JpbQ0}T*7~PX;K>K0o}LT-q|G9 znzYSXS@7uq3f1?shiA5_0**79nSq`y83hb#vagOpjqnn;HHszu6HvP6Zah8NUA(%% zJq2$WWVyOiq!{hWetpms-utSEE*1GPsvE5z@Rj_iBd-!U%#~7jRW903s}^QxRS|uW z$+P3WlnHZnFn~Dz$?!?QJFi5tR>hJHQy#EG1e`l&gxoAQ3Q4jXtv$R3P=-H!FG*V* zI-BcGpzFM-nD0mI)zl{tg_~!@-z7v>Gb68lel1yhc+!&{z1Z7(xgYL7xemB4}tfx+$ofb<> zvWrJ;BFcU7iRYy%=viDwz8Ti+{r7Q*Zh(Z5O58ATzdKx|NjKraPcNRUvP;ackzNzAuluJ;|2@5Hj1h_d4(=zcq3bqZdK#x|3Ng zTufIe2&J%Vq-)2&aqr*$8CT1wFA*@x7;!k>IM}aKFbllPBScghK3|Xbysfu5sZc|X zfJ)IPMapg>|1-4s8hOO~x3%xPQ&Ur&<*{Ub3FDS}r+47&ND(!hq$&p2&FJWIsmM&w zbN4PkC!o63^-0tDn`JT6uN4nR9}eD)l|SWPu`RDYm16<*H*JF=jB#cFv?ct`ClY17 z-^O!4w4v_Xz{|hn9-r*B_RG6{an<&79pMizM~QfCMhD9i9m1o!n@m~cIj#DhCL3{Y zoU`=>eRiJji*lTtj@>IRqmj;;^9eqHP($)1If;HM4+Wbhp-x5zLl71l9RPz|mP;Q` zCu&})_0N8w=OKKagX)Hu$c{*}OK2Ka_y#kPAX!%ue2Gx}Y|Pz-4;}yh?B^$d(-40H zE!T%8%^QMiTGc0H!Qs2lu!kJ8wlB{mMT(qeibt<3_;Iu3X<{cz=Lg3 zz|n?(Le#u?P|&7O8kks6&>W;u>LSL7p-T-$4v%`Bdk&Gt0ZdbIBqOQX(Ld7D6Cr8k zCXK%lIeZVcRFPIdbRw)FTn;=vqn%eHULMBSiF5jH!*v&<0R0gr?8bm{`f-H_cs!1Y zz-#h+nJQBcIzHMs_QP|LAXOCB9Q-VvjS7v-G&&`zOQ=d+ujK!wt}d$GdA}b)`1{A6 z;g8C(tnyG(tcRKS*Nt^5-a2=b5IJ=FAD#akZ^vvIPaTs_&EV`2u_L&&1yX-pyl~&Y zUGqMU*1mko3F?@6Gh;*EF84QKXu)oiJf9<%HA)DhuC@waC%4OQkIlO%nZaZ+rd{wF z{$0y30TM;DsbL4gki8`QLQl>dgb@EnbVOzOj22-57bJowPjsmXu=Bx^A;KD&Ke#eP zQ0xJ7;m_C#1c3hJzSqPU%PWfI?Ee6uuqNwwK6F3^c~ovgth@Q|uCt~&`zk;&v_Ym# zeJ*@3s%U@Si!vFfa>#{?+||9?N=6k|^k3)_vKH+#Mu;_BZF9!en-?P;8sDrK@t&(K zKK@IEu(#Ue;^H)a^ptk+(1@`n(NY-mJPR_S@>Fd;Ua`8b?ENgd{-}l}6*r3+F7i?8 zFe|DdK-&KKt&?vaC(zl8H$|9($q8Na%87~;jAL^(AFP>Bd4*E^@7vF1G(K-nAF_xV zU6zT9>H8;brYK}I{LAQ{U)kF7*c!N`KDB!si9zFaLk2Zo*XIETj7qIfj=vAsa6Y={ zK}OIk|F&uLg*tD%V|lF`bY<1Lsf0yk9Y*K5C$o3XB=B}^cRg=N6*hRbmAE&L zzrfzx>vTKAk;Kd`vLATUh4*}2N8tZ%%*_8pb&M1WmlZx-2)sQjk~sx;Uks@E@AZAd zkp_Xg9J&*{C~GoNLnTs z(ZfciMuN$4reV2x)~`e2y;1Ovf??IR_+SZA_wT(wFi^z2J7-ppuD-}|5nQ2#>JT`E zu#NO$vz)WV{*bH}!~+$hj6qmu`u}__8t2P+C1w5E7}oiCR!9*-st|02CyPPe1*Jqm zaNqwY#)-J6?0n5;sWS3>+AwgY$7^|;@i0yF9SAdQNRLtTivNZAt(sL)=$d^bidPAf zGpf6GG}%_+2n-XnnhegB?jA;=k?xwKVRflkIPY#Dw0gC)LP?cw@*~~(RZ~+@2Fr4| z1)O3#)Hd4y5r!kA4y?UfpL-hW?YO?Qq-n2hpy*dh3R^Wf@M;jWy_DoM|B71wIVnBO zauAHP9I1LUcW|D80E$j7iFaj!dt6bfVCPy_t;*FG9AXocOd#kMKrV>{Kw;m0wA@)%5<-iA zN{MbUP4UsC{p_SokKKViAJkpbPpLVBQt(I1Z)?m?fLM(K&}Z!+Q>a+rJvf(wEi8?7 z=k8Vr5Pb+uQ2bzcdJ~2e64#<5jbcR$CN_^z4tq^T90!7Kqe2J6`i0{DCZl=&8!BY& zkwRoLOu=wlg2L&kN(I*~aE(Z?c6r@Lvg8WnET(YNG+F zM~3|cqH$R(B(cs!)WvQtukU-Vr~lh|JmS%I8z|~By)c;ikuDnW^Ll?+jI$0H5MC8` zyB-bCPY0UcIm;N6O@217E9VXVZqX!0sWz@Q#_KS3eLWclPvmeCz*Qbm6QupX%!Hwo z0kPCenzPqZX4Ml$DT<7qI_mR5oZj*jSqsUaQke~YeExJPBYvHGA4`8!GD>HxyLSF9 zt~#BkEJgWb@M_ZN^IPSq{mK<1tl0PBL63iX&+-XpLm5E#ON$1;->l5mFLxbXe>O^X zsROhlr7Ln-zLtgA1CUWz*S!6{V-oi)+P0#qvAyhYzD@5RfWX`}OqbbYM#(Vc?QQxm zXrXL=eEDD{MpRhwfGF>)_-nfFS@`9sPLg(#NE{lIf91|26F?s|sKN%a2mnqC^Q?EP z(1b7m8GyJt7j#&Xk)hvc&33r6xSb3&^TPMS0hHkSxq>Ob!}1~+%edKxZ10KgLbuq+ z1s=asxoZbnRx`3tjF4&JDB8UMO(H`FG_Zvcu`;T1Nk9Z$%%$M#8|L=TLpc;)9<*RY zul-c0AA3b1@-hkLdr~GMA~?xmG!|fCM$XjPst6{897!? z$LI4j!YxfAqB(f;)!$T?RYBh`j}BGh7c&BtO*RyW%f4^9f8yZHL3?mC0=kqLs|NOO z946U#MFV(9k_pzD-<=&@o+79_vUaZM(}wF}`5DO`=dSxNFB5W}HbZk@FQ1<$+piY& z-@%WZB@7Yc$VHN%^Ey1Z?}LTaQ8O;+{Z+}30UDxOf||-q@b0(BscpEH)xc)4XvZxP zut1;+WOY1!I}K`GWw*7#O||}IAF;=Phuk%06sN{Mes#YS7kEE@(l{FZE|!rQAR-G( zAjhdaMMyRCcQ(AO_~%xRmzKhi6s6YpI-9Tm{x-Kcckf(N)3$(S!B1pi4a;wyH(~0T z1NBs?)@1PsEDN!`Q6%Qy6I>ee`pRyVY_3PcUN44+`1RW-9YDd`Q-N=RgwhjTS+IA| z)OuuyEkcRWMTbcW@TAIVmd*Pi&mSJA6t1qUNO5ZF*Ub@+r^p2>Lc5NB%F;{H6G^$i zfOz>a9DC~kx1yUG?gZM=^T4Kg0S0cV?M#IV>!iCr@2-IVd({m>zw0wjK~)hY_jy(@ z=g4WnLJB_f)lzM+VPNFvZ*n%ii|$oOXz|#(ei3-6(=!V+NTAu&HOc9?El$uc9~#1o^$*6 zDS1a>89$G!XWmQ@4_JP32FrU8iXNN?-OZ>-aoa%HRMbBLD4CoO^f*8lDeyTWL)*+J za3#p!n)B1kj!{sZpvd*CHU|d4i6V%?_L>jr%Ri%anJyV9aXn^qTi|J3NzLZexpO{# zav-4iq4m~uX+Cg*4uplFC$1p%R{!eRuDEhXil{V_mP1W{*dL5$)3p5ZIR0d-&KBjZ zE){ujE$?OP)uda6O=5%ylMj@b>rJ*)j^t>s}yH#K=ZL?P`l! zrd!-H0|3&W2ZdI$^pGwVsvK4+>ExLIhpMx1qyzlhzM2>&#&jQT7o5-8;IwyE|UL=Z)um{)z8>-`D;9d@fB$OY+C!)x($dw=ImWqpO35hxx>Q zc%z52t&H|RNaDuW5p0OqdCZxOl@3m}ZyrBg5q>Ui0T>dTYQiuZML~#O%-@^(-M5moVaf}capE}Jv4-m{v&d0X`l&}O2hjdav;hiq) zSnqWS5lJ8KME=m5-{;W8MUvUZ#-Bl8*MF?TBlB!%{eNmv5aAdq5c|h; zKfRR@;&Y%zGE}G%wrbP@&ZBLT?zeAGZiPgi9l3RnCaddb&k} z;dC{M=o&saF17jI^Z?&UW7}BaxY98&3^>_(+85VFI8j432*!H-W8Xe(dR59Oh!7}6 z|J?R+qHeVEq&xL6Ntn0Gml5p3u^TN2FsgwORJU8xS}$7YRrp1xFCpsZns2P6K>}Km zI31-p1h7|XGKwu3cLv=3dcATYvTP9E|58_QBx7HrQ6BB`;V;9)(_sdUl%$~dp(N68 z^=bl=1}!eW{KAU*Fj*97N%_M7ZK_&S?_EKfiEL7ILG~jZ&hzv4gw7t%FL1evlRYgH z-Y5u6sMuM|=s4~EUY0MlW4Gsr0I#RKYU%^mW;I-EwXS@~m*IRbLXkYCt4F>U?<%iT znx)GgA%h$c-Uhfu3i}*?v(MWsvpNpJGze##9uJAilPGF)Qih)XYu)s!&2*oE_e0N^ z!>L}Cp(6aCTiZEg)+X>foT0yOjmR2g8MD{Hdp}z(f^5sYT|~2tF~A}LL6n%b%Kx~C z$KSJ_L)*h#bB?O>u4fAaE|b@<{Av`%gQu{1FBfLDmS+mbwnF+iq_7`+%);C}bLusS zwsy8YIJm#Hl}59v6j?sNj3^{sHCo+k<$V zE`H}_L4+r)ilq`=kbNB#@j4zVSZ?=yOqkLAy7BjtvhW(bzr4C3uJUG6k2H2oh=X=d0OEmWMFE zr^&hD>##q!uqFo`LI6$p&dXx#>ca7-_n5deUZRxlM`S5QV#ZFV?f&~2tcIL$6-KVT z0(-8G%S|t@rl%?yfR>3T<)c@@-OQG|Pu|ie5#Iuka0OIBq7{1L{0d%dCgk4Yc{`rfbL#>6d!;gNnkwhjxlv{cLsI&(|cb4Rot zX!@Pc4R)S1bP)Kh4M33@P;nWV7>#V;K25VNGBF4U+2nk6F$u}=%|gME3fLPgpwAZc zLJ;-Gt`$^*CkG6>hvUgaVQq|$gB|UWF z)-d6uV%&Nc^s|2ZadKYAbFwPnzrIB8al);8U%Dw{f{|t`ey{=zMUBV(N;_fqn_R?e z%3DaF1F^eeI9{hF>R4y(^0ZsdB2HhjIk=_e=A zg4IJz_pCf3IQcEoigG&&p(<8=AMt;`ul@X4ZV|^a4VHD=pVwYOEq`YFUVv-9z4P3> zIOd2Yi>mQ_-1%3elT{;qU{XHU9Ibx_0w(~h&p_BP(HTPY$hJ?QEkg=T0a;R40EOy$au80v*f|&1i%p`IZs9KmX|qmZ4;&L@1fM1* z5FY1i(n0bkB_ch-W)>}Kc(-txe0a6y`em8?Uqn(k_|QUX305@|B*m$iaCIcHUQw4I z{Br{jv1FIg{H}+E+<7~O%z$8I{0K0oH9ILv)v-rKj6}zWZ=K|r&rlqAV4WC-a1Sbo zn-nCxOZ`Js2YKp)p?W_5>zh{)w-k#U$(9A@-o~t=zE8SBfVQa3bwHb%Z%hK}Xz!?` zv60xr#s>kJd=wpitCj=L?u`a|DWr$^zyj;prJZqY<)M_xgi?!H4OSY-fKkcdTYMba z2mvG9qGyqVey_U#Qqf2w(>{jsu-~S<3h%YEu@{;j8^Yq5LU>8Ln%w=p|6Chg_Keh0 zXaLa^d z_Vb&Xmyc=F^cN3ug!m8Nh2Bl}f6A!4uOL%o~zzuH{x z{H5xzeS+Y%-!+eVKQTP6ZS=fQQPN_Y;X$KJOo`yxRVD24AVaZox3xK)rfD$f?zn~2 z$%igW(+Kf->3h(`nQcU|r-Va2Fite>-)TG*IU=xyJ?2p$RPY-a{Yu0dCV7SlNjPw( z6N!I!!sKF9(wyaPWhI92MFR4N;NpS5{5B4E1rzvw|GM3L5AGB6%qg5q)nF3Suq6P9 z75UkB0FGCtcH|*U>gX6Cd_`1^r0IHFo;mEV7IQrv^*Y&a^{4D4)OXOI9y5-}Qq_UB z;>mN>O7Ndl@gtPdw;0jItTmFp0J*uC;5GCTXnqY4DUa$3!{x*{!s0-wp+i4RLKr2m4L^xje6Jc>3k!3x%iOslcgz3+M!czY<51 z3t0*f$`r{!%2$vjW<|DwY znnTwAED_7V^JS?EgCs`00!yTo=by2et)e-ZTU54EBFpHb`KFBH$~PT7=ehlx-Of6@ zrJ2onty^2gDDAv3#V2;(s;72kRaCv?b4vR>pSv?T#C~jyn4K8n<1JK}ZTZ zjT(}Lp07fald~#DvwE5tz?oN%65y`5dbHl}q*M7Ant_sPCGu0)jKgmmaT+}p98p+{ z97RIcGc!9?#-5ZHH{lfN913H9U=-RTFc=$F4ZXW; zrgnxb9=3Kw5@x0i#=#--;~G^yB?R=lFTgkffJ~8(ZG>@8m??Ll{oV8{`!_L&vD*CH z+z-F|{jyt4Ur<9WQG|Q|)u(qjQC6i^miPY8Sbmm{_^mh7S_Y2?%y2IUyQgpWL&N~A zz%*p@`<2JHMz&~TvU6t|^VLD+1W$fV=F`^alaWjsqTjpCtzvuvDYKOz!uNihM|o+a zi0T!yTxrd~iW9yp>(TNzmS%PNv2&PJB_MR(asi!V>!RhhG78LvjKC1gg3}ow z$=O$8dO`oML_CbNX@MLCgjVC845Xf-*!#L&gUPNu(AjzEa3obWn@-Bl*zaG;RM_^e z{=KFNV&E1WdHa9WysClYE6Hq?)uxG zxsW_JugfS_DoN+l{ya<*#AdZSt-shLSL>%LZG1yR;6%1wUL^G0RXNGlKX`gOXm&^g zIicjcI*2aUV+S)22Rw$}d4tG((c|P0$5#K{ev#IMq6qCTpMjkz+g1Y*S8k;`_M`)O z_T*B{+$Fow764|Oi7A?zsnX%qTKwGjSb9K)Gs27|?aC~vB|>$wEW{YW_8($xvyc~u z@IUX=QVXS@L?6S=D`-vQWHE&CsD9}jl;fL#!N@CBtt6`oRIum6h8e-OnJZrRzBp-c z047H~Kb=*QkzCq2nDuLavM+J~7#pb9C!CP@AgG)G2vJ>>j8L+AS1F7~_>bptXRs>1>ZBd_Y~xHMf`ZJ? zydSSa&&e$$dF9A7IUW{apnb9?<(FBJ*Sq7`DnuYcsqHNa|6a3&mv}<&p%%(v*{R$a z6W96fvA8meezQy6(`~DVdUu#oz-J~q2e-+cbE_t<**6E>>dX0}VmhD{tFyMLZbe`4 zX5>KmiO2uJJ^K%KK`fNcaCvT!L`ErEM1V@m9hcBnc~Vb3}j%k5aHQ5LK}d z8`@s|8)P%^jw``E!2OC()F?Fb%7!v6=CSn_&W0%zMs}u#%p)1%wgAzlWfP~ZwQ2OQ z6h`{AjZvI@AwldwMr}&D6^&%<5Ee*9H_8{U|2;pm{xeFy-f?A(XFpdE+Mq<<3pB6G z;l>WN-O9r=nMUWbxH+&9Zb9tq?Vqm=|E}0Em`vI}b`NVsYhfl+p@5M@dnKkyB$3m4 zboz}gEz4Tw_EcNaxcn||TC^%x_+OvOv_x8cH#-q`|Ey~~Ip|o^>wjTtuWia|;xpZ7 zFnqo`nZ_aJwwF~z-u}149mL}i&oxF6yz=l%*m=FC&B4vP1&v3yPF|qsyeO%~S~;Lm zS3)-PErXaxPY(es8I_QsUnz*mO?l8VaoQq}4*?cxxR2^@QPE@eKKt-05i@ zW%5yaoKzCj@F2}5T~4-($5xvfCuHr&XAJyKzWwB! zh56d~&6~T?mZ0E<>I-u*8#)|2RE~^s!{c244L>*A-BYXMox})$BU*z;mx1(fUX)KN z6ORUlt;t+QUA}cJJw4ZN9Mq3mBxoH$AQcSQxU>=SiqP!-`>#fiM6*285rh$Rwawk( zwq4Z+*{~AIZZk-kb!szWqt5UE-FPyT@6HrVcmD8RYU$$&xEA_=4XvdPb&-n(M#jBA zUi^#}U?un?w4#NX?p8RAP)?K$Hy6o*1~?<4Bq?&JRz@j}U!r@6(LyMJH_zG$;2?&8 zZ&-IzWwq;L?z;Tq%>YDIDEIh;)F)&mH6>QxJ3?;-cp&R6I(0IU}=>+-}ltY@6FkkyQNZRukntK2eSF+dD(%dy0JdNd+cI zP}C?=mu}xAJAM+nNM`ToM&u?IY|Tk9SQtQM?`Y>xQSOKx7+TEihzA!R^P1A`)P~kXq4Es_H?z+Bte}Y@69$a?xzdRflyzY^8 zD`>%Rml617c(CZWvgdfW%bMkR5^+PQp34x`7@ao-CHwMG`uW5wP_(nDfkt|&bDx92 z;O`GRhV6=$TVE#%{WcCBk=cR7SmFDv(x~y^3J!n)L>p|rcu;vu;#pl`XMenKa`wQ} z{=D&k{JhrR2rdV*h^vw1;>r|?f3SlvKxna!lC;5S@_5>?)?!Ll(0Q2RSiqnNJl7ZtOUt2J(hm=E3G_e9YL}MhF+fef zdE09m_((x@bsV4`CjfKVp}_KrPjUTR+FKdd3oJ{ZMJAS%>=R91ZVw#eVzba%fyzmb z&A*SDyO|idu)2tb{fl2o-7fSgaI!33-6+Yd%atVaMFPv#%vfk%_|HH!F+Rb-!uzzt@_>Li| zRm;GSQHcd7DCn>uP}fBUi5-c`!Zgc9i5_i)T2~VUK^`Gbh{1WZK{q1((Qf#_1FYF! zK@+-{7b<)&P#%px*MNCTpA5lLBjIrVhD1 zmS!M2b1RJEWxXYiD6w!2);g+U@U)==w-|gN;Q!LySt5Hu#OhwGMaMBLk%A>JwLPmj z^F_${X!~*)k~ECzSbP8~*1jIPx=>S}^)U0V`+F-lwf=q_ z^zVzhy!*pyYe@zlnZw{t(;Iy#cVv^rtz_zqQ z#VuPSZi1-Zsd|d0^16Jc(nLtapv@^8?g`Ttsi)7Qu0a3vyG=K`03$?0Ll6)r zi4!P2q3!*tKgwc)BJW#@9Dh(CaWs*i#t-;tLZ_@0tnHcv4OBba6f;2crJG15Y=Xi@Z+g`@lP4D5}32@>LIw1r}o2#EjtrbX% ztm=?k7f?*@5f^DW%K@kvfZ79qzJ6Fq+(f_o(5U<6CUXwyTQ*Uqj%v%?2ol!kju4kFQ4)>Pof( zC6nj)411YEG`-{98sH0l>XRbmA;={5%j!DQo5Af^WW*ZsSJt%J#mrkZ)J$@8OD0-4 z#5Je&7QOr{J_w@M|#Z&H{(DsCWq_J-Vty|MwYJdIT5%O@X4qTfFQX!%D;x z-2Ki9c+$=?+F!0K)|Dw9_NVLDDa}TIQL>={-Xofotl;B*RO*6AMw>=#v=ZQ0Aez#D zlXfOF#ExyOx*khPx=|npVX0O>%a#}h83K}U-luaG ze#@`qLK8@o1qj)2@(}Nbu6KqzGkim;$ygHpNJc=H6y>ay{ST8C-l~0iy~;X3>g4T` zJ9ev-2f?AKSdYj z5`a$1A7kn+TEDFla@BzeJqiWO9eW7-Fp{F%%TZm!@FVP^Ic9Was6rT|@Dl;RnMh4B zpXXNo7(g9L)kOJYMUH%YAAY38Us758K*|8mEpnS~_r1qIEjWm&y5e}|*p zA4?V{4TtoBjla(r2N%`(8ypQbJR{LgGen%IJRXFvISp41l|+yXiZxP+Irzgz4Juu& zp+w~@`I&DnN~NmyN$tJ4Nf|UBHo!&lW(G?JOLzQf#uCT>HA8~CKpVC2QSYdH0Jc9~5btp)T?ftK2Inx8L%>U$s|L>jKj^oexWajcbAaL^< zAW9d@Ed|^_1JLFfuxJB!Bw?QE{N@lOCJtl@$Z9(?iPZAJ#g% zAGKx!dT+@E&0`+WmGJoxiPG2GiMc zs?^fdq85E}4$U~0Uc5hksa~~ju+VcsUttzS9&#f_mlb`_Ma&RWt{IaI0kPu>GV&!x zBAd!ZFkMU9C>0QTDg;0^-~rv=x6~D&2jMtyANi=YL*r$Q*wCe@=e@@blcuWE25VtP zXS0rfiR3w%x9UC{V$AnkW{$hD+kZq!#Dws^)3KPf;|`N*oV2?2UT%LHKK%u2eORax zdEG!KDa%-{7;U;YM-xL69re%;6*vwXd8f1of0WeNIjO_Xk<9KK=2!y-QiUau&gHx0dynO`pqpXF!NlkTjgAN0@5s#f0kJXoO=Lwb)Q3}dj1a+yzYE118ri<<} zKaB|IlE0@OC=FuEopf^Br4wNJRs!>4YW1H&wsk3nUh8u(Q(;62___T zF%5WNP>;6bLFz}V5LJLC5(3LdKK79j!5FiJsMY`PY-jq}}_q0J#t7jsVeL_)G6O7^rkQWH04rf| z6nItBTuFTk%~L9zKc$GoOH5Pe*glr^Eqvn9k$+r&K$_rVc}cdw6YUM zfHtd$KxHkN2#27~Cu+_>Lup{3A+{(XJX?ND0DnnTze+9J!CGg&raZ8eD<)vAHH0Zd zcB-MgzIidWpQ%w_Y||I2<;KQpF-=sSLW^VuFY%&-T%zBvY1r`#7fE{Ua! zQ&eJ@>YO&V97XQUBP1xC@0TCrX3$xM?M+^lt8SNb{I3pN2*$s-3IA#G^e@{jHC8mq z!}Ap%CMckiPqhb&O?9*3ff&+=`ugCisZ0=)bKwSL@v0=r zd(z_<7~-c$ek4RpCTrwZ1DU?XOgZfmQgdmu)4?>;`Dp|+$%-MyU+|c;*mOXc>a|Yk zoOm@^+KIdI$D_&hb;)-2H+t3xhE|aG(fE#^*#pG^k(TOV#~$7yuf2~g1;!ILX{ne) z@<;-~CyzNR`ueLxXzZIVBye`I(j<#TE;|04?-QCsrjP5W&w-3UvLVSwg*#2y{m*L4d9JCrhSsq>im&iF!FN7o!|5Lf zEbr@C$L?O-pd5y@HkCSGsn!=Bp6kMR@|G3BU?D&$>ev*r{ zg7)x+we7h3{{s#>v3cztKNh#&Z^0}Md(l;j(=nO+Hwm;zDk$mr*|AO>-S|f}1>i^e z8&99FvLKFa?&P94PZh}!@z3*|5$DITr#ZsxD7jh>-dHm-w4x5S9!{GN*I^vkt&@12sFR;c2 zu%eWVqrx5-5RL`JvEL&`+^xd}7a|hR)eJg{(}B3KoqadL2$>9lF6jWzbQiVIJ&9EL8vojE#+tGYk&ET8At8|&WnbXvurQ6W01i4f?Z?&4Jg9j6hSbGb^6xHrKzL&dX+!%xlQmP_@ z=h;XMBbaD^iQ&lsfU+(UqaTv&4(u#wypVOiauli6O^frHx*gh9u~;r4VMom+uDhs^ zlDKxesC?d?q0D45Rq0xr%@%QfJQ-yb^4%)^c$t_(;kP@RO?dObTqXp-#-6JIQUm+G z`*b89M6<-PYXBrm-9ouM%%&`iLuAGTVI|b{l<$4^)X;%R0jQDThT=p}O=v5}GWIEs zP9Cu zjr*qiqb!d~@W9BCAlT!vKzgOR6V3U|V2It81yK1_@|tB$RM+MzAm@dS=YKqgU(FB-(R_vFr@>Kf#VQOPc5@i6R*sfX0 zwo;swSD3!I?x>@!@X-WzrZ=-lE-%8fAM?GB&;XaX>+#xRs4Wa|&+vo?)b4Z+p_kTq08c!t3UzU=U8DPHMSg|vzznnUK$w- zrkZ7#rpfW_>xymvZU(+I+Qmb>u;;revQKk3ufjGzWHLHOQFr_+0#Lv-UUowFg zMG^+W_E0ues-37CW_NO^3zP0?q>xhyM%4X zdTzP+c7iKuceko)Qq3>Se5RUD({btiaek+QTqE8(n0Hln3K!L~`0Rfx@|25Vze_B|1uj5& z8;1x1%LcEpuUn5&$qVJ?q(o&p2@jMyW#^sABqC4itOkEvAC6A_E)!K8USJfj16&rh zV+r6$P04Zum}*&;nL27UfxcnE52$@i?lWB7c&qRPfbkpM0`$Dk5NnQ{;=K3;x>&xg zjG%7Lj&u&1p-M9OejjCbmy6y(yKhrwaB4Zllf;mW?h|)SJaEXH@shK zy(>WiTgcf&hf*OV7pO&d!3MS-dcGC9}JupWPyeON|3g6Yc3!?xMF=ygXP@s&BaIn}L1_Arv`P zD_cMu|2bs*?b);VFqQ3uqRcnW29Dj-oC2OovI21vpAz@ic+0x)^?IBCt&3KPvPNjW zJQEIauxL_K48qrvXaR)?Tj#y4qkpv+6-`f3Z`G|f7Ga&YT3V-7741(41YRvI<{nPF zFJ3d*o)>pZ!Ecu+;XgD$>GQBnMTfR0+c#QgkYiDx#j)*CEaBJNPacQbeX)ptv5>&! zbxOAXpGog$ONtuPjIG=SzL9`;BUiJ3{^ycQ&Md~mwhV=#9`C~a=<405dph%3vHy8u z&g%xjOfrkkqZcNxpW z_x!1dKHGkrAy-OK{^_LAz#fQREy&|?v1ivv8mbNf#^plg3&99j5vJ5?!_3rgK19)c zT&W5!dD4PDhA_LVcT$`U@F$K%cu2#AOuC`*k=p%|afARQUNp6iOghFslAY$p>@;5I zpEj%s>>8;ZXkga~VOeNT7!@{%-7exYjr7}^gvCnwbv$++Hl4aQ6+&tRIBi~9jkXZ- z36w02uSv~r=M#TqoJtk-GxL%~@0s3z^`@LQPZMJzMx(}!G(oM92(@C-dbE`SZFLPoM@U6 z9~T*GWeg%Hoc2KkE>pg+nXFDOo;swC1r77aDX4PTj_G?Jp5*wR7OXxW7cY3;Bv)lE z{cxfXEr8t}uiio9aImfacz7_%sl$D#)A8mO>rU;7vQ#j@vzwz!+vi;L!?Sb5)8aEBlt!d0?wISE^Cvz9`Y_Z^X0$7Ijqj zQIs&n7lv7FF`QbKaeH=R$WWI8UW&G@;y-MFD2_d+ot-}C0|Pgo>SgJbCty2wFP3P8 zwBW9G>0GbJBpMA=ZI_|bR#$gumjH+eF`Dn;wIJd`1e#&gMTQXedm29WR4Jc%T`?fx z@a+XZJt2PY$X8J7AzrlO!EatX)|r^n!AV}AVdRgQ(|RXZfMh4>Y8Gw#v}c*p{<6Kh zQ%9AxNfvmR@_#i+th8|bW!fdo0;6a7{o3K0*R0utfo`+u~R%elqmdgLG6y`QHE za(r$EF3Kf^>VF`0T*NCU&?$IcE=ACo>pVYI=pozSc848Y$`-fRD*xZbyDY}1^s(2a zzM=@ZZv`;L3VaZSnTMq<8{w}s4fEJ#MYZco!Ej>e6fWYBB(Cpd+6a3732~lg`K0x|CphVL5y`Yt&{+ z!298@C~+KY(z74lcya^1SQxdX$TX1uEimW%!*8ED*9pVT2>$LVo>oDk=a?ZP#%{t| zSUV9*bH@5*;Q0}2todnsGFHfU-}zk-*w`T3Hk+US5jJ}LT|0e>HtfyW1OVsLP9d^e zxCQO?vW<>$W>TuNPhI^=mYZmNwb#`ihQ^n&MnKm?d zG`IRi+lam}_OYkR37W(`pjb3nSldM78-r+U!lbsDtJFY7m}MngoRbdtoeHX` zYRAVB&>3t(Hc8UA8biEm^Xe~BWLK5gVkOih+5Cx52_ zn(EMifT8TaDpC=W^&d(a6zkDdQ+unCO6U64OEMNd!c`g?5`B8M=*I|a?WzBmlF~Mt zJ*dKxi4j{q6kS_MEE>?@)R9vo zFl$b{59x6B_*Z`TjkP^s6PS7-#W-QI-0;)%M~WG3{o%e1Wl+sJ>PSZIg0K_QE|S~{ zV4=UGy;q!&4F~Oi2j7{buKqbcLr?jF@XOH3BbB-eq3296Ad*BzIptWyR2yVV`pkb$a_8QYmPxHil`!u?H3GbwYeIOUq3erJ#{p`3<|hJnRC9L zjIRV7jBKc}_R;czKmV=&nPzJ<9CnzDZ1k;6&dcu%%KUNvq8c#Xu~ zhpU!UQV+9z{c%ZkXD`KmZy(4@nmwL44P5VBR)omigFd(XCqBrUxkRlc~!h}5`TuH3HNZ;_~o4EHTJ-&X^3a)kXK zCd>3ZPK5<$l$CS%U2Z$Or?ZxOY5H~RI1Uem4W`{H-^ zKYJfWXg{GzrQ^?nAzsXALTLTz&q3^Yx$ZD*vE)n|(MVV|Q#c&?Qu}Q;h0p6C$F7&! zTQk#_Z2zN!Yk^(X%wgTMl(m64{YJ=6#Xnc zGCyMheP}&wt#?l@Dng#?TM;y>*PX9h7s)MU`pJ8W@;2}iW)OU;q#~4RHlAW#f})6; zJ{{&F3K@|y_Bya+z`TwrHLwP16-|YJXIeIoDombX$nSYj1F66E5P34Xe*$h2Q>w{|U@ZrE{H;${|Nj|TYULUVe`0TcrPuJZK5ZYReInm#ZCaMUU z?_9o&X)+IUB8w33C~4j2Yuqmkt7K;lN-}|(oYtS>w>PJXQ znTGW$YA2SBPj`-0+8+xIj| zT?-}=LTjY>5aew0Oh5Y;RtsYkws7ILrgN1OpW`x76kR=2JCwVj$H$%?AKgT;9t=lO?JnF` z%?m59{}nToK$t!nR^saM=8!In;m?=TF^+~0=j|6htTD6ARb8zite=snU9W`(VSRC) z;WNhU_K3$nW%pa6ITK< zpXIE2@Fx7`j{qj&BLb1AIfRjskjoT)%?F}F{(ij{4a&ZVZ23OELj>b^( z>`RK_{df7377@?etEZ8{-PlY)Z&KUsn$4RLpRWZYIReuolRUzIbu_zE4E@}IbqX#V z1mig>Y5soKFUpQqUkQ3icKAP)IY|6_epEKiStX=Dm0-rd$7ikeCjKHcRTL2X!C`7; zd~iH)gTv}*3qj>^G?I6%0B{y!16gFhN|_2Wf+k+?cj%`Ja>aJJOf>H$&Johs(6e(B z)it2)yd#0b4HCcPq~ajHmI;06S266_k1D8r7(VrT9qeZ%%gK0zkx;x`g|9xpDb-#w zq6o&|jeZdds%@}fz4@%X_oK#HliU9K9*()FhIupJm$b^;Y@)kCI0D?3=}4&vg{9 zYfI?LJEwDjw2;*ChnWlsaeo9 zus`_*VF~&lPp+RR&!(0$4AfY2)|vhnU%YST>nb$;jyDBpsGS>7 zX)0Rtd$2iRCIdfAivnfwKbYjFNIQ&WivR^CiCjf6fQ6-t^JF2|eey+KGy}-FLt2tE z#1dP1Zc3>MQ}Rf~;$eyDU4$lN;btl~j*9jEbt}aji^NIdgM?t{ExaNI?!X^fWg=*y z2EMsz<+;l1x#Nd0L^bOjds9pji^#rzGY%fMwgm{94IYdfGO^^2zeU3qN53-PhW9mu zg)(Y}`)RvAYjPcYK-SM7u1|93_dP|^DNFkhR`9VumPeotF2=IL&|tdGeo1f7p#6M} z;wiX_KUm~dCv%-+RI`lWpu#7Ul8!EwADG#%`fDXWGJ!7atzJt$-fHBJs45jD>Q-)( zLp$#2nc>sL3ds8J^|YZb^<#c!`ZF6Gp70oFg8vz0Gi&Bs%Q7cML>xab8!iaQRUM3) zs#XP}V`D=?#^+q?7b)>OpP~P9$h=T#Y`M76vX5n8Nb%2N!U{02SdiAw`*hAKY;zvO zakH@OQEpvAC)Qy{%~)dd`>0}e738oDNgF~Z`s#^=ikOSK%@8kY9$g)Ql3HGaXY%gd zG(tt|LX(WXMJzc3QMi?FHhT08Sxg~!&2iez*jR(}loijA+ICq-Sbq^53g}0EVj&bQ zg>>^Bd`Ta3J^yF9C0-7Les}&;W=Fep^QFRC249d|wC9S`7(6Od{&lHSwX}!b*U6gI zV@ky7bG2Mwn#I)TQYbD**+B^dxa5-=F4+wGG^(T&2m%~A^3_N_Cm5#6Em=d1cMY#- z@UA%tA4P$xQ=hhqN4w0-^M7BZWekjvMI$9CG&=$}UA1Xv+VUuUxAfM^ zZ}GCD7{5Z^QpT*nc>R;z5m6Ecs`Z!20@-ReWTr2YTG^#1~~#xuMKk^m7v zy79{go}Jf{)MJ@nR|XxxDZO06zcH5xD70$9WGadJuajMO2Kp{95a zToyYQJ=am}j=#f#)j$x`cN{f;u!Cg*)Th0=1)|v3p#5o{dA`F;7vVl=`GQ;}E>G0@ zeEIoxRolZA`go>Q10Lb0tqWxj`{(Xhht;Nbs&r}Xs=idgnqvpnN-UY4d#u%_th<#i zMGNdx-yi7r(SN4m=onU7J%>kJXBt@FuE+0xiag(q?L6GnseB@{6mD|!eO}j9?!pt3 zV~lW;XKAASL^1d=6SoXm3J(%cqH9_n2r-_r((d3Q*<#kDH3ef5N%XPdNKz;D5ktGl+Sw9|N}^PVwkHLB)@@7+1RV-K4&G!-(!aCq zn)OC!}wM}Spkw`kU?u*7-MtI*hYxvabEm9@D46eNp zDh-3yq{*pOrhVTXP^{yc#hLwfC|80Sz%^F=*h}Il)b_M3?M)}1XOkcBneaW86Os?` ztt0z!{_xc2(U$ckrK(L`Bq2xNVBam=dI3prIuu!>%uUvHVnK%8_>-CmzOOk3pQ(n~ zDkV=K^(*Y`p#wHO{&78W$Yp>PTA zPJ&Azg+p+M!krK#xVvj`cZcBaF2UX11HrB9_U-OF`mcxcRIg``v)B5*ImJdeIca}e zCFRPPM+Z_uYGb|1HPREoqPXCXxVfCJmt(@n zX0F;v6moX|-^=4;Gbw7Akl}g(aQv2dWNz7Lf1yo9AuwMiF(A4{yPRu~2D@jffYJ}g zjMA|8;RZrE1R+GEXk<_>_3nq|==bm^yj43x51G`aR_%=c;&>FD9g6I=`urI3bv1v; z=Y{xJ2kUGOcBrzoq|vV)tEGvJXn7jRc@?$ebx%J5XJF{ zmnUoUHtPT<+GLHV{f6=;123ae+NAnYz`Vm@d9}Xw<)=o;88*2|-0-b(){Yx@(`@;Q6kX{xnoXz&ATppKB^TmEwAo8j$j zdDDkBFNj{6IXJ7+Yk#&O3ULZb2GX|YTH9!?uX8+|Ek9o_%tO&14`_gb-!9+wqOwc5 zQzi|LqCem(WWrv(F8XMrNkZc*<@bvVLQuQbF1$Y#7`N8jUW8(HbK(C)yP&aF9B=tU z`+;Vm`=+~*g9#w#0&K}!u~D_V7$CKunwt+(WpsA$6@9~iLvfT}Uif6w6!)s#A>Vi6j9U!i*Z536UcgmdUKlr|evmshNC~3>e6#->bWse0S zql%VUgYj9jT*rA4Q@BTF7a~>X4W}oxo$95M?S&s6u8Zxp?Y?H6aK>b4)0gK?x z1-IFPesT!C`>haP1TeVo&yyV1wOom@DI^0^Esn1$hz5pLOxwdL5JOEZD3v&lwNC*x zO2A3!WAD#o9EnhD`af=CvK9R(G{3YJD9J6Ta4={Nl<-9T>r-WC-EZ)G)Pxs*p~=Uh z!(mc&`*o0ON~D&=6{~SSk$JzzylHg3o^#SFZghFwv%a5%OdLeATdEE8 zx(!y1JbLoCx$nMCOx$kir8U;8&-NRgEK;xwpX5_cCCuV0Z0Zr+Ho5#zf?>;;82=s8 z5prsMYQDl*BxK%u-pkgd15*nS@4&oh=AAjX|$_)N*3^ovd@s^5xU^W)hwuQ$}w_VBQq0(tR6C{8o1dD7I>9)a)~ z&HXL?z@%1vhYTl0_hv#RwYvr#IX=tE5={Nq-;CXm`g$ub^F$bG(svl{@HDWcofdML zaYclXHpEvDt!_#KSLs(P`ri}{QnI`K1=HvTw8W_NM2-?Gcthp@u3!sdY$9r$PPT>l z5u-AAqa~QsTz_hpNXy6Xhp+d-g4YAGtl8N^k3V5H+%6AESLQEj3m=~@UKg4STAFmc zp!XpIov%;-miM2sURGqM?2ss3_+ha+ni8y+4+l7*b`A8J;5W zFDM=R)l{Z^CM=I4#eV8Akd`#5V!EXs4?LZFf08|F_LJqt%p4zFf$&P82% zYjLYl?vH~OywAQH!4A6gLfS#o8XF`e^N%OwnNC|-JYhBSh50amfz!Ec;dTk--awBm zI~n-t%lGF?3p2Cm@%2t0LHmJPeXu_aQ!7$vPP` zr}l4q=l7d7ygK&ID_A=R2d&%(uReb-azcWFhOSNUEJ=|tIgZ~md;g=mo*qIzQqU#m zpcKQo+&V|oz_+w7jO|C=X#CH9v*q^Rtm34r86$nwdh6ZQM&|zhXDLOJb9`?|{i@|S ztd&|A2)ILB@5~u>FWma`F=0&QpTW=L!f&#unP##OZo-R|H4bRtysG{Etyn)@tKKLR z�alj~MTR3aOyba|3>ss|hqNxaqOG-lkz^VfQ@K?47@9H5ESV7lX{a9IKUW>q^%A z**sq0CS5RqI1#<9)7F9x6^ARYrQ{;N{POwF0$BQ5c zYP}QD>RV>H^{YR>o$`-@KYd&Sk!m!O3`+0;OMdXma$L!&zpObEf+!zK5ZFDbx>bd4 z*-Pq-*pX_lVR6Hh5H#60kfnV6>%v!L!af8PG^LOKSdo|~I-Yi5PyP?Cu zO68VFgCxMi|7=xc=JI$y%WEX6;bN#IFx7*vf=W2z;NWpO(1SnHhX4n|3Br_(zs9Q9 za|7{4-GBTny&SXiu{e*c|JviTfik0wlB;6>o%VTX#k8qu9S1`~v}Bn2hG}B+d93S! zw(I$RQm?({-&=zwd#$Xtq^&R&Act5i%l>>tV`_Bl@IYfRZ>+v>UtwNQ5)K@%!;_t| z&E79+_{qvD!wWHY3mqBt$kg*nF3pEvj11pmay)#;e&nkD|W9 z9sioaDxEt=hd7k{;u4L=>eaOSU|N^K5yN>|>8Sjp`f3{3=HtsPvlIh>KuGD=BC!zm zDsDG4dLsI{z`sg?15Afp6C!l4bkfVCI;ECqPjD#I;vnLsI`Ai7VZT5=t&ZR#Wi_N; zDiB^j_Au;RUT!VR_-7ftf2F+`2OUTvSmBtse%5Y-{Yr(>R5D8J-=VwzNAM{;7bRzH z1gYno`;`Xj`jmqPF4mbVJtFL5ZnO#qNN4mu)N$dJa4fvPB%?6R~_U*SLx2xrrX;I-bn)im4Z7ucb zwDN>VsX6^+XrKE1619@()Q9iHu$(lT2ghf=Eg(p@7Of5+!nbSz4hEiSkCsk!UowjwYgj%W(0iVa(zhwIy@8d)7 z>Ce1f@9RMWYg+i+f*d|8X?4J;$xK0y=Y`62G6Q*RR};tMnZn}8+N9zpmQ7-i3>|W= zg94X&Ss708WVS(L5Wi&vGbrJiG0sza?;9DrRXyx6AJ5=dP^pP>1~A z%eRHg=6+{0{`YyGzisA*bnlaO(1Y;_UXBP3Lqf>bQ$b zmC;Q9um0uksz|VjHLzlV!7S}!51OP&y{kFP{#xhu{4}Z1^gJFB)A8?YCX?Sagz>W_ z(*#R%%;u}*w$%Ic`-!W%%S+emEbmm7;LS`S>)TaSh%1Zm%L=}}ewsbZ+n(dl&BJ7N z$EHFw&Dp3i0Ap2mz`6pBQn~}>7oeycje~8R$q@D{E|y6PwxP2vZJP^J!K@*HL`eY* zn4`96w{}OuE7Ob&qz)^cp&uA2D*Mi^IoZhBcJS;#kJRI@YY(PmKqY59HWaAm?go3UKg4 z?>e;Eggcurm5W)O4hp_q?uXYb3S@wQ~Yg+;{~b|w;~J>F3&7poxdYV_%(toagq)9r>+B@a``AeTaVNa zylC4CwA9r~h0lbj3Wl6P)SFGCVm!TKp!-A))l(i2Wcr9c+;lEp$hS0FB3%R_&h6>} z2w@v>#`;qdXDAX|0*=q>axgM)^SFEqFyo8}>H5p|(!1A<<(EpK3@^rjyhRbTPl=c7 zHmvWLu%nK9DyoZ?%#AE0Nd)pb7B{~uscjt9$>IQnS;pftZY-O9<>(bR&--9)OIbFK zD_t=$mwpr=+Yp|g#}rMh^(ptn8PRg(x{oqYD1o{rPf0oy69i6nXJvOk9M_Z+&uQhZ zRcD!(|5eKuXgXM`Uxu;-mzF-#H}qw~z`C`IHJ8xUuja(tgRG~&gq^My*H42&+{2xp zY}d_;0W)y|MtMWiO)Z26lRhjSgR{a}J<+&=%Iy%#d=^Ma#61bX@}djRi{rvhA1p&k zim@3fWMer4he}Ha<~pO3CoI^_Nr$4{_VR&wKFfs@ii((k)IamZp+tkD7$oAQ{rcmv z=yiC|U{Nhi4r(@6r4ipyGeKRPoY>$*x@}+oFMFP%)yXjvvban-`#@3hd>pmzUgy+qO^inSyTlHqpM#%0 zwQ2uqIrH`i6-81)rWi2;q%k0d9I{R~`DJV8_j*|emBAlN@vv+ZhiO)fSQqXHGd1DC za#+KIBy3ZfeG<^Z5#M=#;gU8{LDjAta>e-6luSXlS9Jg#YUIv7YUXF!ty^P4}7dmkojT$;MD`y&D{&66gUI2B<(D^bdt z_}?QJv()EbR25(~xNk2PuS^_tJ$DFm@kFsxA9vmj&?;uQ{SCR)=NVaxgU|-|#c}r2 zfDxb$j8ynUyadTrM z9}~gcib|i_y55Cf#)1Z@X9)gc>8XD~-DO79RBVK$_guQT%ktRYThtfe1bpb!b{Jtb zBlW&4CaWz?D}C+VHLoASgn?^5S%#KyuAYj^@w2}?cD)|R#{ZH?F+U(}@U#SU2QWGO zcpUDHTds_1n9~-oU~nEFWsf-d(yNQm@D!LMFc_6U<fUQ!AjWMHcOj)lo*n^9`Cz zmd8G1BK*oZyRAep!}lhP)d$qpGceFIFr}fi$>lmr{9?UF$rM@;sJ$!Futq7C3#;5n zqZW;>4i(*INYkT0sNrw*l@@Wo`v$({`~aI}hGB)tV^Cw7S^ugVH%ooK+kC&njv;y8 zdQ#&#&|wuyF)bH<_~QX?U9`F*c(ASm-XjfUu}{FFsbPq#aTCHp@{Z`(rCtn6h0Em1 z!?^yvmXyhGFR%3?BY5I&C50M0yn1)mg7=fVoSj7^vnCud23NT+BzNO12jyX{eI49u!IkRXGcjT$HwT8zD zHf6Onix`XXaxkStt#y^EMv6@3VEJtSDbdO$ty1Mp135Xg zsRM(;t_jiIF{T!B4^kw4#_$i7&zSf&)kz0o@;bqCfZGW}R-c0xcV@|gDVY@id{i)_ zLV!JZ@&NGsO4aDqpp}7mt5?#m$xwB(mF3R^w+JS1{~Sb zc1%gg?beCFmP8O~YZN%1WIm|T>KA4UVRGn2X>qnd+mhRM5bgB{zK?@BG!xo4OKU!r zdHlsu&1muzw!XvTrpMag`FiHsW`AtMkm~Qhla+%8Yjo{%g~GzQGA5+hIvxq&iSO{- zW}61cFb{cFV2>}eqVF%6GMlA_YHaP~873EQAIgr5U+AB4U9*`37gsv9{nyAIrsL#& zCEV9!X76X-EcrSmtgq}*p-J9%v-d8f8`>VnFSRMz>9!5iiw+Rmf9u&BPNom%_MN{4 z7DMx*v!We*t}+$B9lmWWvcO(wbb@$4z1tUi-2F)4g9(+Wokc}=C9{imi5iLYr;^Ol zo#UF>`%U>>lnaab=rOv3&=fp8~?XC84v~spMd3C}mnlN48D1Wa^*krwxfkl_tm-qdUea!Ia?NZ!~NS^>eU)=gH4?mnur10 z9nU(KoNBLN>h0 z8d1FuNE?nsJ%PS5{lkHy5RW$UkIMaZ7!?eLiK(d{DTLB0znSBT50sY-(m}%iT+FUMNh1DL=L1*LOH2B-&hr=&=i$MLSkGw2iBMxOC-~|<1L4-T-{tDNRD|* zi3Ar)ha1wgsj%<2x?+91?ect?8IX<1^f)Npzh^DxU*(^W{N|&^R4=fiUk%?t{sCU) zc@4zvziT*6f){`hxsPGGPB`*?QEt7jVV=K9xOdmUbwN9wopwDF(>ma{8oOuz!w*+i9$miErR?TwfI);-1-hqz7DqMUZ<1=#OBtO)AOoW1oIYl!YkyC|yy3!@%DL>)s6oEJ@V;E4$L3?0SXvc5^KG6=Bam`2z@*H41cfs)lUu*-ACl-VJxkoWCwdY`w_{)&4dRkV>B2uIn}E;nDM z&(F>2eeVCmq(IVz!+SsSE=afc5AR3xcz>!O#={uBatVP0^+5+ihSs(4jl zWps&QyUh!;hQ_Jzup%hN+}SXdgG1r87Kr1zh#pZszXSUYTf7g(FwPNb=06zn1>O(g z^*M^9YC-}ml!NAsJxyoliM9t>-=du5d!33Pb&iz&7`XTE8;!lu2cVPS*q&cmFT=5+D}(j z_PsgYxLP40bdZ);c>*^qmA18Mcn?@LoAr3tl=b*Xbg7zU@bf}e`0==HvLXJUB80t> zzM%Ia>5wJM#yq51_0!GD_)x@Y*WX^1S6+g(onrx=Uj{9ttR!D?%8u67N>R_#Jl8d) zaN}B^MnD56S`DgVRJ#zh0fxF(QJ=jpTpYX=7pyGf8G`P*zZ0i<4b_9`z!;DqG*zVj z{xTUu81WHQ25M%=XWQR(cXuaNT8W07XaSsuT{Ud36$a|Amjcc1x0B;*#>oc-al`*w zz34p_*nH>b=kw_53OIqc8jI>MD{E_+si`ozOd%%~HOerWCxlD?b0hxWk=jkRvcLB0 z%Wv5ZyRA*A^Nw}wjLOxk*~jBCXEjWtNl6XwZw9aF*t7>@K(m9XJZZ$ftzW0T{OT(H z(zmZGa*Y~8!D87y ze_vjD{i%6*?UyR_J3B`+kXFkoGKv!S84639YNBhew+=F&4~EykX}NF_W*x@LT`s5fNLw$$WnrSQW|cBZhSr&2J{J`;VyYc)e(VgWc!o96Wox(_k8=gp$`YtUhKRCn>BotoNI%ihgm zhUcfe{ji-t5sWaKxgiF{HT#!WdoH>}TZJu5_0dZ_yLC7t=^PARfOJ%G1BJ8s#omKdH`FfV$z}(fT zBO_tS^O;I?0O&s~SP*Uh4-g)Te^wR0SC;h1oE325D-U!HR|U z57va`$@H5n0ZobK&n#_2&wGeS^A!t`urN~&$gA?Ov>w-PT9YX-E&Pp7n@RC1Q6B*1 zrD{Jo=y$uJgB#P)R(_&>q)t>y2xS~&_(ugf!|0%DjiPEw!>~DR&Z86qpNo<6^PZ4P z;qkf(rZJ5CvT$`2fX&A^nKY^tR-cSQWTLjVRqG~gc6d4h9?DSB;DGHv5Glky<>J{p zc2&~>)h7NE_@u~hNugS}lvogxs(rsSv!7~7@Emd!@RTl-VZ%lZnCCDAW;8{uV>8-1 zn}mOUHIn#B!K&nS;- zSFThGh0PyaSW%?;FmMD{DoTji@=_XHD9-%~1 zfuO-1vNy!b{0l=PPt$CF`Eu9-BZcIyKgk8x)6Imwa_8INywWdDb2C;U$MJzTh}8K- z$^BN(1tOWJ=f(K-z)ei9FtP20ogL}yR<-AqC52n_`rAJv;nel>+qLMSuE)u?RlU*K zi~{4bIj}Fy278{$vgHI5$=3wh;}vL`X+5NW|L$&nh>YLmF8i(8OAV*5>U%;UNl)xO zA%aQHctH;>3jy>SgRYU#7Wr>~DFpiOlL;bWkJl(*M4Gg@d{bv<-!%K^}+vcGK% zpOsR)xIk;iVX=gLRBv$c+4mb+Ya{ddesF{;ohH)w^=zZr{c}E*yteD*t^HbrbGSF$;J$Z26H!-r(ubw0^rhw7}b9M2ffGq=iPC!YX&q_EHI&q zD~8siO(gLPncGlBrN#*y>aW?L&5R+X49O^C*uv6C^0v0t!DW|eTD_r&rN|U1AuL-Y z<4D(D8jxdmheU=#tF;C}Ai2mgLRGH8n(X1|+R++)BRMqj4MgKnI!>EG`Vi;tm(IZu zfHE$nxUYyj$u_T@OP~dg+R&gTZ6}s856DKgr2QniB*t)g;D#?ig~;C${=tmTb$!cQ*x&og5aOo-#RI``gByG_Z_nJ-79A&i+z zTp#k?=00-n*+wdlf0Fe~iwCs&MO$G>9Tnf3}iEG+7$Im)L`>VKJEJ|cUa zz4UraWgO)}V%ohi6)tK7x>;E|ThqlVkv;eFEP@Zrp6NYLf_dPoBeydsT?oVGM}ssHv6 zg+AX}u)ZDmxjoz*lG*1l4WaM6@3_0SNi`Vu#z^L=kD*ZPaT zNu9DP#3XI!KNiOG;%-!b4ld!n=Tp}_u#gWr$dnhCoXV5npanU?sF(E3r`uvuVGW=C z%h%po0&p> zQOWlyaA`)z#glcuZR-wkai-bYV;u&>@nVz)|KT3iB!i@BVj>rQsf{Y=`0jc;am7RM zuvM*pT39I|vu-in;q<Apr8Q{>C*OlsX4M+q^{ZAgD5=b77 z^dOd?faV0QHbP1^G@xJJ_MYbvJ`b6fcD9!|10`{$4B9E^W#k{Y<$NA4-}_oH{K>AMT{c7ams22F$(jxW8V4M8WsHdL2k@2?8R0xcgkJT|gogo$ zDO)jG7yBKjdUIoAiAj5iPmP-xhuz7=+||{LouiOK1eD310OZHYl`L0Nr9<_(e+w80 zqTWptQnlGqkp*!zk})JE5sRKJq4MZk+PJL|A^D0<6u3Uxf2qBb)NuDgIkwI1Z*oxCZ!@2rq9YqWu0#D67ztZj%^l@`CE8YVo?t6(5w zj^viZ`Ovm%K1KTTZs)I}(7M}mCZoaY;24TQq~aCjHJIXHb=mI8WQ_&dRy*{&up%!~>Ec zP1!St>Mf^&5nsOrI{V_(&^k8hHy`ql=DmCvTMwTx%B!;R8nAxwMRB$_-)5}U@7eKS z-=7ax+sik!I-IhX9rri=XI7ZlyeY1P69;Q@=3xXrP{(h*>h06(jbf+UQ!Ugcaz9K+ zclh`+#+voGlfZe4-unIUqHwl2%WY?^a`BRT#w;o~EG)I95v_a>PBSJ9?XvAt{)c(K zOF7X{c4EPwxovHKeV)zVEna)hU+r%a(8~;j^e~~Vetk?y!Yy=9BEZ9+2O?CT2RvAe zxp!v$P7iCHl*PBFYu2}?iwX2M*7tj#4I;azxJGSR0K;VhmG#t~P+A=WF+yJ$U5FLi zre*uX{2}D(M)t-$C8qtS_3c9Yk~Tz=bp zRDTHh3IA<}$OV`15GLGx%yy%l3bflwJPb zn4GVfgWl{;k?eu7MaO=&itu#7ow9s@i}kk6^E($852@rsJiNoZ&a+FeoB8((bZR>->;%>xHnq$9!IUnxfqjdd%=xpu-0E`bSe+ zEB`-q9v;q=nBe^Ou+Q~Th#f}zrSn=ywQQ0Sls!~7quW~@F~ZR*lRWhrKyg7xf<7deF3Q5JSSLQ4H znXNc7yox%Fe=J7g2_2&{1@73O5(%Ada?+wSe8LLVl!}Pewm20Q2V3&;wVwkeK)uC9 z*{=dn(BQxXTb2eei?3rc^`WNmxZ2;ln{^%LzhEFwXEe~UAX=UyT6`8wIhmI9w+PN=^fteDkjJ%kuu)_ z6%ESN6F1nj(dw%j{7wd)S9_1Hn=cYGGT6nPTl>4T@*Fbz$*hV&y|=DqOr;}<%9Or| zXkR`b{{FPCB1^7fMqpi|nO`>-X6akX|4Kt=lTlI?3-nAx@-OWh6Y-q}DXNAP6PfYg znItwVeBt}W>aA2f0&#J~z$7&^Lj3KVo&H|vk%lfZ_)nHx1}+wDtje(p#jaz~e%!e= zz$mMg$$9_6<${ldSDaK~UfR@+xL`8uDWA!Dl5M$r#I<a~>UFn9z zoQsyawLISlA%lNyw#c!JYsR5UDjEp)AfIQHtreFH#-F1lR_D4!{g&Tra?my2-jT{v z?`*NX;8B<03c}t1e%8~d(-6#DMIkruv&ZJo^7z1|NJfv+Pm#qP0}gam+2ADj0*3KD z;K1a;Vwc0+yeutZXE>=M>+i|z{_M9L&*r*J6J|5Sx_%KawbFF_e<@R&f5~3xn%wsq z4!g^$*IQ!VaR=TguYjNO*gAdWz247I{lLJ zW!8@!XKX=Eyv$FMtyl{0keA1OMh|@566eOf@at^pm;jI-a5Xq(|2-edBT?-#EF5RV zJaJ&WGLZ+c?cH4zzzUshPCUGuUQPv^wa&bVoIQ6sUEJMywwS|)c_G3$Y(|*GHI(Ds z7OBgAj-m<~{4^CvViYq3@#y`;%K0w0WW-o-B^PO1T0F1f+|=5ZT*aVXt2wZv;7=s& ztBEZ_8TSko5M(%YPWh~*guzENG~lq;?Y_c-sNz^R$6QlxF7Dg95ODIR7ycH!8e2WS zzhrxQId31R{+-6Ze~~invitgcpIZ~_i}RCL`>>P$`Q7ccc=PqZ=f=S2_3|y|Ai0_- zA0`Kzc8u}V`=?~0!mb@gsN}lrS39n^yG0*!t7dqBUW(TjAFun1JbXX{`av%B=%RPE#LF?F0y66@AT#o_5 ztoJ(J&t7*uSbAGW#F&ptZ>p&G6^h3)!oe643HJqt_5flJJ+z-EQT)SEOpD@YK6Nu- z;gBuOqGXP6-}eWMOD zta92iN-WL(PiYy;lbFAcBB-PmhZ)#XAXxGiYb*rcpbh~?rj%tHM!H`T))EYcEu^|*Mex8)2GDy35p1dOrpkVEnd}9W>(!tbDxI^ zFIx7AGgP{H@`w zS~itz)pma&*ovXEc}awai|OX)04Nc)Y_uSulc19pgAYUlFwu20(R~VQ=}JHbD+Ll@ z#7-9lSBioZKV#KFeA|Tpzl)c{*pq)>B2u8TW03C)B6Wp|VE8K9_O4ouw?EfXx(X^? zRh_4&EZmN;8vghsSG>rKN*N5h4h4QR*V)#R9+u3=Lz)hA&^HW|c4g9BP09`}pa)|W;!rZ= zwcp$vh6zmFVAvKfdS8cecG$f@6~$3{tckr-K6jl~VcvHOD??-ksA8g&kdNfBcS)`? zM+!w=ERFeV*rR7p7lM=sI$;kWsvQAbBm~DbRR8JOtkBq*akR)(c}^m0gwP{m{$z3_ zPn88im}|Jre^?3HzTU%Gcb=Fj)L>&9Af8|h-?=*BedNFBtSkc7Cv#zUgVMdYgcyKG zaqWf`6DdE`Z2avTy#sXi%d~!%H+nIm*Fee44XO0PbFks|oiWy95DD#{q=cnEyJQ`` z=_UrS^Ct|-)b%|}!aVG2Z0O6Z=c8wSRe@pwSDBClq`zyhMAX1wY*%89wcWv<8x{-w z@o(m&$#ximSz%3|@L=+;Iy5f+Zaaiukw{yT2{HRCpsl3^?l{=JzxV(K^wpI3Jj~XM zDdWI**hTEJtjy58eDzsTAo(z7-PotzAJn`RzU>9E?3Jbn>d+ED2xZIk( zByIA)-1V-6;J3|dvsb4MWDHM62wzioyjL}u+Vd+^onOGi14^P4e6CjR?WgpwC|>xb z-W2oyj7xqey6wX6m!D)3ZhIE~NB2@+{yq;)5eR!dp-v@+Hz=HG=IF9W1zk&)>y<^i zWo5U>4-v>{l9icR;^)8vB=#rdB5Ahb^@&FN$n@JDHU?rC`#vX${k*-Kd3yQo7bmTp zQ*2h0On93AZMQE!zqqLVHIzL#)#UT^JZDf8;h_8+$DNfF|? zEBrjyTYWL8vYKd%>O7zOd`bCfDnFz+{l62?_l0mPg;Ndo)tlup(G52ReXCm`mOSRd z_<(tKx_CUhWq$A*RGU^+VXxoe{(7)h$rj18+K3}DF_C0eUg@P*sfJFv($(79*uLiZ z7E--vokG%U#mN{fo|ia*Q34>0)m*AE3Dx$)`rr`1Ov*q84iUw`EnhHkB-UYDFb&U8 zK>@EuqN4C>j~S(Q-W3j2Z))GljNp?B7{MH@X7-8yaC0l$Du?!vKYfB@67} zq{|nvaleO>B{Il9Xju`XVj_{BTy1zL3OQ{4<@eZVz1>gJ*mOI*+75U>B75sGtAAXv zw%1{Yjr%*k`Jf+ZG%Mg;8}ge#0p-*Q45R z&*rE&Lrscn8uEm!sT;w(F4s>l+FZJONjbBoB~J{p?5E4*b#|eKeXg)Ylo%-g;I%Mx zJ0B%55R@X2V#zVXRgM^`T-5uKi)}t?5?K^-Yo~-YX!~*F!88;zN8nNkQ=W6WE5s_p z`^Mq=#-GPh=kV`|*paLeWPrLtgO?aM*UWGlA8ky`;A!Lweq`wf3rVp0U?fg)_bNMImrH1WN2;?K^t1$t^} z0&~0+v!YPLoxXcs+`?=x_xp`9IEVYplFd%%F%vzBtYU%Fiai0ga5fmm5oTNXlJ8Gb zUw@|wFw2CAi+oP}O6AZg-~PiZpSFxoi`gwbo%wJ6jDx(ArRYhEU2#&g)jg{!KTDFQT(od z&0>ha8kWwNjrqAW%4FGv2>z&|7PS}!3GD6hzgCq%m%63uBd$r$AO&DQGQbw$=~hcM zuF^xenbRLdl+Iun9zZcI>I(S?__Rn$_^H^=mDT(D_bVA;#5yDAJ~n`jL&($OvOg%M zig^SiUcjPCw}6+>AlB-u`va@CV4$1zL(hHJ`|J9*&wUMqKV^=OI%2BVe6EN41^X6R z);e8El}mhgb>dh_SLYkdN{J`O$8!#b`^T;bzs#H_XQlc zca!a5W@Y?xcYT8HeYLC5=IUV-7uH@A9t{)pw81W-(jD#B#8G_B*Qm?oixx{GXkEz` z5PC>2!p?&Wvwz%j8};|^maKuh$zPY<28~HlK`wpR=0A3uo!XoY!y`N?m?bdz~5mmERSMU&~7f1(g@;x8y?fq*w-EVme z8hnyeWjaJbKU0jQgnc+Z7IlTz3!59bzT$|2re*;=V>=1xYd77cLLcwhy2%PzH`^Q3 zSyVB<#X&veK`LL-Hh!M!{Z8QIl(=in;Z!1ez7&RX9lL{xgT)bbnk;SUS2fRN)NdP) z49ws#?p}F&k$w-*--bAgz?^jjc)qBGD-9D`S1{s@R+2O-}VH4804Bj=% z#|~xy9KZ)5cbPiVHo~*6r>CF#1cv$rTgqSUEcKbMca z+4O42lO`>8IsA}d1I1fs$iPWEQaN0A=KVz-TQR{sx zc>=*BO**Vi3jGx@8oBWtcwr<-j&EfFpe=?dkPC$6MDUDnEd{EkJ)GXKJt@G7`m1)wkLLox{4nSmWeacww zb2axGLn3^2u3`716XQm(orou{MHrUWKzZ?K;LaBSmm(&+l;qeNtN644K6R>T|t;1 z;1g2Ca@`)TD8??#?c2k%ybpSYgr5J2`&=IiJFJOj=HMfXSaaeLtm+o?Za8RE7wwrR zrK!U5vlAc;IM|vxJJstj2Tho*Opcb`zF!oqV(6CcZUg}V>FyF#8ip?EMnJkjnqlaU!+F;^XRY7+FYLAU{k`M5K9^wDotVh^ zzt02MV?ftEY_BWiRP8Y6YD{NIl$*=oewh~aI%Y+YgS0Z``N5AenKxs0SlSv(#1kR& zgczrf6ZhvV$l~Y_+ntN}j(%~AdL{o+YY%;q|A=|=j?Br^>p#_1uS90qz|A43{kw4g zz{ST#Gv6!Ju|9j1*4fc~LPj@$k8w%4BqE zyq$+rD@g&*ktwoATtT#PuTH%vpQneqea-+f|sWy=XG_v zdA@fU903~(mnm<9MTpr(~Z8kQIpI0-=`tlA5)8M4{+i&|IH9TD|%a-#NRJt`u}JtzdnT;yFcax z9e#G(B_byFLgb3MpTr|jm(I^t=7t^Z2#`y(C~n&tR!>BnK7HET8M`&$_#TyABe@*hp4#%?aeI@!@ryea-MHXDJXv=8~MY>r0=g);$ni@n&w~KtrsaLcut7={)GH7 z&^OXGk|a-j2gTu%+dSiOkLjlsGk-(^^1r4TxDK+N2yotJ`Ryn1p?~5S> zW6o)rF@+h^Bz9V|*uSdy^F+W^M#;>Muu~^lSi-9*Q?38NA0o%W0t|)qrS-;CB>@u_ zucIw%6v8BYzI7b^OK2!Mb`d^*I!5fq7A`8s#%>8P+lZgMYuM!&e@ibGc=n`35d4uQ zHPsbEaA}f zrjdB0ultEMqH>I#t+HNu#?%T~N&?aq>B#cxYoiAI+`ylp#N}6GJaCrW5@?Z5mjYFf zC+yzJThRZZQ!013B0#;a0Qwa7kp!v0jg=;JYRz0IPGq`^G>V|iKbmAq0>kHPI$^>q zg*v0DLy9H-z=uDheGB^U-FF6gfO2d0KT|IB(PXn%XAn9fg?INaeHHrWs%}mtiZ(9} z-~zDXnD{=n^MWFYHldAc&1$?RU*zR0Op@tV8)Gwkp;?R6RoyMNy6Ns^3%Ote0_%=u zEjA4cEjjZ$^IF?ANvN}%tHS)dl;SOZ2n(J@F8KqZ!F}HnfXb=kcJ(v%GQZJRTUY7Q z`_^{HfU=8=3`5o2^t)LvKkWVDHq^)|j1?DUKO~1pK^$uUXMj=puzmYG?L&AVV zkqsr#CY0?!t(i*Sp|bNt1yOfR`LNX4dJpemxxY>&@7gIW_2{Bu3@{@_K-2yapZy6i zi+S6bMtep!`FGsan)Wg8c_U3lOjP>kvzhht!6N0OlhLZd!7M{`^c?BYKk@tNDsn%w zxuDY{eqoH9V(S+&>Y@03T@}I~FY7euCHLrJQ~ddpe-M1m--ZU=C7mq{c>-0Un-jf> zjJxcCO~4#o(&oj)TdI-+b%H&O83$SinD@|#J^U$JQ9%*O!?kETVs9Pl z_{Ji)I(0Z8VUWg`5!m^3DwdNM*&QxnSK$*%ZL#v+wf z8Jk?l`QM+(Kn!YU3Iy3*M{*aGU+dS=V!NHA<0_O0O!N(Xqxav<#m)R?H=IR>9g&&q zvmSCEvT|6}&egbVkyQAO8K-%xte`dP$hCz{C(V9jmP8`EF4Cm#J(EaN(DhVb-%=yH z*@Vc&pfu|B2g7zgzZdXswJs!jaz1y(=GWt&SEXWN&o0Z#$=_oyd1XKqAk4^k4g3Fu zBV+`3<$Ugil*Ep=b>NSJALta#J`jA_I2#_ez7qF8yWyf8jbuKlaO?b+y{Ih^sbKq# zh=P)QD4l%j#G7{r+V6=`u;#!IazU&nyquZWjEqE|H$J+U#Nvi*OhyfA9{w6x;fX4Q zC^}eAbZHYCjoS&1HVfn7P|d%HD)#8Y|6*@5m~os;vA)9f{9&3TfOrUxUNI_k|MSTU zyv6{3&62Av|Ls`Z#o4LOEdjT@E_XLt05lr&wn2$WwwX;WrjDJk0_FzZq+^hgb<&%6 zUBvgo>J&_?FX1^luNS23?JnlTl$KGZK`YuGBr%@~E2L6WuS>byv@m;z6r3b4ce&>4 zT=zEPd>EFrLI?kz+EzXHjmrh~IvZUCp5*T5(4(jo`*mhY_bpOz89{-qo?S5L&nwQ* z(RDGl?+lHiU%8L$uoJ2#R*yWP-(s~Pp|h_EQ0S~ssT2l-XSoutK8FTd8?xUNiZw3n zKK?r%Jjs65y_UYyqQ9Easje+AIo2C65$at;286VAUwoECSaBy{Q(bTXCp+|PY}Uc60hoZws*x6{~>7qFAS9dTWxL7+7ZGb^n-wO+d&5|tk~ z7IrZ+v#^I*S8-S!eZ;%sxf2h(g+(AlFUMzKDP;VCyCbUXj_#EcUP_lOAF@VRMvkt+ zsX=8m2~0p!L;bw^FBX@R?&i3Oqnw8gdpCo%_8xDgH`4oh{qJgKSX}JM+ zqiQzu-?y{QvwA-6-mdNsN*LA7$IUaXR-6Ec2*g~jsI8pS1ISm#)Y`S<(9_~$LOE4SRj)k#Pks+= zAI1hyiL^4v-fg-__U`FDiGF>Ah zqqnX$%QH%%H(jraXg>mFv$mH71se$)M%nPJ*U$ZPoeybi&-(*&sb5WF7YY*d^74Wu ztMlHp<$xOqJ86nJNAS$2xJ!?@MC+W7L$}9Xl>5H^uA31YxrRd+l zw@-d59;r_BigD!Brqp*@OSBiUU(?U+Obe>ps(mMF+QlYI!Y#kBGBZ!ZdHKm0 z;wUXEtzMYU`U$?(Cc#_(&!9KxW1%vyECb z+c`b~q&4E|wVUKv+DMWpk|yS(e=q)>7DcT2-dBvp64Fw5t;CY?zbrd)@0YFP;fILq zm%UtC$I0Knl}xH3uLQiECuV-l5fi5onu-IN5cShpM2G_P9=!gB7Wear=J2`7#ByUj zET{4E)6(<(&~Fq_2KjCwKtqG6_yIw-)OwhE3Y`IEw^(0CP#RUvV!Up2yyT-tf2wIm zeRHw2b2OXxVk?ISp#|Xj!cC6u5EB3LkOvbtTR{G^QZr=VKA>f zbOlYC3zkNbIzet5@!-JXOE!SMgh zueDaKtTL7=P9dJVS=j0lu~1)vOHu=ev9W$j$FdDfsRWNeNs{N-=jZg|%`CRj3csOl zrhXDp+s8H}=w%|6DWUbw!^2{Cccy@sokPhxtz3k~b)MkE!>`@W+Z7Dc8lHFR5!B{> zaGD#eR!{>RuF((9WDFBZv7%eTUn5u{Q~w5b;I3t z&5ComvNt|8D}c$!l=~KOgvFH&e`9PS#qx%B%ORV-#Hnq~w#edb%Oktb-UM>-t^4Q| zV<6gK7u232+vSc8q+ii9yQZ`&=ZFw*y;k?Inio|p-{8?`Jm=vMyaPR3_3{=>xo<5W z65eixDmdnnFL!DU~u+cs~3%cE@;Y9Il zN1@8xidh31N|AIU8okD4B*OSM+2W$2-U0W( zLvuGc61~rrgz%+jWKA9E={~ymojVpCtxTf}=Nz54AMy_lIsdhklEOQnRjKt7!d1&E z>UuUfsKC1`@7qp+$4Eou$isIyb25?_WP3K%-M27vsr^%tmS*=IK{LK@TM71EZwfoD zLgU=8k4gg+0;{ogaT7uR-sY@5KU!aXi19x!)giSxNJD=qr#+|#u(P{6s2XF^nPF~_VN zQSSaG6y>Ne#I`2KHGAv4xk!yAy#L_XoaiXLuXi)9#^Nl+@;B@<3?UqM_~fR!zS7 zM#e@CpBb~FAb6jw7xbzV9rsqd=`FQoahpdAJrWsaXyYHi^!Vy5s`EnDpf?4yGz5}e zZ5&|NGolbZAY~U>#wb&dXpqT#IWQtU&cE%mUTadoB?4!3s`Pcb=o%_u$9e$t9JDxi zzt=m6CffFIv_XRW#+T3+=6(tg~BZ%BGc7bF&3`MN0q^lEwBW z62hl`oxJ;@bIOm*Qa>dL8hl?;0=8e2z*G_zNRk*5bV_%)LEnNKj-0^psNfwjL(|=4 zDeKrPk4$<|TH3zJ1?6*uW7~ug11sXEPF(x_(^pE*fr!Jb*tcLBDr<7#B3>rsb|ZPs zA?&X<*@;*r9ymD2 zw3n~N`>pl0WTty7%V8aqi2<*aX)oB_B8Qm(Ttm;Dp<((%<5NBV^X7fk$A~XVDM~-I z;C*^T1F-IRkJ$c2Fr9QZGnKVgt6B)uu!gM~wlwayJo)h+?E@)X_gEH5_byKtbMW=? zyi6zib2t_2>!xBmp0XOzkH8?Fu%PCB-SgQP*{-&#So<$N^-=MxY)km${3$OKE};Ez)w<;PfZyu?PgUmOU}Y_ybG zSZ>TZ3!*C^BTs zQ-fakdkp$!90W)xC`22}J`I+AlIHKQUrSsj9&f3NxNiQN%oFE%jWypXQX{*PMr}<1Cacj6Jhq8a{R5CQjNm&a&kZz`|nn`HSQ2u1zy`-=L#Iz z)Pm13Sk9xBgHBg=d!(p6l;jDi0TZ15Ky(eUUua*yh(%R@#*qd<^bG$L28;4n9IZ26 z)Ce^-Q8iric*gC1$Da{F*H!bD*lTWhfTJUivESvkEtf#RzfW!f9yTt4W!k7Fpz)+k zPflL_=1U(-&`#wKgIV{+^v_&rm-cTbFNIlf6Wp9A@>gjtS)+GR*K5;)t~6|3%zG2P zESVn!nM;0vnY~S$YKAlVwy#K~ChS6*GYV)cc)q5)dsK8hvsKN*FM@mL-`jjpN{IL9 z*4tDh{re@Hza?<6TdKXX=I16_$U~J^%NjMlS1}(oO?0*Mx*q@#>H6XMq}tK^ zWXQd&Kn>Ytl~~U|N_G2h=_oiz!BuiP;3KuNHFbuK#C(&@0iv&nqVjG6F>D5xkf9H+n z#xm%ZzBDU-Z@uBk*j^Q|@jIcWR;SXqIhC!dC!yzF1Z_3&euZ@(FaJsuCu%EI_29=q zTt!8BeB%C!JgI}uPlDC^^;vjIikYRZc{1l`DP!4NuABv=-*47B?X2c_FmSr>b~JP$ zl!8L%zq1;JGBic;y|lZhNCY4WeS)P1%grD2or?*+IS#lo=t+nH`P;S$0%H=hBildT zA#Gi!QHY2>Zb)q%$>+cbNtxo_P`pMburIEBQ+7C#^>WtUFb{dhZA4B^&J60Z1)GBK zS|xw(y{ZR+vjTQ{b0@Or&rb)=$v$koq=;qmIg;!+6$m}XCCFn{(xQKeXV}ihyk_R*A;Fx?6NWm_qJmt@MSM%(hFBJi1N^6uQ zhY72<5ebnJA6!snptO{aEXxI@Ttr)lt8zx~vJJwj>GsxvV=U$yrFi{X0eAhIKYt_A zT7Qkuv%>UPmu*buea^dK6)AwEY+2u|RgXiPg(?5ir>PNXk zbJh2qccp1N9OZF{Uk!8SS^_-k?mJ1L?@WjA?pvRi(W%lllnqo#T z@^7!#XFw1&zxA?-|GgcA1awKCfJ6Yuqsev1YflL9mEcZ@aqs0AR3YyEZ2oz)>VMWw zs@d=CUW9_O5O7~H#c{5phSNCb1sZ6UL9m_Pk=Vf%0yV`N>Upv zPl^Ol$Kn0);923Z;9I2r`sbhF;oN{$*Xig|oR{;w+|TV{cu9EjM(;)j730`y+vU^3 zd4qMkRHXgcH+kwg?7H#j{jjssxe%&g6o;u%J?f%d?<4oAgZ9%|KbzTr%_wf{?B&aW zwSW?WgQ}p%-*)^jYlhU1Q09aD6uO=QuY9QQK@F-=;AIYR(Af@ZO0|UEZ-x@hL;v0R z^KeLdxLTb#=qkAyUrt>@YMA5~T@`CxSrkcyC9V!@s?*ros+-A#s2{d*i_iJdK%L%H zbhmAwi|S)}ZFavYpe3Qdp}fhYlzoni)b$D{(UF5aS&51Eh5|5X^59mioh-nOErX!~ zE2FHHqxUb=L!kb+9!hA;_4!4Ej;wxnZd%zhj3`93NwZVYgyrI!p=_(|htD4Py5-v^;j(IC!V&r|gG)W{bHB`} z+DIRqm+PMjfPD&mI^W@{b5*;g#%H@J*~mDn_)o}N_i|C~;&I_g;z+dAG|`VR6*bss z+B1Wpvi%9jUzNf+Wi~$0lqe>>g(G*-*!vsTok2UQB3OcFKL-=wgwmJbCP$%Xcn;H5 z?kCI;K<**A9HXCb%cVk>$LLrSvs`c`1r9;_QZF=v8vI^^ZUtyU-7J(E?h^>vv(!e? zWkQkMpBQMf^#9kkn-J`+e1F1pdhM-)+r=<`?2zd(QaTizn3U5@&K898VkZT=MuC7S zq#A|PGBw>lWq+w~)$(a~Ws-3%yI#M`c1~;s_?h}7`Ktg?cUPuoe>R_Cr1Ea zPG+X~2&{KK{d&su6lcqtag=MK6b{7;owqvT*}0@0&!Y(s*4oMyP?*2(H3>jRmNdeW z6-W}6@NG-G%Fa{ueV$<8AByFGjfYs;R{8U!tt@S=z3xA>42<-c z2<#AT0Y2tU^$Cl<`9QUHdH&QK5nt=;y)J#Dyr3=EMe}aIhV}6FPXX_zQXOcPx{dd> zRomHT{B~k+FdgeZC7|pl_zN=I|)nyC$_8h$F8iG77vss<5l`D=noj zmDgS}DjE>Q;Ar!9G6c}!qx6Zb*vrfccl^Qe& zu={&x{&I0onx{bz9mnQJ)i)UDtEiJz2`EHEZs=(_`=V{?$qvidwON}(53sA_8G9JS z@K3SI;F8mnDGN!4)DkW*)2-43ZD4tEBs27)6%wM_!=#Xy!usy&DJr}hb+oUJ7Ga34 zj=ZNMcp>|Wm)Wy^l`(nV>%ilTS5CR@H@|O}^8C)+%{GQF--4VKauKC^rMka@wtlI8 zl!h(pLB7%wF8}H|R<0U-`+eI7YKINvxXIAP`^`4i-ZeJ8)e!g6Fk8cCRtW8Mk@&dC zofKhPDkfaS!<*_C^kCd}R`fjAe4q=$c~n46uwoGR(#POS^F=)-6TevR<$sQ=3W=OL z;HT+C5{1J(90e6xaV5a4{oYvR1MdxS+$DhS7*kz^+T>Ua_k`iVDVx`y6*&$YTA@(_ zTQTQa5;EPd6HC{3-VqD zYHHAcQfi4=0_lV{T~FRI0FE~SN{az|MOD?iEP2WR@9PUK+jpvde%78r zX2aiwx3C#s9zGy@aDJ~E$EZ_qSJu|*+Fgv?2l@&SUQ*tK#l~jz$ScF{y5TeYYu=61 zuG{&kuNmT_(i(AGpB~cJ*meS?T4YhB(A$hAn0uxDup4xy-F7D&94m7>p8st0v_4<- z)eXlztvBCSHEu87HgChWvBf^&3%Lx5MwA8~V&n-6X^LMv;=G8etlmi33LzWwynlz` z7Cne$WD#5UnpOzTg@+3!a2;m|v>_Z}Q)&LB5__iGyn7(0uc8B%=N@{InZsnT8jey$=SQZ#vA0IgCYJ{>5wi zijG7!lcE&$Y+JRS3LE?UB$5@s-j}?1Qz{9A0reVlBXp4j1z^+U zz$glPKP|3_#|20D(P6VI1|}6gI9I|^nM~B zvXF?B6HQ}QDAAHD7s8RmLX!ximM(=wBlUvpN{}$)AyLT2pILl9B)Q|H0|YGLbnh7% z^L;nFc+py+_)CRN=%KUeU}!{YGT7AazhLjoyBdRT8n*DzGKt6xK#lYIoH|+;gtGku ztY%^uNLQDDDQh0|u;fVHRD^#Nq`W?jP98M$r!i-zVT@P+2P2Z^3G3aDpab9hL`QUB z76}!ip2yBYX7{FIk{l$PQYIARi^(PvB@UUFaGc9;ce7lZns>b?# zS?et^6Db)b3h?S@S{X}PDi(PaTA5>L+0RHeYyM$1cz^rqjzKf$upWblZ<(4g^x)~} z@#Xib@jKpJ9!jzXQ?3bkH6&~(l%!wOs>D$IjwY^rllvy`^=oz?n5&?z-h=|=!y(S@ zruStJ*-Np}<-){BSlOdjm*f?OIZ9=q2VM=6UFXhkvEcK!2%VT6E>irgc7N&QZQbBe z1IHN-CtjV;M*ms`7I@wXzJuo24%}b;W$4F#T92q|3o;_lTo8p;tz_%ZZ{KqJ9oBJl z*0qS;Ui1u9u9kT%w)>K}j|_oVAsBs*s&#eUdw!DtP+dxOC`o@1{|a}#*_$4q{~|Z= zeIW3p2jd4EDL1YVC=Y&ha%^F+;YnxK(Xg+IBOa+B0j8q==o3I2&E1dd8-g9FH_L5< zM?|BlxPvK7aG?+pNfFFhh!bKjh+44L0O>*!3m)hS1#i|5K)dMM)$a2G4nkIn?$-S8 zTL(OevEj^ym_pZUtzOUW&VEfiyu8pW$<6KixLDBu#8Z}CyK-73F$V7}^2pDDqPXnW zRbLKr&Ubg54C>gkm$6G#j&lrI*V0i-5|Ck765E|Pw2@RSob&tw!zxnFhnVf>okm*P zfj3!!8VDhwhvRFj=X0lr!;B%^B;BNznt&Oqsqv@H8_wP<=)()eWEWV!eZKm9S6Tb; zh4-}*3&+`(O2o(r*_U2n%7d8Db5g;m|E@N*XL6I+I1zhxebz_EGLdsKu1zgI5 zV|ZC>z!hT6wi`K}xDp~b*bp3<{6o5rkpMM7@b2O)Ov4%jg$|ke;|cg>^E&wd%J2WD zOkHV1b@b8H5}!A8Aj1K#3A^5y)R6d6#Ky(u3V2@Ni~H|hu>}tI<~;Liv8N2b{ji5B zNsZ|#Ci!cIDP>q5i;|5{DNU@SjmN8KI+on8%e8b-Jst^GM4omLxr}%;U-z8V0KE~b zHfHgC?s3ae*>al@72xAN%^@~o)w)FlJYgUzyjFev#FUG*%b#ZJuv2L58~D#MyP9ER zFuBjkRUm0q(Z|6axXSL;vMs5QGn^HRPCjQd@h1Wz#fRpM9duKrLt!bVR7_E9D%cw*J-`AIY)@T5B{XWke3>Su-RJFpf&3P`$2zo``fYC~(> zfOYD?+sXS}5jSl2R+mh}jMf@XXpo8TPFK)FTm%>!s5EN&6IEwT8L;Pr>pnViWTe7poU z47we(TPle%HS^Ry)4e=Fw^56$oeG~djBZAyO#-yWoFK5zvLFCRs?hpSbqV_X6|cPa zvDVHa-|{gMW0GRUQEox!Lot=CAtHeUt4VZOL&ALlMREQ1yuV3cfy6&T14rp>K=s%V z2M7p9Z`@{I%2koXRd`nfGiD`_t>?x38&@a!r)f;xVOqV`5?Sij%V*fB)Z;n(N{TA2 z7!ndWkntN+qyUn^t2=>Kr@2`$O;b2YOdA}^7^FB1QW1mm{fGSZ(n@a zJUHnMG?Af>eLEH+8!p?_TpbwT(x>@x{5^s9cBq(#Nq?N}i|^32;9bDc$^6h3YF6x} z=PzC;oBwfL=@#AX-s6%a&3%7_`1Q@+&WH|lZfi31slVu2=UtmifWztH;v+-rKpeci zc>yZIPYD=3-t=!>&rZA{Z}(@v8~uEpD#t!`&hK3%Kz#;IeN7d<~kMN?|iAP zj=brs8nCl55O)L$HXpD8)(_xI8A~FrCgn!V;23f5J1XGe0`8^Qx7A}h$pX(L9gAD9 zBj#XGe7~3W*_A^@)6VwN_unrgyN#Lwgm{A=34jI9L<(+>EuTKRB?yVf*-eG96<%q2 z&$lK=df^`F{|*9t2118eqd!%ktN)GN;u(7AnhWNu%MX@elIzTRZB&F2%Uv2rNF+_IB(6m{z?hPB1h4wn(%5lJv;OLHPaF3cKSEN-0eKtDZ5pL zPRTTt-bfenTgrA~E&UpY!sn#Ghy!Ez5?idTnUkLqg|`F-`}RTgzGF)yQB@}0Ftzk~ z1gm{}VtP6fVvk_%eYouU=8 zPvh2<&DCuucdh(y(Pnj)N>z@;+J0dHNj=g;CLNQ$@QS#YJ}F(Co)TA1A->sY2ZFsj z=i7cZ3kEcOAXe!WRULQKs~Yq&9Ax|V*&ZIcG~GPW0pccFK+Dp8`{Pxs+xZ+aHKfo8 zz272VR1!>=3~$?5K8&EM&v7{R5n}!5$X3}FVB=|PD?-S~X!wiJotWL|X76(}Wx#%h z^%Z;(HtT5E%=bLLfC1p`?d?rUhY#u>xpR!M``=8$-p4Xs)Ki8^nks+Ag_MK8cQ|Mv zsZ}F{-SxQ)RWW{8&{xEJep(}4gCzJ7E1k`8`CExbiY zlhhZ+s4qBF``Kg#Yh~zdv{%#I!=U65rSzl79h(Yam?TfW8Jh|S4^jkBgP6f!x>rn* zE1A~Zk|;R8k=$OBV?=$*ImEw9fq0D^oW<8=|H22ugYD(62%g@I11tsBj9wg zu>tV=Oc4x7Y7yr_Z~$UO`NcbHW_o*dUS-^L=3+f@@rTlXYaecWwDxc^Hd6PiFB6p{ zAMc2RBTw$0T41-UM}sT5*qn5wu#AeiA#qJf$!gz^tw5IGVz0 z5nG|^%`Hv2!hsE8x^^DSp67(;N|Zn;G}kTlP-xHz1*zb;y%B$awnAKXiPn&HIDStVbh9u(q4-W8qG|miQo&A>LTs@d=4=nE=8E6LI7F(Q{CBp;!VB;N z|GRLt_TYI#{oiw*`13IwnK8AW`EpTO{))%&XEJ+;?>-!3?QUdO{JB~Fuw>H?VVpxs zxhgDpkrs5>jlq#~e^fW&o%?ih5bK9^Y`AFuc$)WAKj}=1j~YqdI!gN%+G`o?TV|A< zO#F)-eO-IA-*h{2P!6tOi>Fe;lE@PBsv!L_Pzy31|zuP{4_z#3^ zx{egfH4CMYvcNI5Q&+R0lR%9LU8-CoPc8$Ro|LO=vTfdu(_x)%ry27u$o{rmD{yd(KHg-P(YY#d7~F0*jV&WPeUZu9^1w&vlTtD%xg*&6~iz%-KGMLNVvoU8(KYM8-^k-%nG%d!O4jJ6EplQi77&&apsRPMf;}dF zw->1Hj6`z0&s@^*X9IA9Jtf>>KSf6_ru}gqtipUyVy&<7cErQm&M2 z@s|J_5mRcYO6z43Wv6F@vkKGN zwX_7_d=@375+EJ@ngtgGqN4%Zu_dC=0n|u4VzMaBbNC_})QR{evXA?u>bSNIqY)XqCtRezKs zIS&~d01-u;MyRk>`K49b6Mbdo{y|A3eU>?PX{6dMky$Y=Q-Sj#2ISnX;eC|gS2=$5 zjv*hShQ>HJLfmL?mA9w(TQ&11BDH*sCB5j+eX z(S8Fk$2F*&s+KbeE2(9dLL~U+binS5njO2SMrc40r|VI9ozkRQg;@o10u`hJR)CNX zmlEb{_skSRCDr{SLz> zjKo0+Pe|yR1~I{A@JvA50Cv6wIj1n-Y+vC$8G zWGN(q1fIHIWLfH3W!i;uttookT0eZ0&~U+Mk*0^`j2anSrX(8APh#Kcr_&I2iuic``7F*+IQfY-um>3XJyuv1ePsPu0VEFi=;cNRBiD2>2~dUR!c1YDLLzM~ zwYhYn+cw(E$1rYnj#qlf;vy!Pimy_5lnSjW=ye=mV0J~r?zFKbW) zKiTPVyJjh6)9&oUnLOo+3Cag8qOo))IL?1tFAJWt@Ph6iQfU?T zGvC-cWC@?-O0qIr5L()&zf`)Im%a`gY}AJGTRWA+&=3%zWA>`NVH9UAr6-gloFdM< ze{gP=GBrtW?*eAV7hM=3p8Y8Q=j5)<6P}#wg^@7KgH$+kGHG`Wn`^-E2z1l$1fI zub~7ORPw$gtt$a&Kgz%+@HfmQIOSWI@jw94-{v9I`OIE4;a!~jhpO3Jk8#0*<=kYQ z2pXT^S6bPA7zuZ5S^D}QBq~bPaI{I1EPBOe1G?T(p=}=}+<$#9jGA%w2Mxv&e^n2m zsI79fNFc@B)KrEpeEkd^Y&t--&ayTwu_@p{pOYGLON&35RQhf0h_&9&Zfm<6=3X=d zmH&vQL<^lsKAR(LA0-78l18Uf8QsPH!i`4^QM!`hRHOBvKy zuahsx?y9#YX_wt7&RqDN#>5+R4E<=R!oI(9sIw74CVnKiFnPj3N9#;K==_w7c+H;G z*jf{_{j}uco}S@ldzpr@9Ua*>>#F4!pIjY*QAeO3>~!m!^6V@>&p}fXW{TbDGMs0# z$82(%+BU z=>+aZU+d7UaryO49a2t=kFAvb?Ll(6>ffvpjrby8v~u6RcE6Z}8d z?P{DS(o*&PKh9f1%%A1Lxu0 z7EDYf1PS?EayC*(vL+wEo5WxLrHkah2<874@)R&rc^@s0R_;&aGA2@Q;a5`cJQ@>SsD#HW&KU5t+Npdjmj4p9-qyT1d;U%Iys z#}LnT*M1eOtiHDxu}AEkHymQVr+ImlD~3;p`|`NKIfSMRiC;Cp*3W)o1^;jQ*y|-P zDkNE5*PS>?nOYt$zUj<*Z9Zhvh0WQZ1-rY|z4=czzqSbha}=P=a0yID?|++_TYD-gJ26B3^bE`?i&IKc#Tout z4CYZP9rB0&Z1@`>ATi9=;|V zfWW_wc^~^tUJMZN2J=yh*VVM09j9bb`U?mPi4lgWzmc|`V*J_6YP$E)b|#dvA6UUu z>d1YamHs-5@dMwQ@A(#N=1UEq`4yNlWz|4GwywDF^6{RYqeHl{wuLn1i*k4wF~7t< z-Eo+@LRnUY+&@L?v#qAItIQCDP1u)6O5ga)KgGCIUy}z%ShfBtpf1MRw;R1q!pzFb zsn&oZ+`BRqieZz?axH4CAvoMDG`-8%;oy1fAFBnvgWQGdyN-{$$-3;+Tp6aGKzEl- zA}2S58V>{KKv<4>dE@6|!{QZ#srz^+L^uMeLJl=uVbZs*;JygIwu#jtE`~DmjaWxR z$9vN3nqmc0ihy1G??3dA@b4rE-~4X4mlFwIwm<_+GR{d`;QW>qL%dir=xE5vU^+V4 zMG41c8R}6OUUdchK>v&D{%hL`T^1>=FomV1-72Thze=y|L?@&VJty8bLS5=+UiyHc z$dqmaBosl*D8OE#ndfkIk^9BX-0LE)pY>*2KWn66$$3_|#mU>-0wGLk(An(n;JPN7 zl*Fxks1pJKdeJy>!1-{Gxd8Z7)12azh87*TVPPR|cTKR>dvm_h$j-(*z|yB=;Xn_y zfXY%^WbHb+c9zNvruB8Ym&Cl`C+KWVHGQ&VyY{_mxT2=m(+Pa5G}lP=Na;mY*RRkO z%?TUod^#sibW|-O_6`~PbbOW#`a^1K>9Ky2t6$eha1()**W`U0(6c|ZWxYdu@sa@= zuGDVy6S=_m;>T4&6+{vA?plQ39NDj*X3o<_*tPlYj<1dE zV07paAF{PaG<7OHjLOHa6S0bnpmA$L*JrVUniN8l`x(S*ZR&+kmKB8+Ihb}{`yx}S za*oP9gSgM(O_XTL@L$*hY{AY>r)ot(;}MQ%tF^m!Z%hlDoR3>=at;Xmfj@FC9{39n zCC77wIb**bm>S2$<;kKrG!>KBzuP}UmvrY4(g;d- zBcYT?cb9bMP}0&M-2%dZNJ%5ookO>D3?W^2e($~SyY9XJoWEzyI_o_9e9zwdqkc5= z*C*$?hJWTwfV96dzfIJ}m9S!G*G-<_#<*CkHysGvL1&EY?{mkC{O2-Bnl+ObFaK&F%Lr`o0mJoy!+?TBs@`JQ)yZK+phZ8$wNpOi&Nuphn)d)?ME9sk!oz06Y{Q+p zm2Elf*h4@-fRs$Kd9XQ@`xlChrNi*5`8bzQm58T(RD!K{66`}{@w zW&Hcy<-0p;iL1zvvNYwonXgs&5r~8%~oVc6Y_++vFsy}?^m`bIzJd~7YI20T+xbyphq1-doN)^m5moira zh3*Zve(PU|*F8++OXS|ersn`%^}bHREdE*hurWLAP|2}Xyrq;=T0z1k0p{iT6EUpQ zW2OCL`kkUwSjo!RhlG{;iNVLDT~OCT!kR;aj^fOq|B2)e{{Z;OnUj5ual7~%5n6e9 z(jgo|1)OggmLDbCyew`bxdYWJi1YmZ4HQOCPEXIq7Ag(~ua69xHxLp(G|Y~|A1#fh zm;qu)=fBLYN-DjbkxFNiuQ^pT;yEwz&wnFt5nWac*Qp#j#Hxu0`S_Jg9at$< z*1nq*&Df3Mhc`#kQF9s?Q2$%~sn{gAsWPXrD7=7wUBjxTA6Ax@J?G% zA)Q5nfjzz)%6bRh+r-{CR5CI>tn9fWUberEA|nXvP?>hAlQ2}$UpCw+dfM6+Q~2e{ z$f1!AtaR#kMQ7fuKP+ymRQ77VpHF7V$sobN)W5O(;k|Y^Fh)R-gaqG7S<2m)y;AOPsHejlHT~ zCY~@OzY#$Jtj$Qh(3q@FFv?gG=<+GQ*Hk;l!6Na`X3h}k$|ghtj_hjOhbo&&W}!NB z1?TsWpS7Mx-Za`*E4|iP7ep0I6gf{*$_{$+tG!Fr!(+Tx%ifzkt5mTpYLYiAWaHQ< zus&rnb<|Pggj_PxZ#|lvaT@9|L!0%(`U&Den;o+EPyZ0l?7`zn_i%B;insT;Bky35 z+H*B_+yM`h)4-2kAO{Br_}M`;GCH%u5CQ^f>|IPK7eOWH6e@&*iQTJR{5a(R|4?`e za}?blAq%Cv{hC>=bJcyPTPHjExdx{Btz5tmr0D1vvoUdc;{rp(x?UyBlsR}hyqj_= zp!1OzWCfzo)C6qtWaJ`%-Pu+uzOG?>w}=>gv}!n5Gd=jUZKQoK40> z(32{WhY)<|FT5(=obdZokUWy6lQnbDm{@Oe{$_fb7|a-rCg-iQKBt;+!7VC5px*eV z=7I!mQXq9=zAhL*Q1h|t z!708}#}1^fN}NBi1Q+OJyLbsk%##qWVcnZ-awTp0rX125;SnEAB4T}em4g55P-@FS zNeE-2csS%(_NbpJAH*-6(eb5BfE{|d+m3;0lqS5ghX{0>dEmd#VQ?qf&FpEQ^K%CU zf!7#YDK4Fe78JHQl0bz34V4KyL@`MQ>ii0 zCbok1J=tB}46e*o*DSX*QlnU*krZD>PPm0NqwB|_fD7FVUNW)yk^AvcUpo=vrWGyD z?+y4_psHi~$#j1Op(+=8*2*l_IZI-Dx3=&5mwBtH8fO|rbJ=oZq~)@Zq`V^1 zYS=ZbP&nx%+u#-ch=$*xhg|sM;LeVDgIH?TRkm~I*}5#EEv4{n(6dTH;KglS6PZ9v zIhMxj(XTY3lbHZu3G)(Fs>$xS84|s$kr1a2Psl_3qjG=J+Wz9=!Z;!lNy#z*faaK% z3r>p)=)!}0fM7az6dQ}STPLCn7{*AcdMz~<0-MPrc)SMV#?new` zXx8-^SnyQET5R}&m)ozYA7HVP*$W?oANE2XOq`(2&X2u#>O5F7*-L8pAh#!nw->v) zUH-o;ZkDp%meNtD#%3$BMGS1t3rB*Zq!cm216Oo|jsLb;<4A`C)WEe0sXWq}`V<7Kb&c)00Ik+e{u2u8xPDFA*jk569fy)z;OVV)#k`?9${GG-8Mm z5KE7l(4dkKB>(LD2?6Ym?Mj&v?3wWy#zaY)lm%;_tt4OAsI>J(4GD(<^K^3ej4TX~ zXckA>AUY%w3*9R1mf8grt(@rqIbYob20}Ay^u*8jK7K2`O`SJK7INp4{>~&G-upWY zey#Xj*u09#c&kC%P0vqZCeH_T&;L5_FXAtSI}NpoK@cANa=!P`erez5-oe5E0G#sx39XmdbBfkZJowzB*+>f zE{0i0DEx8Hvn7c=wf$`5C9A-wd1Y%=^6*8aENz;@q=HJiU`kgFE{TlIT<6XF$z&4! zmZ5WCrwMx;>>u6p9<+aab#9zW;LqE(kzZZYywdCpQf)_W2nRGiadzrPtK^ z?C(ypye&DpTE+va$#G<4OTANyyed7#V09RU2Ei3M9SvgP>{2_d2rfLI5DHE_3z|;|DEHgSX0WGf9|U zCRQ3!K$^act6A0DF?`&22BnobLp^GoecPYKLm{^RkUs(tra_pFV2L^%6#XSl<9qum zc|ZZ*%yJ-_%X&lXy&K09cKEz-e~yh?Qn#3OaxM?{V+`sDz$%400H8qt8BHh!GxUB(69f`!P)g+)zOS<&7?^@G2N^PE|+(Zx0&Z-cj@p~zdoK&uZqcmaPw&= zsAes*gLC!g2qX+^JyMhqrAhs{?(aH%~-+m|N^}DR>tfFW_)Hmd;qOlBAy76^$4K!vINDN_EFz{h;7(5IOww``?ohBhZDT zqEZPJ5_U1YMzHa&JkZbvTX|ZM#&RV19tZM}9gaznJ=oc~Lgokn^6HD8c_APm0KuW& zIj+fX!xNJD)xRdnm*q9xwCZnTZf^-6F;-i@P@`KdM#`|H`@|WSe)wT~XbW#`+O8fb zKo78L_kS!Fj(Xrb!MtpjNUr-B$kip#83FNLyl*7Wk>G-VL$ss$37aXYGeWH zA=mhk1mA1-?+d!}r^sq-xwV(MY$c7ZJFC&+j31Q!Bih8pY`1>;MS# zx5YRD85zadaGiqj*mePbd@ASJm8dWH=zK)o|m9f+8h)e8N*pliwi)hc1d z->pSb=X{40RqhRYgJjxST0|EW9+*`{CtTl<%t(;b5L!-wuXx?-h6KZ6Lk+JO#2{f` z0ZjqNd3lT89+q!%B(I^aKk5Wue4ZY{o{UKbgmA%`tq*r28!Y`S_Sxc+jRJMJ0Ddy3 z0hdoj4WGe_O`{f{Pxj>^{QN&Bb-A<-;6}OzCZBH?8qSjXw(zLIMkk-Dmb2QAH7HRu z(tk9NCI!4FB|wqx5>{Z+%2JOmkX0-dv>!INa&1WY(WL)(vHH=BWr{U=tc%yUASI9W zWdSeCr(-r7V%^$`Hwf!i^JHdK+8c}P(Va9E9oo)o+h)tGg*5CrgqloAdlR_Dp~jv( zs_Jj<xuVk{i55rw}nxxI1HS9x=bb&>J!3dVFl5>dW{T2 zbH>2l??z&+r0p3G{UlA+%oN<4_cCt`1^Q%dWAUXsy?tT}hb<}`og{FPoZahcDOi*p zQuMZq%Me*Wx%~SZx7TgTKBTz4n&yaQc(@1b3}ViHhv+zxgKjH6YXLo*sDMV@Q*6@Uz#nN$fGc z1C4@$zWlJZ^5-|GGDUztMtT zU~BWQtHojX4=YAYzqqOpaloXaOTi<5g?5#614pG#om(BY=9!N%I;f!gXK>y}UNP$P}2h z!q(<%j*rM`{Gfg=;Rlba;$9iM7UK7HuVK(^rYo%wBrZ}%q=@d*WoU0K*{gT&<4evI zDw9YKi~suPmGNd@ihLr3{SE)HE28IkJ$h6bVeB}%a4lJd7awr-y9`7*LPxl75s7!Q zm=bu;y}D``U~cOcFrJs>UDKGuXyS_Ws;Dgje?FmTa^={mjCN8Hio2ep5{+a=P617@ z+XiB!g@j-4fM1771|Ev@x!q0FJGV110zHWcxG7ct;e05`%X zKuFfhgk9?S+)@Pe3P=j$Ki+)is(U#V!vMkqH|-5+Yh*2xczDez#XXJv;wsI6~=_1pZGT(tz3v5<51lj0~&yZd)Z zTM0jYZqc;sqgwm`Br<&}-2zJyA9zuRuG!WbDD994S-nakbc1TcH~X6;FYr#*R6Fx> z+ZPsfp@#$0Aq)xe4Gio4&0lKN;$pxI7`2uWUG(9V>e?Dm!qgb~X=OqlyG>be-aM!3 zrn!)uIzH(*_Pi|@$?x>@KuJm`q=(6TvFF=y37@<5kqx5A4AKlxLG$Em^CD#wAb#{J zC1K5gX|_?|6tTPGSps7sj{P$XnihUq3GC}aHA}SQ&6XUF#RW7tX&%R##+_a@eSt6e zyTBD&UH#Xp-;i7evf#Eg{qnk9?5&N?jJ=4jZ#HI&4y)JCBd@?)LczdBDulmOlXNll zb@jZ0y|DJ&oR}P9d**)nm#dxfmU+LhA1|*}#Cd6<_*zvUyTLi|K2qUrNDUq>d5so} z{Dj9aUS6iI;em1UPan%?OLNhnk}tF?l_<}bg28uPgS_Js_0P*k%YFKOcOz$ar+=UC z5!7xgdYjkL8j83iqBfda998er)pEZ^i<(25oageIBQ6`_QN;1og;5lkrHAfm@B0a# zv!A|9w6nazKO#J}uie zio$05SWb+V>W4B5mF-So-K)s02Y(Q4M(H8=^6MEfug|KvOB$Np&O>ZeCGUFijGuqg z8Q+ZOFJ7Xz4)^o>X^%XwXMku?<2`O~v!7QERf2JW=l$n%I_i1Rt0xr)JCT-OtK?La z%%z!fRQ-hHxluyfU$5St{<)YPa;eppA7~ksm-j2z6m>g?@YdGZk^4o2 zX5}w|&p};3>eEj%%ji(&JzO*jcT)9?*wBCLbUb)?ds<=iRmyJPxo|nu|KZ^qy8`@y zmymd`;^t<`{skS$3sVjGc1~kwN7XN1lG2TvlIo{7?tEBIp!|Y(s_*RNvOPb4CjoSi z1q2M@jR2BAM5W3V+933#?|-S4ysa804$?6A52KU;b;?TB9FZR_&1i-BJ$mCVP-4up ziVAVkDvL;ncnVq}u7;yOuL}DZ11~l`K!E?(p9W=OWprYlJ4?-{KOn5lh_Knh5e?}x zR7(g&%6iPtF1Zg+GQe+qs!+F>7J=r*xmkK74~8WTdPnT-Phsi@N-sWPQ88kPfa zJ!lD``imk;4(&}w)RhvFh(edIs8&-5WxlIvcW11;7suoB4}oOs3}qVq`A_O7#~uEd z=72|&L{Z_XZMFZs+FoPD2>PwehNlbogZfK1OCwX`>m)3$uPktbkdh1-SCwc zh!Zk7y}}EvY*DGK8u>mW!dvh080!xg4mgb>{y6)hz@IK%;hA=7im_WfsPk-X?J?j9 z^ApEn@z+m%h?ja!4r^C1t`a7?+^X-*-|pPe<1eoXDqQAfFvX6zmg6y6^JBPpw8|5w zLKxzYuy^&y13nL6V1=L3SB$6+y9L{Q{*=VfdKWO~u?WI26v=Bat`&wW<5jWd@svqmaoB{0?2`P`LL4I4Kx8JVRo)Fdtm zVFp~j6qi?NCE?vkwfs04Nhw1dZ8Tjz?%%V?#&dHP7k^+kodkJ2y}E&xr0NP>lQs>_ zwp>(2HE86uUl`Yg<_1Zc5uAszDwebhYKs_Fg=@xw?kkKA`5iQLJ%zWYrhQ>qIn|y5 zxthAf^R$0USqZ+yp@rT?k~vRB%y2yHO+mLW$F{R8? zS5xKH;!KxA)mc}sU3s8me1`-90l~)#SxuWvUSm+_f4FoDIu$y)??n<5xR>R)DIf3p z+AShE#>L&^W*`Lt?iPo$CT)WJ0!t1{D*1-bQx`15uUWTKV@v{%|1_j&t7j3Q*H)|; z{Lm}AnTif9A>MZKtW4Q9$TKQ9dbc8=2BU!xt}ZW{^R!FX+c@22n}{qP;6QjAr|XDj zmzvfn9lGFw_h+htmyP9f?2p|F8u^8FR#sKoL3hz97rgGV*`MI|3I_0KVM{3>fl207 zUD%(c^KjIQXs)SF0>1WXX=$|ZkH$;yl)HK(A`#VBZrQM#NdtaL$%d0`_5AMhL+1w#q zS7uHmWyB2RE~QCAPY<3tOhN!~71XD&RvekVwwS&PAr-${rq1W&bZT;w zYM4Ggqx(q>+mTOtrhBe=-h95we|nltSi8$McpiVY>-hI<^Slsz*ZH{iz_J2sxP-n_ z?#K$4;@P`y<3OP(R9|n`Mc02_q}knVD5q55k?2h#TBF>D*pEOFF-dbn1Y^+xz5_q5ff%Xz8^ zIscUQ@l`qsDBJC~X{U)c>*neNYW?-J! z4JxRSd#Myw<@~9FYshyO^nu1wLu&&GmuBAILoHy#>98-p*Pd|u(Zju6y9RAruJ-Wb z&~~l0m6NqGwNT-1e&FT?SE|Gyyv>som`FZG_2rJ}doxg^_@bgji#@Sl+Tzm>G_aiV zTQdT^!*e$cD7gU)3$Px3IumcRfrqf{;7HSlG&i@2iwdKI506Ky=5lRS_oe2B_)(x5 zfscnKRq5tvJhS$g6u{M&*=#jLJ8TgS&ccwU@GA>wBe(L?Zwoa6aQ#sVD}@{T*6g`Q zaaFWo5+j)4*Ye}hvVn!`Z3K}TaknKtFyu;{37qppAzk50_lU{>SFO^3Z>=QCm7zSrXU>2(q95Y3i{8_RRXb^h@6ozHH8iWq7F|^0;v^Ip3rtQ__vS}K z-;N(aD)33MyZTU8>mrx&S#jjOTK;~vo?597ZduC`oRJf!jC`g-Kc`_PM@~q7p?Wd|5-xYB%j<*7luJ3H`1(Ow=xpu7+6V$XYZ8k=;7y z!lG-V&vv$Z)1;GrULZ(#bsYlM1I`^68?&{8CCxT!y1nA)JKTo)^M(>N_0yBOQ(skw z7NgDZhqY0$D01D)i5a4Jcp=GVzp3mf>s#O290bE--)6tO+}MsVSbDg$`68g%HIU!h zp80KK*akPZz2k9ARPbsvVKffD;^f|RcsR|g+*$_@c8vWU{O=mk`~I|_|HwDtT9ymF zaa;=#KYl7e@R7z>?Y_+FXxDMfjoC%qUwxJge!M@{rqY@pEmA1foaY-y12$GOnWb@E`F znSqjl-QM_0@6NN*6b(I)l>fwYU8mm)%Jz?vMdk`mVv8ga@izuNsS zx_So1UjQgkYxk#9{WjzL*$t)sD(Kf^xCR$Nr_=d(Er-L{-Xqg2*%t31%s|`oYBMW_ z(ggO|gd|2BQwfubf9_a|Ci*u@E1=kOrya4WvHo3QH(hG+k`2iDdW{|nxC6P3Tznl$ zjbfPX1bqW-?vG*L30VQk6H?8VC935%Zza|@X0wd{advwMUkF^tml{sH@_K$EL4){N zSuwI@__v$;x6<|2UaFES5k#$>IUqk+2SqA=s$Qka&wG}9UVGlT?0kg3%6*(2I(R|a zbcjNBGs3LUWyX^aFo5e~Wk0e-it>{yt^}pq$eX6tH8U%t--0jo-6UJ?f;hM;RS;b8cVjTd@%(2Qs38bK7vfIY8ja=_zlOE$I<2(uii-2|inGWQ zDEL)&Qlu#x2bAhl;rwjAy%qXGU>6W@blJ3OH#Qc0p<)vBJeq)3;h_4y>bh|W*7tqWzt;R~G4OEt6Nn3GdU`7%U%1($#`E|fmq|51Ll?f_ z4<#lTbdnMV{~VSQ$oKK9rqB`F8+`%_B%QvqW7nPQvK*pvYCr<<5M-Q2?PH<@xbOM= zzrXKx*R{kXd5ymOJ0B`yYkgjM-fUelp4|75qhqnQcH;(?jdc-@XSR>*BYC+?j+b{~ zw1DL;`0xdiJixY*?j5fF5d)YxZcID?3i$AThM*JK()`2uyJ!W_Q?Ky`2aG?Qm@xZa zZ^h${JEvAws?mKFdE;+>GaDbT+L^DKo{e$ZvpjPe+oUtsvUI!^xnU|ynHsr8_He2r ziT>*8uV^q&un%0UVEhe@Ja#r!&Ew@-`^`3Yo>=`_=!eY$>fCleo6am+4i@xXJhhir z^7e_lj?JXfO-uYDFxO~ehC~cT{7{^JDwJocY|PuaptlmTLE`20bc?y_HylbV)rd6Kgo}jXe#lX_3mIGO*G6ie}l}@}Ms_ zjmv0C(*rM8Y;wi8!_QOt4X$3qtCa!KyfOg%ep-4_a8ZZ-V?s=7MD6++Z&_I`gPos*o6df?<0tmtG9cgwWBi*4^XbT zTE!g6k}YoVaOT}n^3n2>afOb|%|Nm9yfgN24a1wB0H= zh~}+5&vXPv)|lwQq(55{;3tXsGcd_eO!Y-Ebgiby0G6QQF!1TS*Vwh_G!Fef+jq$v zZAAs1t(RwMAE)%uqc7w{PI2Uv?M%^7`0Gs(l9pPY0Ql>R+bMy_+SFqT=#UkaJgfvi z1mE@1J;Oic9S=;eOUQb6Qva1GvfJNrZb<_?Ed-0s^ZM>yccvh-LZThWBoIbd>k32dK7*!q zo8bL<-bi3aFr&sMtZn6hbnMFUd2Df~!tF&@$UNt<9t$xUI-=A1;Meh}cB$dMrzlJx zT97Iy=U9(K?UjrH^1CuCXn^5GO|M}gF&P5|tW4uZ)a87~3XRnYdHw%edm{w6Tu}UJ ztCSouyffHhepNbbS$qJ`Jh$OXF)L-?lyRHu#UtMM_maDFvQD5-4{jZ) zD%sK22vc5}>v)=-evA8PdzpKwdWMLPZ!DPvJsil~_d!t)4Q&$jG?Xx+Ae2>yI@zWD zs?@jLTVV(vZxBwE0#~*)o!gr790DK zBjbd;bpCk8mknz5RkDFsp`a;Z_hDx6yeU8HE##FH1-mf9tt;hG8t5%SyF{l2GEHjr z*7lcfa=RE?-|sh_=;i+?Kz-TYX+1g^!HUzYC3lytol5KRTJpUFds_;GHPTf9Z`WC5H-1 zKJWGI7xU(6NZodm-I`YN3I%`QefM;5ELvH49Ok}-py&9zUyNSAx@rl`Cp$J~Vb!Uc z^35}9ap9%1v^(poy=^YiXsP=pH8RQ=srK%DiC&rLJSRW1vW5~!kuX7!McZlFHSGA$ z+bd07P$_Kc_hl1zz;?xSB=fF0q+#?4Z`H3dRqJ_jE^ipi=x<4qSRDqyORz%cCI9yW z-MM6ycX#AKjoJ%YjDHmydM;(S8eW6kQm3JYK1?y2;q8ZBcRDpDkBh_HMkac#L0hWL zT4tbKAKPnpRSJ^#0uJ0_yp2GLlS%jVe8UR*67bgaYlh7 z$S}`qieYu?GyJVcPOwZAWltSPB6-9D*^vfY>Ac)bAUe2xv&2%eeyB= zqFI?V9w;f1vlXXHCQjODpZI;JL)amiGatug^Nx@HKkSV6@ReQco14dkJH6&6F8a@! z^*vT*#GtO7KD4MFCC4~r?A*e`}NVbXRO=oVKu-}t^h<4MPqDA{VUHvN3 z^l@ONm#fVQdBPV*Nw?xy>qn#4TU|Xo{b0+L3zi+sg#~RU7h5$FDP$(V#m3*RO+rE< z$%i&v-5Zyh(Kou*@Qph&3U^iL=gWcBx+dwUSvQJ8WYd&^f*+WCF&BAI4*c&SuK^|D ziY0Sir>y!pw0Ff|xdBl9#eP!pMLVB~p`qzXq2R*8t5k~1` zb`@``|I{v1UiC%GqvCL)Y<|W6!#c6IblgxiJ)JD)`cl22NXiN$T3##+RSNW|c)H9p zMngAxv$SvQ<6_J{6&fnWWC*wE!utRx0bz#%xJ3Jr;wAFNtZ(!=ctU>s@K$D%Cbj;T zE<9=oKxU^3p=rkvtt3;$!kec%>Va~jDY7W{`cltH z{?{D5^J*B8Acr5V36d$$+cnsXuX4VJFSp4nb3?0^TmA78jc*ThZ2GBcOxpO}k0$!O zf*Y0|uQ<5#gvFlM9&dCI6`@ZL*y92B!wJD2pKdSb)|&Eo(MO}t9uZ?Sqj^IIn?|E* zSm*t{Nj^vKT$6sjVf)!8wi;e$O=BII0Gv8IOX+K20n6@=Q}pwp_bOe=YilVUHOWVh zrflO*FJ2{veBL@%8Q(5nak*}Ql2WoU7#CzL+T^l7wbN4-bTwFUJ0t|uFC7vU(3c|& zvVlbQ9A>E~1k%I`qWpe;X2`)1pbNQcXpyY;vj zGquusy*R5-Kk4Mz8YUZgl^3+hgZRlSGYa@lh@O=FowfVbro`jh1W7k@BplIP4=YA^ z{lm3MdpV^w(riJl^S=_K`A*-=dfD5J2vHAM6#7y5aZrv}lcIQ*0>W_n+U# z2@kjvQYbftmu6BRIWUMB%pg|_;6~_Gxl=%NL?)D5X>{0@ z6D04Uf;T*&CZ@U#n4FwlSyIT!*ivYjCD3le=3*7ER&A{B@e9k_?EflQuq`SL><$~62qFMsW2>JUeVK6nq7eR)Q{GckKT>%lHRGkFR18j zJbl`_48v-!d(9K&o6y?lRPB8Q&!)>0A@I$(ZX1`QM%vL6#5lB7mI|8Cdz?k^Zx;io z$mO-v zX9l+(-_=w%wz+2?E(z4oYh{X?7hpbJ!GnAq_@RRR0eQQ2%KD%^w>N25n*UZIJ4G0Z zq8Q4vm?^fTKl3cC9>64LX818e&eYiSM55plDbzH3#`pgE9HrgM4Cd-=Ec*AHhh((a z&L7D?K$1m4O)0YDhQ!o~Q{=2PZyUk`l1X%b$;elq27=AWHSt7O;_^2gXmj@R`&*D8 z05URO&2QQ0Ib`8iH)cBfV->8j)w=kx-IRQ0_#(S8MWzXT| z(&_1C-%tWdeH5uhY#pK@kOuWwl3WLQ=Nxp*>? zG(JC$3E75`JSm>=-o|7d1jEJ`jo}$Yg|G4TF{}@wmSU3Mg7D3S5*zD!C@Oc^YQ9_b zRe;xriCxxB&niR#M=x`uRe1Z0AE!&NR}ZhI(oq%4KbNYOR)g*Ul$^iStOe8k#8E^v z*Zz;)sK86^WCN_t??h3XKWHNxXXR5D_6Ji~RB`ckmS+(1>hB0y=^y@?%&@8#&vw(m&`Y%e*_B_mo! z{vS2`T#U~oiDOamaVQe^m@7@$7Y46y;x=})@uifTJUGzL`o_V*VT_NjmMix7Fr9$h z#qA9JdO%$9bbCF9Xua}#I(@iaYxCItQ(2};`#2_;_poPt__n5FycZ(Q$I|z70}tk( zI2aFh>!puKlJ3Pp1Dv>>+AdC5bex>UgMFTE9<(M{>1-e0SVwRDLyd(QL|O^H~JmR=c0!Ss`kTVsSy3vJqFE60+n z-EzQJx6C0okhCTHm5AzjDgkP1t`!>lr)0i;fF zZY}s)f$`Pl}$4!%$oR`2$>v4A1;&KoM##Pn?Hl=hfEZIjsCKBqS0;YkK9QV+g zBXJ2jDVkpKunPSCMeCQ+@x%z-HBRJINrm9-ni{#a{0U17RTK8*KGFkR;a z+tbJ$LD4mo8GTB(V#%7+;F71gHs4wdPPbWhxSQ(0tezm!nq3yP>m9sf-`mpN_~fNn zjxaG9n8FL1&b>^(FqtIe+7aB>7#v7@fCqo3p@ES9wZ3(^A#phga089s-mf@4%jndt zU^+U3x$UmFV@rWBn3*S~kqZDG1Sm_hqN@7as$0cOPQD~AAf+m;TZ3-5xPRRWqDW2- z6_E=)ger^f=~Do{ zAeK-XVH&Q4(RI{I&sg+2tK9+)NdiKD8ryz)99_yTEW_WT8g9a+ zu~UUn^;$PCo!BvkOzP#)o2T0-5Ha}f>WSGCn-PFCaRxR+d|0#-2{1wOUC4f5h4BG2 zx!27bo;GE2=LA#AL~J%RMc|5O0+Y{?SQ;Dm^b#hDgzGkBv6?HUHaab#|^Y3O=t*`L?>u zE8)2{cGW(cCM|-YQRnk2hG()=8I$$q6PXN1s#&u^9t4zXCNo(OSJC`>RQBX6p{j;rM#K;*l_5KVsKmTMC32 z-)=Yfn}`JJ%le8MjeZIiA&>o7qWNVgZL3Vpu|Y(qW|bhFHLBMiP-7jz6CI{ijKuG9 zzlW_H)}gD>ND9^2xWRc@?13MRu3^xZU;zJ+%Nme~`siNd;p}_=vHe#^OY_h4#*4J! zCK!o_LGESzC|42wnQ_5c#4g=%Rlcap`cN*Ej|BdLg6Q*i(`v!%yvOw`t@gXW9nIWr zr|*Pwd@rEajjb8}x$(ts6C}sytTO-@yBt?`P8Ovu$WG#g=LUy|C=(^Xkt zW_&YciZLfjBk{5IzGI$It+jzMn}6W?DNT&dJW&pAP#v(hz{>b$hsIo}U#wTXV$b!^ zOGWn{Hhg6DC!$?q^vm({={YQZY=L296DZs4>mCiN&?3MC7PVB7usYYaRh!E`g=uH zgp(~qlZOv$2T5NCg53B8Bj%kp>3tGKT_Z{=#vv3+YAS;MQj;X5M?PJj9@x9QudDM2 zkU$#)y+)FONttGPLa-vl7+9sy_fQp2FNi-ywI2Msm*INgqxi+EjttNvg%D~oP$nh; zklxtqOrRJGA7WZ=-!|?l&Iwx5Gg{r>CBio))ubed1`q$_vnk#bib3Cp#35VD{NPkL z|M~`^8zPIZX;wh!%uy-ML!MHb=s%T662=$bJ)}i%ncS5$+QR0C4QQ|-tgG0r74P(U z2?%=&uK64Q7Z<;WB@>5$e;PKPzGus~7bWNRw~C@$2Ht|9B|E5ob{wx}bv>Nix75Sy z7`Rw!WnISD&$9}MUk*F$LlLF6V)bM@cvYW*&tWVMYB|1k?uB6nXSr#k(`7r?@4h^q zKPKqt2;@;qMpsS1Ih9(c7gv{~WO($e>Ov`4X~JEJ-{bn>wwvS0Yp|x+zUN#RT3pO9 z_Pd%W6qhY3vtk>swhdGKeyXWUMvm`7m7N_Md)=0>1@@8>tl=7cul1+k6kOAO_5{uL zc1W`e>v=_sgCo`5ojV4ui}Aqhnh)j2_lJJODInkge$=-0=}Epi4D!FHG6}BMz~&-} zu6WvgME(g;^B`^g2usz?NIH0 z^4wBnQrtLgeG=c3cE*T2J%^N3_9H1>a=p~I4B@7>^=QOWX!u>|PKV>a>b>(&=#dEg z(IGLmUjv@uXQ1iFh{U(`#`%u}rh)`7P(mI|e;fyXLpF6eqDiKc2nXXlF7gl;-N~2% z1&#i>qgU;TxFY!5v$L_oELZ%4C{q7=ENosOX7h8UOzchi4hgv;>h{zqw)6#4$<{Po zU8G*E)AoHY`GyvL&sORo(QfwO#>47S$wz3mN?7SqEC@Bcq}}=yM_gSoc{$;VlmJofM(_r- zz~FbUgYC)h<>8c5uL^<*=(~@~`cW^^-nE-wtrK@?VYk5ZTQ_TeE~I&=I!K1VOCQvzr9Rf__2X7+?`{Wrw$enAqHu-r*)juE514SF8Gi zeK)k5v)gQSjB`kg?qJ`(>j*70_? zs4NI|Yo6qO=x;)k#|_bTErG&j$PJb#d5Wi8#;aLe$sDmQ4(PGyFjxHD{pLqd^U3^*=F^Q`a3h`6DCSLA&Wd-7S>K%7$YJ2ydhhB?((Xg zj$rgp<^Yy~w2=^!96hNkg9I=Fg5{|5F#!Bn1AK!+&2YruX>+Nwcp+_};q;p=dieyJ<@CXnUuAw%+)h%qJ{Q7AJur}|#? zeKogp>i^>EE!?8)0(aeahM{YKp`;OM0YMR^h7OUIPC-(-dnoCYZix?&F3F*#TRH}% zyE_l(oW1vT{q{fczH2?}dG5Ol0su`Oeg(wt&Rk4tym1l=c-uu_;KOeHj)|w;mxH~z zU4I+|xVz|8)#YMi`Uf{#0p3U}kGevI6ec27%QwT1cfFz!pUMLUTm_%^cfrHl`_$VTCv$nDnRi=o6w%MgN(>b+^`ytujx<^xqIGIBD|gGq=HM1QXhvcb zMn@q`_tjfclEP}(HIxGc7bE*eLtx#|96}9c0L|O(@Tln!(iC;$AX*Sxvqn>1{67ib zqv?aNn(;(^_M1k-SP9#sKB{YK{xJD)ZjYw-ieKb%XvW~-=$!E6;Nn>A_>W0+Ybd%Jb3c!V@w!w_)XW-uD=>H z;WcJ;R&MjZ zig(f!afZ+DG~f^^1Vg~h(fm?Ga(yn7BrN07X01sF?|$S|5Qti8BJ3C<5sdtlK6NndgBR2_q!h%evp5A zXydhFmZ#E5@Afbe)x0!v!&gY4@KI5(sowj(XaD|>$?fG{BeJ2o`q!IxuZV&>Zh)ku zq>@!9s*fUZ+x# z^mFRx_GOJ2O6+y&pQ>=GsNzKL)59nJ%9P&YO>$O|QbO58A{Ov>&++C)roPx{GmqzI zVQDor2MlA&X*5lYk0QS@EKE)!#GKih|>!IAn`kM68KEIJwSj+wv!!P*`SP@qtvXJc(0k2{Zw(a z`H{z(GZn>AKh0J8QcKBLRabYx*KB#x*MVeN3-Tcx(P@3SK^FDD!sdHK#>#6=2?DxiO z>O0bn={n{2&%AIP5%Og!yB9N$J9b`r>hVE8h*M7N~AzMxw&uf)pgo!8UVO)J{4S|G)JZjQn z)sh{fE)?m~J&yYui>oacE;ioNWW2;DG%Ba;Z{PF@7J=XoiMRzjprPBm2-a*om0ck+ zmeF0IS>dV0lH?hacEwAf2>LNSQ02bKXo7lN+bCObqf$w6ZAS0rviRCaJbk(DVS7?w zPAi&`Ufgzl+`D{p^WoOq1*R+ufuAl5!IA@T+84FrNq08w76~{C7-J$Bl_@0GwIJO3 zjUQm@B`!42cB!{#=fOlb!rCp!lOeTUX7i1oSl?@IMVsvF4B9w2b$6*Sq-qGGUP*fa zH1&C=g6FNvmn@1Rtqo%iz9HYlq*P2jeV&}hQVs_%YS<%7*h9r%TX?5k^4HgYLY!}? zBei#3RN*+eMe3G0jw9>x3MsKvTI!Rd80Vh%{k=-1rAz*cv;xk~9xwIV+-16dtE`-i zoJ}em91UM`pWQmH&8FtbMC&7)g0@u9Meq6-qCDAThcv7!t0#)z{3o2^=yA8Ibg+o@ znrXJBU|P_XQcVl(JVAQtZgTr}k5w?K)!W%VQ+r(dz8g`WB%Vi<^Ji3Ni4n^La<(Uz zm%~$orM0`NS|Hfh`nalD*Eu<_IK##5GFZ3GSg%paYJ7&ZwGQ$a&X#ZN9E~@WKre5X zD1{QQNW7jKsWWEDk0a`9a`yHX!pZY(D+yy7tY*AKQIX(T6A}Rm4XM|J<=hC<)Ybi$ ze%m|M;e};559`^;X$5wySWltdD^(^VC_AuD zHCUG2;^2`tCFp3RnzII1jr_zJO>KnZOX_@k&8K#INzHnbUQ_d>px?|*;Wc+?cmREo zsil=wfvUP=u}RYL1_i@@cAChI(j&!FKwE)4-w1i);xUfqX%$%dSvnk}G84n9hHFU=Z_JjnIs>UY)wnGpLOLv{;i9F%`c%ed-{YWhL z7ZNA9I!jq3LZ^EqZrpY)ZYI}5f0U@-RXvs&B3%v_ulYuWk2CFr+}=9TJ)D(Q<)&#H zrUv@m$ruvm{;lx!c5z^PNg=TF@IdG5V=3X|{18vROl?=&ydZjY?Il-W?9!hyKewB1 zXQ(q%#PNi3J9jk`3y}_)f_5HEI2I5G#i14#6})s+C_;=Wy@wbje{T*m3f@*H+FY_AYHJV)~6+H1T#aw)&)%@M@~w!50- zBevziq$wkT(lCY4{h)y_tz*(eLG6mAj98%^-=o16a`$LKLVn^+M`7DY0>|$b) zXd0m=JD>BefHy^^&B%ACBd3syiqfw-Gnfv~B*W1D4&AV(Z8PkJs-*SVpN+ zd4Z=Aa%NTmJ!f|wGsa7vGe3rv3YIp6pYXp+qZQPf*EqRAjw(ZdfEmCHBSd-O0{$1F z&;0FW(8!JmPMl-DLHPDQ+Q+nZF2Y=AXj{qc``vnn^u{JAa%=xJ7C< z$wgZ^eMgU2wRmNOJF;X()p?*bJ($vJv;D5m>A?&q)BwQFE6pc3I`Zzro^Wu9a9a~f zCD%poSmZ}#hlozF{xtRUNN#DU`<6>T8^O@WvX_J!n*12s zIJjY_-TX{&yG)J*Rar<7?Avfvv0Ht4?Yws5qCJX3m5F{u_C*efbRrqrU=O4q;0=jK z@;Bq>3Z0!|1_=~mGGX=QN4_O*co<4#8LVRHyHhV4lY3?-tJJ)nkvy#rZ}t{_M|G3! z$G6~YFPRVUC**xv-d(PxK%zgT>A13of1cR5IN7jD@1=_R9h^iUFITJ~@TA0@FN+#3 zb0tn?jWZw@>W=L9qC@>^p=2?01gi`u$cJ%M*G&?5Qc0_HD5z+Mf_ZZ}G5c5>2eGl^ z5){LS43Vwz39FOu9GXPm!=VhpgW?eR0T<4}*nG3~Y6^{4 zL5kY|FSb2Ps$D%Tnp-`eh@KOJ5$)WGpuE;AjOiI&4rKARBOXAvq~*Jh)b$`Rrl7+4 zCSGF&B`qh#22ay-0{ozp;#dAvya@EZkLnn#$qJsksNoS$yD^*ncOp~(LAAHlXZGmt zwS5o22_LJ65=}5KIRXO>Vr=}kPQ{o}!N$-RiN0;t;xx&th>vZ{B&N`D>0~N75qn=K z_B#bixzbIspFq68&sTtSOXBr@Gc|-cT!Epmf zxXJ8X@{10#%iKR`mX|9<_n-DM-%ikdSo1Oz#+QAzALsL3DI>hpyxo2b+sxc-#r+^Q znUH(A!Rd0xpCx{BN8jAVZCqBJTn=X375t6fa{l~w_` zdi((C(O8etCwvar5A{S~!i))IdrbjTrRUL1{h-_b-tnW==^XX5anPQ2s`T$rtme?u#WAG)^{rTc=z3 zza-9{eQF*Y_pr2n$hC!olchtYw~sMjyLVlou#66gAooyFZQs57vn!im#w%9x%G*dD zai$HtcD5Ju2)B{jq3{IX)B zydQlGsc$=4zZOlql{X;^=n3bDhJ{ z5zNwmhn<2v_kilC<9VpBVU!jr<5s%i$pexZYH5&m?c|_D&cph7WV73CRWas&l%Jjt zN``JZy-?IMZixT~0@Lq6U+b7?zG||6BzpmHc8+)&0u+SCP>YJvF!}uFhlqsFn>URy zXB3vRduGzLh!pafcMe0qHpz##z=H>3OV z=l-rt39fn(CgfUdPY0aDCJ+~V+|W*DZW-T?W?HA*U81jt&mIsuYbmPdhyGg79f`+Y zne@Q>?Cg?Lx$xHVCzd=!dN#x%l*zyHdH71W)8|ZlFuMF#jUZ#aV)ggB>E&bVI~fEn zabGlAl>2DIzU}QP8ncPk-foT8WQwTqoG34_iO>fr3lC}T{bFTgjOSt8f!o>DCotwk zy$t`s6u$N2uaHK22mfk5hyY6!F&PvXs|mbA|u`p9X zC+r|Q8)y%;Aj4CT4V6mrOah0qhR4hIhu26kqsr=k;S;$ln}R6c#DX^|VX4aN@q`#? zG>kamm_w&#P;7q*Tg53lQJ*Fq>)Lw$^z$bUoN;J=0;8FkhCp~T-Txl)Nw3XdwXJ%< zW6`>|Xy!8XfE6)_B-@K{NyKfSZ^y%$|MPEK6OAzj*DEHY87W@(E1fcQnWB~E7hcQW z4+A$hqL1UtO?9q(73#YWiHDi}&R5HR-kT>ZbWa&*$yAo#@$b6U+y3QNLvCli@%aVw zY!O!rGdwxZ>E%W0d?|i|v{O9m5?zlT<|FI$`nuddA)A-O!%i$n9~KwiqX8$S1c0pN z_PT*bdrA_6IiY|5?_BsQ;|AjYks-*K^oNf_|gboE0B6=ct!vwh?1bNUP(0N`7_ zSqx6M(?h=5TJ|^@JMO=E4e&W*d#=xd)&MjLZcqZBwTa2kuqONCiS0ij>{UNC7)NU8 zdxD39@3rsy)*!%M(1X0!nDxhxLkF~33x6`YH)aj*?&No*zPm!hs_^B87$E}kCu`tMCd-R}>q>MOHU8L(;rFe|e%LqliQJHE%+2R2xL2KmQQEM(iS z36H}-!Flz5)+8_yDQib&iZO`0OKb+ebHIQZ4cM$OxK1~`zuQJ*F%-FjuwnH)8$Dbm zKOAw8_b0%@ZpQ`KnIvzaA6~@F()zoCeZP74-*aXx!7f93K3@$D{}2ca={m7hhqm)D zVw!G+t4m6!%QxZy_d?b#NrVTYV@0pSJCF;T%c-fNXPw9WG0RBeq#i?|hdp<;#_MsG z9`)Md^V@O-G1Dcv z7;V}&Hx0=p!dGb2B9mj>%=9=sub*X%B1fNgT;V_siRKnPy%gtPqy&76V0qr`;8(ifwdrr;_mEx1Rj+8q$ zv2ZM9B6j05ybk%H%cGnE8eMK^k2u>02xX-p4UA*XdV8jP!h7zlz`- zt4Nl}(sLE{YOYWD)`{Dk`y11kDlfuwZOy6rceTd67=sxTbX{{3iZ$Y%HVXw)ik4{X zplZfFUgk}AXpR^OfDPuc()f4u)pc)fUH+BSlZV74NPr_HL5@AlWqP6I=?=eNj?ME^ z^8SEXFjLZHlL-q9zi5|Jm7}?=um4EQy4fulV{HG983D9=CyIW$zG+UhF9n8y;UWMxvFwpa&$a3BC(%F>Gu zWw@C$qE<3ZmTxXk1=y*;uow z43GK5LVZ_O9n`+mBiuZG!_huPN0R zIQV(wWMmjY^2MA%h;Z4w%U>3Jfj{VZR2ucZ98ce>QWHj47#*(Jqa!ap82s7h78@C1 z{wizt|9f}G!`LQ$Wy1ihVBsJH7_j>&?L!rzvMs+nD0ULdh6R2+K9Soe-2P=hUK7U9 zrTVS3FOC{E-wYBiXQo;|9Mk!3<_$)OKCSP1%{HP%ZYMZPkWRy@Z*JD7%BT(vvTZd8 zsMEafu72dde|RVeCMQ%lU+{JC_%fPxl05Q6WAt^i%uU@(PgP*35L;ugJLFEzbB`@7 z(@0c#!@?pSIVtJA0(bJTu|d|6O|xt!i1>ar`*L1B!Fu4dOz@q@y4g5iH|qXQtJ(l2 z>UX<$bv0{(Y0i=-31US&n~gUnR1}+t4D~tcGSnA-_pc|L8WUvAM-l|QMDQapk5GxE zWd7kmjz-y}yhFZoUB=L4VB%D6(Z_84xt&(O^=x0S+}?wzdV~9ono-iZoT)<=QUFlI z?4wM2=75-27tow0&1I@X9&AXzvt@maZiH@cS+k(u>|H&frKP0nd$h=Rs^)Nag6s9L z$1<2iiUF#IvcqA2#j>WT{y8bpK83Zsh>818p*#K8F^4ez%TCi$r_VH~`cZ&~D3%cq zx&1Y!!`J?5E$e>O?@;N2|G$uf0{dd0hv|am1d+$?j{ekZUmcz&Zzc*mB9`YCGlNis z_*SZj7B7q!uVJ1jlzx1ZFrJa|po2U_VZ+CeRH%XB$(6PzC6tSWP*s<;tEnOD>4hng zdiwYrk7S)prmkYEBp_I}Tge7q@3FW$$Tl0P8&7N)%x>xi(yVEFm$57rxj zoyuVqWsr6JlEg7UE4piwkm>v!W#jsN38Uv$^hY1CwG_<+->?;@g+@PZ)?efA)Mf1)QIQC%&J2x9h(FMbXh;6f7h2+ykU7( zU%rH;0N?5qu;0r3i2r_q-y)ZYlOT&wX@GsgkD{mEqPO$J8D%G*3;-B#js+T(yJ(8L&OL$(6^Tp z>^U7<5{uJ@uRou2Iom&KI?0JM?e!JD1Bq=4F@gfkMc4XGLwKkjtia08q!@bBzP9b2 zpgOb%s=1`04qi>RNw?Z6p-zqNhk8WcFc8(Xd8-t{5iXqQIb^QE!*Q^mDXF1nm7XjA zc1Z!>|NcArqjc+(b-uY9XJ0>+h+{5?i_?%hrsI#rHW`W)nd2&P24J_)v9C(9fsG{v z^+bp+P?jbc_0wejud@0i<)G6z@DOIaJkI^_*kPZ)Wh#!&NyXd_E{dth=^lek`5z;~ z#2)^OUKkkh0#CRe?_*T1zewmMJ^$sps?ARWIr;I+<(s+s)+3kn^~b%oJ39>h1&i17 z`%z{47sq+MAo?*Mbr2)&_{mOB*8YJ}Y*Ku_dc=I@NKR}^CF6?lbCp6t)>4KnOd7O5kxm#eqV(N*q;3>tM{pIKJJM6-7mRk5$#LYk-V6<6T-kY6Inue?t5%rh7L!F#K4QeUpp#vBy@ET}9 zO*HV{()AoQAKaWile{pRCBV~lZJL)V>A#Bi4vpsRAknLY_%Nnw;2AVh9zkkEstj@m zX*6L_GrmLE=Z<5w>owc|QH9Fd+2)Qr3M`e5ym|J_Xz=vEsinxC623(CMU(~ivaZ+7 z>;wE2pfk9Fw!-?i-FUapzvo|X?}(w{>>|qX0fI=&PHS*;I_K8#qBF1^9r|RB>%z*! zq?*&oij(twGuL?qJ-Bv4F0Ia%(>5!M%493v;xP21G5yK(+uf*rGShNy#@C#%t|bU1 zk+B2Hr77@!i~mOn$X8zln|J%@S@3F)nL|hqaf2&KA3$TG% z#y4@LHWh6SWS65!HVL1oj-^%33*2ogaeOKQr2kvta9c<&uOK%FJhajW5N^{OG!X=q ztE9~V{x4r?4WO5XVj969>X+!RV%!PcOfDVjyB#(M8MEVq1uC1_`9YLB^^$;O%ft)b z@NdrfuR2*#5$59feIw>T?i*;Zklx2`V+;{`i({RjU|ca0NyR-SRO6%-;YIWe8JVZ< z{@EP&>+kuqT82WGa&|VBJZ}s%<{wB+T;!z>C**3uJ?TV0JJ5p*KGaq_+y4 zhy;rCl}JZGaN7vZ!^9G1Md!99kh%2~b9&KkcidFfmtO@bO;Vj%HUbxUG3CfMhgJjr zeGSjmm9jbAz_9-LaPEU8)O#1*nSsFdsF#~1pJ8lN=#9xX$zdIE>n^OlGIu3;Hc9wq z3;FoB&+t)tCDAH7CFEVj!`YIF%zp-+H)n1I;w&I%zKw z2-j1Y6=M0A|Jj2tJ29=6Jbo6Py!W86Y#oE+$Mb~42K;uF+kr* zf9PM+lhU~(Q0}$;3Ko+&cli&~XR`}s1Ro)?(A454?ygeIU7TB-V5|@N}wiEbVNPJ&rYVY`wU^Ua`{TR@q_UM`2+4Ije z{x)Im;6F;M?r)2gSO~C~^?lB=sDt-Kv!TG*K|zV-D4n3ag{RQfgV$Tb+usXLHLHp8 z)s1s62xvtcMMrm%E4VJakdoIt?N!pndhAOrHLv@0<#gS%=EbN51)2H>yeUb6~+aOvymv&?n(K>bk{VtO!S!qD&vP6eS>O3@Qj6 zheOkf{$@y8G6!OftXoq3=2NAXdGiuj7|o{jdpJ95oACm^l@-XT}M|5ibj2e8hoZRl4`7kQo|1&8};(vR4f8Z*3f7vlI+$hY%C3bOyx>QptT$MpqQhh=T5LWVWDEV%K}}-z3JoR z8g9r%Aio@#!iJ%^F{diK4o!NGJhC&+3;=ytLGMpIbq>&_Q2bJ|c z5th|T6cjbexl8#!^xV{H@UmpT_mRno((L6({Er`yiY@r{TALk3Cujj?IG=-FhxEpzmwWw*3Dz%-6YkqvM^Wnwt(1^@?b{wvZ zi04*rZ_VQes?>%Vbq{RTnPoY541Vx8{eJSizUPndr{|%+Jf#L8a}=TAf$CqEzbDmC z=~^Al92|~j?7UCTlVd(=D704>su)L<6!n`UR5kSjlC>@)^_V-1=o%AcSD z9xGQ%Pd&kMpR4wv^Yr^6GI?B2x}UQa3i`_yylFy+C(wi}B@4<^h9Z#BU!01IMS!TJ zDlLna@bxvC+EM2p84$pLR!5p#nCWgDJ=k-u&4#}wd8h2f3TBjVr@)iMv8Kt<1fj#o z6l)}oz1s%?-@KQ}9S)4?_Sg_-B#RRfD%>)6X}KRRruxp8!VCdheLEUU7I1^ygCoey_Pg4EnAS54N?k#zE}sne5Zz!^h+uGbOJIi7S_k?dy*Sl&pt1QvO&h>;D0iW)Jg)nc{OEEK#PwCDQT%yQ`wDKN@2pPOY@9g^Q1t z;^sCv=x;7=Mkrpd*`$5PX;I5u+(8Uffq9n1K;h2$T97)qQ^x-?Du)c{lBcy7 z@eDgzGeO1z+5=JwGvYLU;4~GtIMuiLWy{7{%9iF!f!01?w1CagV8TQ5jt~(>Ql9Rj zbgyT?n8{|ifn9T5L&IcgVz%Gj?4#8|Hqah1D(Z8yUHG`8moy=_ZQIqO-OBFuXQEsRv z$MdAHfuezYd85Q!ahYHXR`6=R5o-|dXqH8{_y0TygA~O70+G=FSl`(673BQLHjgFe z7IFINW4CT@&V3)aIU?lr^^kD6_2|I5&3ol2>v8SUa2w70ampYSpwV3-OK18Scb zxQX#S>fpO2q<-va7!QAwse5v$rzcozPFrh+a1(8;X=yN+-@>%OcVN*k`D7*+5t=h4 z`6Y)d2S1lW0K^5-==OlY1DA5Q@Jq3GH0^4Wr*As3(P_u~6iLX0UJvoGnSQ28_ya?E z9-=g}mu?fkV*>Q(SY1`fKO{gU_Fo)9(n*k!AV>LP%h^>&aYcsb%1HZYgh|5k&vxjT7{1ha%Ej$k9*)&yVra99 zAL$I{4@sr+L2LJfzkI&5DC)UW#y**kx#>A`@&*PjCIqt=uQr?t5kkaZ3QYS`+mb({ z?2|ZX@tuY7e0VShe=IL3xy2V)u!zf2UBIO@yIv#mVx)OW z0DJxG9wt~N8V4zZ!oQo}=kk_)ME`qboP|kr$RRDc7Z~Sg;o3x3_7WnPD%MQ+qB&?I zI=7Rr2J5@Zw3&7sDI-CS{+cR+WwK!QT4-1ME%Zd2%~I7qGEjn~WW#cg(I6}q!B1siqBeItmxIQt?%~a z9j=lRGXyv~D=sD+CH1@L5>)cF+$%OA8NV<({@h%b@sTc)cX02Mwa;~NUOz6&pthUG zKU?TL|D}b;6LRUH3DJ9|eWazt2R<>8LVU~EjqKM2NT}kpVYyyhOn!{MIJp#^I5@(qA(s z6rO!0@R*6v!V$XVi3F4A9ENxD@&?d9BS`}5J2x+Y-{bXg>otABlNNif$gFh}DhZ3# zNWwMJ3`bjI9IbX#k0$=JU7^FG^Fl>WW!`x~cY4#IG$=w01d`ms!;K)rQEo5TuVad= zRppexAt!?E@n;MWSl(VkVIHBFCtcZ#a^o{seGjoA?)ghSvXo6U*l-5VUWrXp2IA>7 zlJLp02y!~nO25&?uk||=ANg<;>4c3U!_(^uTW`ONQdrc?kJkV4jAQ%lFYMxONU^op zgm!M~R8olbQdbP0@U?}Nc}ecw#?Y;j=;kf9nIPBR?V?B@S`8mg;YzNrAq|Se`*^Nj zE8+#=Y`w6-5)P`FBR!pen5^*geH1sX<>XY`{ot;#`ojc=N#aTShMa8HS(5Dios{?C z-DfQ>IOr8N;sxdt=!yphD966LoS!>)<1W-IWy7P{+!L!g4yfs0@yBIiin z^4>>H@r0;44Fy!CGSYByE#AzPlw|sn!;``99d_1^)i4#1wXIE+OFG#Ubk=rt{J(CX z&hhdeCDxE)q#MeyWcaNXcIP;nvEe*Yc5@E#2?L45Kaz7z0`k)@*{5{c_^xW&ZnQ_H z6MA6MQp+1s3-SEQto`v!6Jja4Aw}v?GEP*Ds?}N6|1A3QQ;ax4$l3vSQsSzJS^OJq zu7{xyg-yXem&ta%mx<)r0=1Xl>pH9tk!_okdvuTEZjis2cG=DL=i$pcdvj{nCm)o+ z*aEdr&<4Iyzte7!NjwBy`PbIV<0-V;4MmzoTwwF?rO(-T)ON!^evH7cH7bLHKg5}g zFzIukp&(&6s6A4?@A-RJ6cmK`6Z&eNT95cEj(?0fm?4Q@{$9Zsq|zv1emmg!-zunjmT7l48i%MEJMQUf3LJl<42&YN#;I7wuwO4!6+&YX0=4+z zMvNPZhOpnLQ6%e$=2e3{%aGY@z?cM4T+}cCXiXs)Ix!OZm?EB)f^+7COWv}j?U979 z9Ruj$vf;8SIq2QG07OM06jFp|nJKtg7Sqka1SBz}A^_-9q7C`=9rNXYg-y6v39)nA z&6xw!)zRmAg^R7@4P5iw1O5sZJ6weXdmep)k||=KSFCth+xJd#|I$z-2U^jra@E9#YpUe|>tNN*1Sl!e+qB8!6)p}C2}2ia{+M=TXXG})pp zP1vGdPA*0>2EJ7wxIdS*@YD!@6nj+it}+1tX}6#U2!M;JzDvxvzdZL3zTu=vz99NF zDPx*PY#kAhDa|O=M?b=7Y}5xfwImPE)#3WhEQaVLIANU0KPVkonjX&cD$KRuH1)oW z@>;Lj_q9q&QqX&)ZRKN?;S#PKNA@Z}kqTGOoq&`L)TNk$#G#bsT%*?!B(s+VZz0VA zI!&}*u3f^&UV+F=^34z-e4Kc6bcLS0ORUHud}+x7ChnHQ^ZvkypMO2qCV1E{GxI(} z2iiZ!#`9CezYi0ko0U0s@Vqvti*)w11MI)(VNFXA%#^ z%5nXaaYwnF=Y8XM1$}w;9;F5AZDs!r6&SH**RGOv=I(zBPnVDQn{R8CsQ`fuMN0kKK0lw+s@g0 z8~IGF=`P?Zx9^=PY{<^ethgVVIK_y%ZeiNB7#iRkdK_ODM13T3{>!c3+G=0&i9=~y zRZ>TL+4Yy-&Dh@AkY2hAHqHq;Ruoqsms;8o4m`5f86vQR-F2DYtLh%1b{=ozjC}BXLhpb6Y zxS-2Gzca#CIpaIKhv69CLu+mk2aCht_35_zhtZ9v$4SG-j+yC+J;R5ssVI#$SaO!f zy7NRSZ3^lwH9oB<4=$!_uJ3~O!$Wg+5OSYe^ilx~03SFq$Ewy+r9q^onV`ZKMO1xw z-~J|IVTs|z;+O_##KM9?3ycF!a<&AYRq_+7W5tUNypO~Ki9$()Sj)_%3plvUm43c^ z=W{-foV3+Fn(E0Q_uL)Pw>!0JB<}6sIr*<<_sOT|csEv1Qg(SWvwPl9b@fXSq5UF4AJfd#VN)IXRj;NWYayR-J)%iK%0=UR_>%A!wR~@VVPU*b zBx*_L*dIh{Z$~Bkp2k9L1oBB8jr0<8p(1-B&C|G==qE?X65)vckIHy;_Ri0LVBidz zbav(0CdN_ZY1UYX2Bz4TUrqC?&4DO&)dQ`_wDX&*6!U&PMQM&#=cTG2e*!#Pgeyhr z?1_2@Ff_Io-Sn)Cg4$Yysrg5z-~DNE`sd0VTOQYqt(pCe%LoKct4CGEQE5n&^;sC6$ zgi1V*x7{-D1OQ-;rt6zLp*IidbJ3#>A!^TBr@viTNB>sC_SW1yjsqY#AX!OxsPc0# z7#)TnKB8|Gactp}5ipX<7rT^jmr%sp|XZluSN z-wS=Xy+Cl~lozW0p)Pn+RxbZW<_oNk|H$-=__frwOp2b*I_D^KJQ=AY*?m^`2U!S) zGXyH%0>)gf)l>ceTvoryabb5D&IV^b;S4Z>#wJYUAW06XttOeFkkJvr!YDFHmC5ak z`lifctMlFEyBpKG+Uc6z^s>UNOg`W}9!+EOp#Gn^0q% zZTNKZ{)@L5y0LwW{Gvrkjpl%t`?0&Ig~Z561p%|rkB(&{ zv01B0eF?&W9-{yfc~JWbc32%_KD?W-nz}<$&V{GXQ@CPSs}bh8%yGhrRn=#M^qeI( zS;%}z8tU@yMh{(@(nxIdJ^LFF+C^$#Z0idUH5mb(8^eH|x7@Y24j$ayos4k5gE1zd z3+I4&CBprJF%nBhEe;pUvY`GKfB3<)cTEOClD|I1+a%58g(crS?fnZcI>TmO6}e7& zCN%?XeZ_$vjCC#5=Mjdj-wVs6oxJnZpv6q=1-@70DC2y$wTwruxtYys~sOaNT;~E}!L!>Md zQ&-|Bwo5^N??BB{=Vtb6@mWRN0u;@3qh%sqQOb7bRcD211km4I5TTUmk?;0+3(FEFNl<1RV-mAg)m<11R8yhDK^ z+9+Ab=`5E@HA!VO+#pCSKw!kv$C&Nye;*c2rGnq0imS{z_d;PmB=ZaF>L z>;Zi%^wKp4YKw$+K~*d;WWTZM$ji|4xQ4_1q{ELcnldX0Q#@xNZ@DR^r_h!zg%-^o z_>|%~6hP6A?5BwA7%y)-|3jsMTd7W+!SBV)vQrn%>sc3-Y+L6g#lM7A6ID0k>v6l~ zpBh}&V(_vcT27TH`nf=*-Oc2`cW_y!k?O_9mgQZ8R{kRt%eiAo{c*?d!Ef>$*85?_ z-!6j*KEi(Lh5K3yg1mR5>QOVbO;g-2@Et}L#Bn)ZXt=XfTT?7?5yly|`KCw)(L`Gp z)j`D=iOkIMfw*shYtaHv2>AD_w9F&QKU_!1gFpW9mK6kz*_VHTiwSc&(X&SsBL?RG@hA$&4^?@#ybfp%l%Bo)@61pa>t8pI=1lusOs_E70)W;N5D&@} zdM*Z*hs0)c77O{)#Vp$9?0W)0Jkk>SuMn{gNc zH2ZGlYOen2PGVJ}{s*%+FBRzmgb3}vFaZIa6D?^CtXkUo4eGV~D=QjKX3_#i03dzD zuViP~cr=+z_%Z5t$&wOGzB`A|pI0Mhm1yP^Jn^g0U)hDhhj`6#?P+ttg*_K^_~>pxV#$)``q9eQt4nfB*Al%n6?U=_C{Y3t4}kL8MPiB-3>(G1 z+l-1Pg{%likdD;x`u`VIcdk71)Dfvr!>&7DQgmzPMVay-ZEc0jI&&r!J;~$oyrk@l zhL(<*MQ4X%c*L{gH-$aPeEFs@~nC(+P zq7fXco9=h$wM_X0k4LW!xZ1U_9*$Ao4aRyJQAXbElc5^}+%6`zQ79GR4iVQ}PZ{?JN(RB*A+o zoQ=XB;r8@HpUUc~g&Ok<+`{M#k?K*>yYDk2^Fq-IleQIv^SXeS?*nSC1xbqepDNW; z?V;Gt?|l0S%l4o-Y`;>{ds%k&-VXUdM(#SgxOl20dYwsb*x+{9Gpp6*@i<@bp>_Es z+kJ3h_RWBrOmcmAIxdwz&TMoO?f)=^9EM`PhlwS0ZWLw-JbQ8Mcl%b9hH%jD?4-ud z+wnR?^kHstZ?E3>@RDm$&;4|mu=TXlBxIBD;R+eSamoL9-R5f|sQd95J&^OM-4{6e zUZaT;^lE(Np`7dP`eZKy8S&*tbtdu^tYCeu&vvV2E9ce|cHd*A5bu+i#Rvty?SFp< zr)o!22S~u^fOa8iZia}H;gdgmmoNJ)n}H8oGsSQ+f}LTV<^0SLl1k!8t8o zBu+M-rc9!HOiS%lfEcLj8vm#9Wqba4fk7Y$kt1@qd(VCb}BJ>@x3>m(^a^J6293uMX&zQ8F^W zzS?(Oid||F+b z`-VxMhK}|Bq3SE6q6quGXP1;N=~xz|OF-roBg=zLv9%W)H{2fu z*Ir>?bXX-AB{*|HusPjbQ3jPEgG7})<6PofYTrI&6}V zU&TVT+Q3;1JUk>n;JGS}+<-^5uBZE_hR&?k^7n177HqfCY1R6x^~MerjB%DH1JWD| zMkK^mCMhPzGEG!z?8%dbmcOR?n27~bHQBP=i2JY9HX<-loTLxhXR%b#;I;Mg@Mc)= zbs)U3J;^vhHAqrEz~lTcRyG5 zyVD_!o;LMg!Gl3^3W;nLXhdozp9#TI*q@@?*>DOfGS4EAkfVS zBhe4Hw~KorQz@+@l!3o61&5D=hTG_;f3`8|LZedtD7fZr2DCt~JZE0NL`RP*N@miR zs&0_^xqhBlG^r2QF~u+34E`xTu`g&qmrKb3e(jcIQ4LFU^)D1 zxx$)OFh9R=KX88lr+-JIi-V81V_CrP`n8DLzSV*qr|hrOPTSV>1%xJ^VZ>(-bP#ui z>smW;-vA3b$fP}G@o!QVwqNZ2vh~-EuikV^1x_ms(Yv~Kas?!b5=M<9R)O89CSXZE z0fAk%_j9~p7*I87$=yUono6o8$I1}V1O3erBPDG#n9qa<)&S)du-KGWs^ca&Osc4a z2q0$9UMCnHWWLuRQDw?!t#CoI8kTn(ZUc>!b&W@!2ZwKkW(yx z1r69B42}405xb^u)GGv7G{ND?&Z#eMIe@V}mmIGfw5u8H54KXk$x>V4Zlc@%#kF&q zmQs%Jq;`(~uI`G12^8rco>k?p*h! zL&D0k|G6-C-T(QON5=V)HDx5b%jf>0#a6dzrPg-0Bv<5q=@R3#^DwUKCa#IvZY%fS z)Q3~QMM?al1*Eydd`4IQRNoBLz0~Q?4OYiE03#_R_Hwcb{mmval19ow@2|gN@IW^1 zrs>gwtOu%d@^v>Nt2Z<2-_MeB|7=ZizisnPe_A&U^lu+PrdRVIN{5 zTNrxUphNzUu-ti2mK}MKpgT@Vza+uu;_Z8GYn=kmuGdaCNgi~vwEIc#1Qe!e% z02}a$Km{qH^l(#w6x76%fG|C{d-kM%qJ?mcLJKO5iJz+hHFZ-SJH3i;$T1bP=g`z- zGC{gqYbb@r#8Jj0SSC{|P36BB)EC}cH(*JgFeysu;T2}pVh*kd7pk|YYsz`5Y9A&x zL}=Xy$@pGhyoejFN?&w3^WxY*C}D_{>{P7^yv|g7<9~g>6#F7`C?)1RTgn(7kN#=A ze*srb7_6!gnC#Z(dat6_b$Wums|4?JY)LBSkJz}DakjN(#bH>~>%W+?_<*WPHV!fC zS6DE_h(Z2u?niV~6bISNWV^FT?AcxjrWwzhyp$!0yCwLUU4!g$4|RW7jXVj|oBIe9 zNm7?^n?oY4sy^q!D|4&?eK!4`yAn5@kX7PycgPIsj2B1+eM2pVM3&VNYTlJ zu9V;?i7Y^rk`gFCeE)q@MwdFu!=6x;HFjw2d-SXVt8@=^116UUSOUQTLpV551gIet zgs2^cOaUSEfo7O<;;dPJdXkyz5huRhO)~O?DH-g?7pK0B54QGvi+z83dgKqSLyX1B z?X4YMg(_J~?dRKkwO-wB;L5(iG7hHz-v0SrSarrt*YTS`H3epUE4Ny5v3OL%~>^!>G+WLnb32mgOg20vl0=dw;7; zBSQ1$y2Uu&_i*N3?rzMn(~CZxmMz`}JKjK#vP}BacQ@OdELqv_MD9dn_1~Xti=a_a z*Ol$woB2egChxm}>u}okzqa_Nej9i7?9l=%$or!&)OVN6yYacAm-?&TtG1iOT@T|Q z%twd1wr$(8jyo>~Ourl26qyC>_1r~coGe|>V7MFT+xZ?9Vyrqm&B&gv+((wwDVlR1 z&vjaV^4S|ocUC=G{advtTlU@mDSHs>;2K59OqV?PE3A$*uxrdvkO7q` z4X?NO3SV>bEDx;OGrgyJyVp(ww2~B1>&SW4;3Z~T58Mb+og+QGQ&9=oBr}AY1NG- z(2ky4N?mNAPVM{w2VI!{TsV7iM809|!QENBi}jHPJygn-1~BZu0|4>wM;_1eP=VPU zc_Y)a1h_jRx(8FZHfHm)xzl{j0w&ul*j0{kK zF|(4^fh0qraT+P>2}Z~e=b{EbRY5e(J}{kA?i}CizDySZBF88#GG%g=20+C{+u+u zbwVVI-fexi_w8e_Z?&}^cqO8#J5twP{JqxI>3AV)sgVj4w=C$mx6SV+i!v38=X8;# z^*ChGxS*6P=%Mu}R&qI6qRUTf%%x?R9q)-8UkY6-OXMMvhhI!WnU?z^T$Y zWhaq3Vw%JIoBhYM7-D>Ap7C7#+xRZ*n>q~BGL@*{ndCaHZeX1e3s-<9fQADm1m|DB zF~SkW#$qWZ2$AMbdO#%sIdZopsA{u9)I4))n?%ga4`^R)e2XRxqFrBv zwJ(Zl%`vL{$VPsF@#WjV*B=g$-JnYHlvIhA@Mq3qWtPb==7WaC8k%aFo|Tj?M2Jc; z0mLj9?IUHcRB2U1K>>2*HogdL{eUm_6~(vj#RoV0-aMG(nEWW*45%p7rVs2Rfbda< z$`i7lDY0Nx<%(o4ZY*plSo&&G`uq-6N=k^UeNtigW6MQ2 za_j!Ju<`eH#rMhgmb4K#a+S6Xuf(Z$_5`HdVfmC$N5NWb8K2LLCoq1#3IGfg} zJap3HYt!OgXRzY5?PTuPISwBWHlDn`@EBM6sR8O1AGLkfPc9W2kw>mUp5S?GI&ru~ z1URg8rV4hN8cMI^4e<-jW!f5W81O$P6_K?uDb>2CUx)GV)w*(U%#g}qsiHcW0Z{~e zL>6i}d^Nz@<@8YK3Ts`>5 zMg6cF=Rr_V#8*WR|j0)7Z#Gkc|Q}wtUv1Z)TirJ(Dh@asGZz z#SkdVr>sm_aXckObtvs{^W9FwxKU?6A9(ETPc$t0`d&SomUB2}h5(}8dy`)KfOphx zZ13qr!llC_{qE(+2u(!Z_QfN3dFx9?xgF$+))HlDbF#@BL-kKd1vHn^2iYwraKTcX zMRM%h0&UZsb;cCx*pjFh{g8c&#jc+*b%s6oH%)8M1+^*#;*y1h~7-e zSGQ9;m{18g`+0~``}K@CxfR+G4ZTN#=&0yrEMi)wT;w&cZgmLO+SXk&Lj9_-a{0g` zu={5Q2ePb-AX?IN1E@Wt1-=?1TyIBKxYBj){nyuO7jKr$KwQ->6}_4wzE2OdtNbEr zKm)e-S*T>JW}89Wvl?}Zy*kch3aZNZID7>0ASB23$*A)GuH<{kAANoPt{IGPP}lzw z{I(_SbuqO)M)h92B)Q#T=MlL>FS*as;M->3KwozMo9}<#Gks@Qp6r4g^?m=&lf_N* z(S8(Flx#aBW{|Gk_)M8aA=Kb={p$mnt6t<+v+yMqo~DN8Hy`D(B)% zUFv&mIy3;rfa2G%`0RO^TFJ}Y3U1rgR}Jo~$1Kkc2|KPX&KYK__2_73NZSkHT%if< zK5<0Es5)(8d@rx|{vA6u5fGvydpu-Jq{Oc{LXaNI?SOxw*i-96~qhwP^7(rs%0rqmx*J7lVgZgm70{ zddLlBq~;TLBC%qao_l@g`Kj6T@|7^3pt?0<|G)vf7_J$`XSv_&B2kS30;z6kczY0| zW-bw|z+)pLz|Ue;1N-Z2TwPs#e0(fS{#>Q==J+Durt~oX=r08J_aj{k5if$oUNRQ) zKmug7?cEfO#JIE;D|-W3=IcZ{&Fw|o3f=ZvL_Z=|0&K+$%F-5L|91Y?55{8qHzR%| z&oNmcxKcsTfhcl!>y{UpBW7a#q#3hQ->YBw!9IaNfe8(O2Qx60>=5+|2BESZ34l?C zlC()k5n5EvdG)QZg8@Ty7zX4UI5dU@6;&(^N(#Jyg7HEKKf|~p0^(Y(^RI}HaN1d-DFSniK+ z8yluZd^`@LI~D^{!HN%qiuCPj_qa-v8YYI;YMUzAUjs24r5EP_&+wT`>fsqPJ5qqm7dUEOdGZYLTh(u5CTHO_KA z62pdGU*&spe@_k#6bnXUz@(2tcf!O=fPqoXh9r7yc|Ua2muPCP{u>D|IlKl(-TE!k zF!s(_^aAaFIo-7ew)5f5-VYv#tKV}fy|vVHy!Ead*~tv9+^^KI!v~50HdK4xt()R( z;!ruWWsJ^4n$k=C9hL77T@S|$*BKb!Qnyh2H}l~|G~H9$ch$bT9rc@uK>K>WuhZ@q zL??lF-@pB3-X9&o2>;cYVyZ#OmpDHWUDv^<&mBv5#YC9hZDbJ{vO z1+bbPxc9pK-H%pi3>>*lfPo6^7(17v^&jS&YLq=NYH%W!yhw#|vZ$up&?m=*z1NQGw-D|8ql(LnDoF4K}n|-FI-N zsF!-kCO+Sae1wv^xM(2z&6Yl!RV!{>xw&WU7MW{|b8 zcjLuq;6TwAJa@?vSfIaaX9U3Gztg9G2R+xfT~F2V%65(`vW}KMPBTFJew@BmRdpE0 zFk@ToLFY#wqPHC?JSf61{k=Po5s|Ub)ztNeq0T^W0Rd&LaJ}{#~u>xctAC z@obORt2C;CEQeB`SJF@LyjzYYBJw4xO^*TlvYnea4@X)Xs)5C^g4e&Jf(RaO(maF8=?@_e0A@rS2nG(N z2YKNiMP)DA*DFmbKhM4UM7An1w}+7pcGZxuxqp3__yp1 z%EG_|SpoDmUoxseOUR_$TYP(ck8J_vEmQOWsEV0Wv&C!dhTi@{Yvk5R$(k12T?C#f ztkE`7%t+)ziS!u7RFHk$%~QXkLMHT5hTi+#j6`LO1kw0{yO=4-KJ6;9VM>Ls;DS`l zt0<_qy0W^uA&K#QhXf(W{29e(KaE`$u^j6k!#N$N^LqI{CiXKTXA5NJIT>4uycE^F)&{2@iBHu=wv; z`Tu#B*Am)A#DAIh#u%XoCu;tb!cqkhK=KkWZlH74I>zT~2eOLMZTT=emKuH@ zAAu(bF|RB)qJzpWLlKKN=T-jfvszC`1S$sy1TPR3l?Rm`6>wo`HHU=njXz+QD4niI zn^La46yfEi0yR|F<4^Y)f1nRA%ZQJiIVhfchNEuHa1FO2E-oC03&Jyj0EDLZ;?-~8 zTWUkV=ozLtQy3Zq?_2WghJsfbHUJ`TMufTPU5b}(j?UPxRsPrD1oKjgZ<)2$ddF zzKe9)oa>_tH49B&tuxo~g;FZn3}LgN&$L~|_)$#E%<5yRfXo8*8}IgH7j^AXY&xw1 z9vmRA)augqUw!=39mdnm>cXp6HSTVIejw}m*zH^WEezKN=6R!M?M1ICa<}$#d__(d zyG~QEk*BWnxc=kZH$E5l#nCUn4?HfVjwhBV>vehgiUYStE9afzO*eG2{~Hwf4>EE$ z-8UPNQ-SH_D-pnv`ckzO2Du4H!jdP^davy?<9{+a`f;Vv>y=O{<38^XQ9dT zaOL`i>v2*XQ}dDC;PnFJCrl%uMpG@TsmCj z5`qzS6l<&rt}wI1)GAIUTWR5(<(Gw@YJGkX{9>C)DB%DI+%7MAoJ49iQKtJXGEXZc z<%JeGnK4q;9T$aDw=R`iU8h2r`!(-SXSa_ zQkX0dDXv#I(761`J?g_ObH2o{?R(IKh#HCA4mBCpUK}K-P#b^=4cf)!rbAs~?EI!> zBFtLE{GA?$!S~;WDa>Ql-DhzDetEU8=%~JrV71BlDxfI8P*VXy1tfrx6n;u^pyxo_ z^qYwfW%%7~*8?qsZ2eCEww>!gcp>RN43`}lHhF=x6LuZHU$wkDJ6FsW#6#|PpNM-W zaMP&+@h@OtPRM~7{faK!{;&6;vGkT7#haB5bMN|TkBd_C)w8o;5%aGf-*_GzOb^=oY$c9+?WatZ#L8aP*uuN%5j;J8A`f~Opo2*)2)cNE- z2jw9=|9)Vfx0?FR#UGKCPE(gHY~?N6N z9*;RnO_$@!etx$(izjn!)~+3nM|1tQi)QYpb8ct7Y4~rD9rj4X{^n%i-#oXdF`w_O zd*`CiL(lr;w3V&bVb!$Et^2<-29d^i|J}_~xSdI~GcK2dk9P;H6@F=uhhPG<--hoS zg47UpiiyV4{5r$&QeQIu?@J=UOB@^EP|&6)3Py7PvDiC{hbufo@$T2BmEH-&Xv%%- z+Opi}*fDX`_&k9SOi%jzvt~Ls2wtnBc{Kl)S2OdC_n(^QK0h+)zAV4G4Bd@3r9ypv zHvd|Is@zkLW~t7UZLrQ0vytg=Sn<+Qh`dR08j+eYe;zO!CLgXE*`fb2XEX^fNJCgc zH2^)qFu2{jV{to8%R4F$Ah^-?BSa?P0H{1Bsd@>A=G7^wWy3$rwHC=G*gvUTT10(D z5GR`}MoV%V{H`7;9(Kk3)}|)vUqFHOJw1BHS>U4<&g6{h0U<<6S3vw5Nxt^E@Owe| z)}bOy)MzbzOo8lMLNvk@CVZ0=QfbUj>a+>fX=RB_fXA(|z2DXPJF4uR`Sf~b(ce|2 z`~H6l{o86-Aq8=A<-2$^tt(bTQ|O(WA}i+}0u+?wQvi;`)m)aG*bGQAwW6|un?tKn z6I_N9cl1swH}muniAMTA^>jTo$tV3C;C?ivQ~KA(?SK9;&UgL6|9IA~l8kKee{rS% zk1&P*sm?qtC!~0u>|uyXDvi_KNFdHQmTdeXR`b?anVKyPDS9qGuMMOz-t@oUXZ9&K zicmo_QjbFVbglhdUn}Y*nL>M3Fct`EB=F-DRa*>mpVNK@pUI7|h`X)i7u0DE)MJ=H z#8GY42`JyIa?*07kVI>Io8Ry8f^K|TCd{(b5N6fw+rEHR^8c2=1gS*;8KNj2s!-^W z3W)6!(*%N;nL9a>Z5w3Xfk=lU^2>-PiUQ_?xouM0uDSzhij(}dQ!W?HWKWunXOUr) z6cMrn8YF0rwwAW0j1Jx>6rkpIuk9(aoK7d#T1iGn$f;ji#(b^$ZPnse<`Vy1$?#d5 z=YC4#eU5?6*`QjVxUQ<;H#|IidQ~YG9M8?n&|$V4-}ArUg>JV{VQ+4J09#F}{(F6lEf#-caQFqVkXln` z)u^7vh516LDVMBgFT?3*S$fwW=O;ThE5U5AG+`Wk+j={;*4M%%WcpVz%}n@Tc-3G^O}j`H!b{7C4|BYRP5C|9{!EJ7 zhlRdilXr(Np5u9zx-GI@2h!5bkkg}wxqL|tL;nPjXMDq(`B6jir#l*!NL4IzfMVPc z>MESg+c&!PZY@8CWx;ey1@;9LBB!k_rBVv0m>aszCK|wX{I6o|mm7U40d%Ad@<)ia zY%gs#0SYEhKFc-=DnU#;cZd#geuO)zxPs%BO>0dvhYHC?N*oCVy7(C;reT5F3q*gd zb6S*}yWh#Lg9$5N3kxyJtS%3EtS(b08`t8FhGws;J^4l+fu^g0!9E1n>2k+m%kD?` zN8Pu68#a}iZ@nI`YB$Yky&tm=t;@slXpm>+w#H*&?{zg}g!5L)q_MGXJe`RD*4{X@ z@8UBC9u>FQeao#oXI1jy+F_=5sJI7whyzAA}w&LKZKsWL@AMh%fl;>qj z3!dDy(`&2kHpmw9zxy5Me9S~%*j<0vD+|K`qN8KgS~RQT1+62orAR{q6nK}1+#MoY)?ewi>NVg^IW^6-6xfltL}oh)lLqtjIl@d<_bf@$qh!@95T%2w9TgU&Z- zgn^lFpGl?AA%vqF>4>ZQ?JcvSonesm5B70}glDt$4vw#h&x&5U9}GwccX_z&9!as{ zY^bpqme;z?(2DqaIoas-iHF7#y1)X2t#{0o46vF;Q#Twq_~fmRtwg-H|MXsS;l(In zi$De`Myg$c2PmCqKfG!5-I0Ad!S}xzeS-s>dh{*I%JwBOiUUWz2VfriFu$9yDFcQ| zOnbq5V*>J<$8i5ES-ShNa4!AUt0CUeE4s!1J}$)Q{zm)0qG$snnZaB__HHM-zKPpl z=}~IqMOr;_>^*H*#u7gsHtLgvIXgR(ac9Gxr?9iBzqyvKR3{$CjFJK~Y^$kop;<2U zV^7;`bCCDGKw2e_&K%yeF26_C*^BTaf2ej3(%m^Wj@DQ^JL27F!EZRzB@IzAiTU5p zuTK^_vyFUB-p#oPi8|ZOw-P`Yah{j{E&==sX$f%NvA~WQabwG5UiTeMkdVJ-xuVQB zPvOKVKnx_K${#P)4tib>44U`#eRV%s`a8g0a_n&Sb$<~AnUYSd)JOB zL9%DhrX<2Pl(XCI=`oqRYgeQ%jOkseUV1v`Xs+ew0wUo`ZTgq@RosA~T(h8`#rn{G zlA3+gfhlwOE;kK3KAAmv3knY=m@P#ztz?WBg5p$qo6fU+#EV-5`NT+IZ!0XSok7h- zHl#@)Erc|(?aT-`Hx9!72s@TJE{mi?I;JAEn?&NtgBQ99km@b&hd^6g{^TG zc%0tN93+TEUd%j`Ct)?jL@0eI|I7l$Uov(BPzk*wvv_qI^*cOn-2IQ;cd86(8UYZL zh+tQ*By-C8HMDogfBdd>KN@#vB|I>LoW@}Pt2|YLqsiRQeEp9)MD&L+YZ8JptdPX4 zO&^a(fy&q_J>9#r>Z!hPc8bf5y60S8jixbp-nA%H{{(}oJLEdA1f(Q@-3}fq-|eH9$sGT z-@mw+B-Er;hu$fh>8SEF340n~8rAGi|1H}h5pXN)vivcVS=2zAxpY70?SD4nP1oUY z5^{^xpP&YbAmPE}MI}X1S!v7n@*NzyQ7Bcza}_9#4D9#vgeXR<5s(+bONr3GPyPA1 zwYRmm2BAOxGVfQG&aS!*S?W5ByS|;%x+9WC9Q28#zK zj^;v!k7xSRcQ(8#^$WV}H3w33`=*eNO8F2d;91GnG}b3UpljJ(1k#HCPUf(-T_UYRqfb~vLH?!296RBhD6KG zn%eik^Uhm>OTJoNWv|}FJ>BF!og%9`o{-^X4_GHD-T3k7^;?4Av zPZ@LEOXLYsYnr9>336p%vR}uD=~}1VzpGB(%Rir1JLYrYEN_Ga>{Z!`1vsnqtle}K z`;-kxGErC|1O&zEj`s@ohH5cAEO4^C3isV=PCB1EsSu)?L*ZEv%C|uj2I)G@twwm< zi!#5P-sHy(d76}8X7(bEj~?-N_el56$F4*D(}Bw+p<9hg9>QM7$CcZTQ)a&n<{aOf z`B9%8-uSowJf8CZo4+(3zg!YWB|ul(ssMtVYs|fNksS3}_X>vB-Tr1F^Td>zO6kw9 zlQ#VNDE+9yHchi99p<+*O{XL}pt0P)LzmKKh$tx%FZ3IC>bbvXlJ3G>2BI!V$CRRu z%&)0HzF=aG1T1NCDb->ks6^^kl#kHY(*1Rm+tqXzE$vbTJ{&+l;O;IZG{v=GEXZOe zfdQJp^nN2tU*EvEyIBndMZRqxnGzczj5SasVT4K|z0omx0p&_T4?6_=Z2Y-POk79G zE3@9Z8<|@Ans`*m4%HwO<^}l|o8nM7xVX7rXZAd23{9-^7~^-3ow+|V0cs#MQEL1u znx9nK)k5XgD^MZ`UF%i(T6@P>;FH70Sk?mmKO*U5JG3ci)6>ZZZP5WnlZH5#hSqk< zcxmxG`b%WIK0zW2O=g7s>RaFai$^bB4U&j}0O=#0mS;S6jjykIPK26tEIf8c^rv4{ zR`7+Q$n-S)#zHsTQx#+p`@jxS!$K2p55SBt=XRvV$H%X%7Pu^c^w$k3DJh+Hp5ENu zNguA?4-{M*u;ap@8(G;|^L{5&iMdbaZ z{d{_B(9~o%l|K8>o&J=ocl?1_*-z}_Ti>JJ;E#Xyo-S9PMoxThIVw4XPMt;v$kl&x zggWnE^i17cpm^VcyYGm#`k2ESZF5)9C$+e^N0effWAS_bto0Kcw>6I1rPFfOnK;xu zj{S892e|j9L~EUN9NvsUu(B?Dr{>nC<_t>^oz}aJ`~8l9$M#r0#%Y(gOS4*~RHEv` z?a8;)skq}w^Ugmz%NV3g@V$PUN;ui|f$mc$uOo^p#-D0EVwU=QXB5=HJ;(}L(~SS%#6 zm1eiPt4@Z#*NK_a|TZrndbTm`xW`&FQ`L0u;g^Km~4Doa?c1X&&G8 z$NBB~?7tu3ITYlyhYbq>INv()F02{0H26QT=aVrQU-sy1M$eb#w?@*OO;g#b{<7p~ zFaL~|PEx>*WyBw-idA`=6H1O!n2_g?=XI}t6j}hOzsLb~lS3uU=S50LSS#C;X)UEO zp>v1jpMY~w(o&}u8%DC(0*eF?wq}Kbk?E4@AQKm_joi3cePp?O2KfXZK{Zo0AE)}a zLxvv_65tooEWMaAD4}jD0jjAKkgHJH=Zi6UWBifrR6_0>ltBC4u)Wu8+luS`mF20} z#^KTW3O4@ISZwEwSa3wdlJlq--JfoZf0zFMM(G~r`u-!1`~St!aEE%vIiIVg8fMue z&+bVx)p|m@;?E%U;S|pRPWcjjHg*^a45`QRx;z-$lPb|T;V+`bD%^zkyDq?hAr4o z>d(%6{lRLL0qa091ih1IeX)WP;7&_jC<7j&#C8kg1Hr?3Oqqfb$IIddieDi_s6#(9 zKC3~40HLr5#%>@;4q$;EVbM&^*b)~LAu_Gy1(=QX5?i2$u5%Gwf?>osI2{ zej0~u0Ax4=daR&>0xPTq=8k=0O1%@)uuSg~~uQpKZ%ns^HH!2q>LG zwJ;$NoEXf^8BMCGBup^$8T1uq#4KeL2Ls`5lv7KRi?F2Y<($Y(yN}a;Ps=ons!(Iy z2}*!(q&;c0muFajv>ayj1U&no%c3H#U8gf)S5$m3wWs5!rBMs%OQ)k zD~VOZD{R&)C8UQ&1t3x}pGD5!eiJm>4;_h;%UD#|yzRO)0KVa91ur;gQz*B-&vpAe zn1KCjYz1f2lwJzl>^l9;o|fFCRX0`u;4CB zlw@opkVZN-_vGD$_2C1rXO2JXrL>f^s*7+o4-R21OB4^zreG)HuIZL<0vioIz^P@4 z?)<;cgyGy+GXB~767a|xHK`D->Lri$&|pLoGN&5Bh4RAvXw~^(XZ-%N{4Pj7}eqI<=$b~^H8$3HRp@05?Tnc4e|x{W({jRFl7;0XAF26{NNCiu9HGvBcq@8yGCqbG zfF_7&hvRLI!~0QK0vL8v4LttIkWitHX61G7%{gSa?pAZ0EWK{?o*jy{W*;0Z55(g% zft9&$Yh#Rn3?1%=iaT`65c%2mUf$abx&Io}0)IIf8~L7|EC(CAgNIB#Nu`4s7*K=+ z(M=#`VESSd03+dKq3L+4^w$7eSn)X&*Y{-QBF-KB6EH*8xl;*{mWyD3U>H%D>Aosh z-s6!qtTDtlD>?+AGe>ewX!$!x=P=cJEDlVJ+Z-e_1pq-&?<{_kqXig6Y)I0R+(2mq zU=|Fzsssc&KfTRAtA-ee(mw;*d|dA`_?bp$-x1Kelxv^@yKr`Pfg-*SY#QFa!He!T zC3zRfG?k8D2Tm#!{%0sp3>^4mmW8?ct9=3{d#_Yo??-NZcgW~$xwAxX2I_CcE=KH{ zsKOUog0fy8Ju`7=Ho4pmN<*Q9TXTPt1M-#)^mJMqT@QJHiptT|FI_&|OV0p-9WMUN z!EBRKwTxz`>nyp{c_r03GJLT%;|Dyzf;o13no5-s18=FR!3W7;r+;h~7DE&-+M0M; z+xl8M7+N@5DnYnyN>I5hj-utwoA>9o)4+|=3H6Y*4&l+b~v~(d^4mz#S14@nXu=Lhc8e9il^^V5G{<0 zSimKA8uqMRLuylZ<6UTZw#nZC#N_0pZhW@KOZnMJA2B?P$%^%MZl7fAl&$PREw0lJ z->3OYbCh5d6xFs4H7A^?^2h`D5kO_Z^!>R*Mg1IJoha#h{|!lfMpvBFLuZ2kw3Gj}~ja z7t3{1N_Iy-8W`xYMfiLZ7cGz_k|2^Ps8K6rXDv`!ZuEZA_bvfJ?V6)O8(pU0wOE!{Iwd-uEN z^wvfl1QRd1tq8gAZzyu-P?2*iKXvODdu45TPMkF8J`)_3Faev38~r`#J(=G$FVseR zVd}yW2B*)yi-}|a*27<4WrV6(i?x0)W7VsxX=pHAOwATCSITOivSL~wKmFpeCa}6+ zpRmh=xtWp@)+|2N+pQg@wiRzm39Wh5y{Rf2s1kRDo5FheaQ*un^v>CCXc=Sz(mntV40C$K8n5`BMCpq{O(^%m(^nKbZUGYn!Kyjaj~Ym!o1Nf#E>)TlBZdw z!S1*0ws^8{o>QiNnCDVI`L~BR7a`5hC-~}Z)74V6a#dwjdX3N4UVmul^q|%bg7xk7 z7521M<+x2I8^Fh*%TJ4`oVrcNe%rt2uMsj4VK_knudupre*qv|7$%h-ejo&C zWZ}j1$Sh>H8DD9$T|4Oz`SQ*h(eG9>4d+a|?7h2uC>eAg88PE$!Jle+kM3;yG|$Xc zWJw#o+(*0IXu7MNx{%CV-Kg1$abp|(Nz};_P2}Xg`||S6S?{LevdOSBHn72B$43dh zXdTk3&SjBL;=xfYPP+ZLWKj0mijPw4RVZ2oyNk;C(xLGKR`A3}AGAoGL|SrM0V7oK z@eSPERy7c7Lrt@SPT1+9f7I_{?}NV{t1Kf#stDI+ZZ;n<935Al^ORvUZeY)fn1w%! z7_JY@AK!2Cd4QM>BFFQ$594Nqgy{JIxiNnwU?k}l$M6=|4KK^lMX*xQh*?Kkbf!445<@cVYYm&c2%p$pz#c!k8e9l1#Hw{JaLIuD?gSIgd^xMTpe&)u(^ATIJJZ z1|IbCN#V3!dt#@aqjZno=>&H>sY~Yno6~J&>!Zze9<1(=)xfV#3B&|r>gY1gRm_&% zu6XZWY%E<}4F%(`yynq-<9%|s+@wLpPArSRY&eUq)=}|Rja5heVcn=jfXZhyB8Q)EVm6SgC_r*NQ&xt5Ix;0erv5r> zLr}j~r-e@i7RH7PwrcxLn*KeHI^;;2wcY2!WX0+vds(v*Cr$~Er@Mb}H=g#5n08ko ziv;(1T*u_VBC+fUaGA0$8?E+G2u+uffTM?J{XZCv!x6aU$e`O=E}LR zBHBd9I(PqxT}H!`{jPAm3#}9%!DUe%lXf91k}7QNw)8llUwP;OTqulSV&LwiW81E+ zvoS0Fy%Jrse_Hql@Fk^{D&K|;1IsiBXeT359jQaZEaVxfdq=^5)n6DepoY!$J~MKd zlPFA_M>Q_#K zAS}3kElzt>y?#2(h`LB{u|>V%^Sz=t3b3T&p{GbKhTDw$sCr#bmxI;c4ZS*7=!_JH zM2P&3(_pe>;&swF(|vI_~_=dG5LQ{Imbvd**q*^{w@;H>x|#X4v>5 z?yqr{7yIL*FAaP;|uo6uEDnw(2kciS9q1REQv?6v_=v8P9Zf^#Op79Xe#Ot zzcR-=sIODDJkC?d{Vsv&;K-nY1tZDS8<(%Z|n#e)HJ>yyzQ&tX237{%TvdE zuoFxZ{cU?^p3jbFy}md>z|Ript5_<;+U2YRnOcq6_{i1a^!BY7Y0{wau-VfkcNr;V zmqW?KHv(q$lmRz|X34O78 zE?y_3u~gwg4gkd{#XuB*!^ToO9dA=FlmZFms2lM;(6(zb_JTjm!&yI0yuG%yXDJzt zo7s-8dwLamy*qDX z+ql@;lP14~Ou=n7eG{t5g3y=|-3)E}n5by4c7_P7gK0H&VQ%~uEA?;XWIwQfn)E3# zB@S+J`TKJj7Un0y?GkBl<)->%V5(Ogh+%TnNxUNyMC34WfdlbxVgyhPHA+;2Ad!P0 zqCfQ@-SYX$gVN5>*3*Nw2ksg6thNTft0j+T+{Z^h&MFu6x*GgMDI^0sUk4Y{IqhD5 z$R95L*92C{Gz{m`*b61tYiv%A$VR|MN@Z*X8#vllgfJ7-yr|w%?Lm^(q*iy;xBTwWkWL4E5dFZDTZ~^ z{kH!_ZjCE7$;Gj`MU2Ay|Fo3x$drVusMXlO z_Z>L}si|_iQ8yaQG5mlnMklUzp-=@Az!kpvH@Qg*1ivn@8iVr)I=NP8k@uI_x{mLq zuC3keUSMR+HJA@BVbr#IAIcU?MaZv~d=>+A@<+b>!~y{7Q8HR+w2SQ^cW7B+C~<*V z5w7s*FTF;xcA<8zKlqUQe)CcG8aGv|pd_bJ2sHy*{jX`xcDrD#cCNJbWvMG<5Crq9 zL^dl3X~8ZQfX4%{{7Y1Jy|*)h2RJa8Babe;E2f5p2y)y4-|*mL zS7BbcOM{SzK^U0O6zv92TbFw4q+};lgeR@$merEgp9mN z>XW|h^DaAutH0r?>Ajem*6pJg`tyXS(CI~+%QmivsCx9|%5yX&mG||uY%^!i)A3H} z^Zm*Psjz@wcH6`=7j4(AN1|uKf*-3mN0Uc+eXsaFu@`UE{O}cK!hNlKApEc%vvPZ6 zpR)T{QU1X0d$dWPrT>#Ml6{!|dRgt+e8p`+SMZ>vu&89c?RjoX|8aL~q3(;{P65@; ztP?iETq$c&MDtGW7y=e@Yq>ZMrT1OyJ<-t^ewtToYX>W50|s{Qyn21|p0`hy_2v(E zwCoq2;o;2B2L$Rp7pfHj7z{Ih|a0!*p$Fe?v|G{)O1C>tiFMFAV%Qdp(CK|;tm?48@h1f1IS z(y38-z?&J$xjjNx|4p`*ErLA?@v`>Wbx;ZDUvcoh?vP(-2{0EeBWmzjn7{8aO-G9!ynH-wDTwR^q zcjR_TV^^6GD>>@ zZTnz0y3M>*30wPB#a74^&))QbwyRy4UYh>HM9iDixys-9_uCjJ`%7uwwZwZCJ}$bl zHQ|n?W@dj{JW+tnLDUYgQNaWmC8ZC-7mOSgiJM;Lym52J+Rh)EhH(7d#eZwj1s)_~ zG!9%Wz$q>dR4D*o2*h(-PbbNTPzo5LqT&M44JpeG_uMaw@`nOKBpCou__`R*Sly0$1asPZLxRAzDl|$y=OGS{dJKH$M_Qb8~aA@xF9*hyPh+{CBn0 zX9HV6AW?W;-@0=Qz=70a?DSXx73S_I!W|+tM~uffhU}jn78rCT9Rb_PAL_!C$85)JA?Dy6 zxZig~=hM?GQa5IIe=x@A?WtAG4Oq0EG%a(s!jq@l>^x5i>Iu0`MCj?RSDpbtN5e{X zjOnktQ;EfU@#&nP&C>e#>g5h`s6YjFIg$ifyZaZ^$x>7~ zG)2?7>AnΑ*9a6lG7vgwdzROm?&%1<#b^KORglkq2V$zm;)rm zoOUI0Np8|oLk#OcTsKF>brMoIrWhhU2O#_%3yECE=@PBh~1||C@^Gl4ChOG&9 zwv5L4HzlFwWiGAPl-w~(d{{u;uxfQjhcC>ic;@yC))$lCV~oeMBIhx!<~s%YI(M^w z(adgoPgdZ3%OXxsp4T&?E~fWT4@=?aLaN340Q=My{+UNbKX)X+tY_WtZpQ67?_>^+ zBKoXiD+ia`8AWFPzP{sU@?6(uxUT+uc)p{jNmiQL_IO-^;W{aLci55G?Y!fBi}++D z01>0=_H-_;5EOI~E_FRiA>hPAmp74)Wzs9KFEv1G=G%`X}XWXRBFGwuvc>ga; zM6k{24;UP>5uWp#@Q?mY(1jDT=DTUphZ60lvwOFa+6Ynib#%mH(x+mZ0|ULt;b<^$!rkBRrK1!i?Y# zpoXJqY100X;J6Sd&7XF_f4@6E3>Qb% zpxNB?pO;)P#GnP+=q0fJ6U;LohUtag(QaWIRij3Y%z_fZ!3_I8{;>um%*xK9$^;jr zntxs&WY05Z^v@YlsXYXV9Do^&|MIeP^nD3|&XlpzhmMrKOp2U>H|&K2 z284i45=ko47iJ)KyGW)sb6#924vVw``yV`KxQCeSV#L;=MCEwP zG>Bnn(u^m?zk~R(j8Eo9M(h8XlNT3t8g$fTA4B#(&}<=9G@;{@C=CmR?jnaucIUSL zp+hAT>#mbCRKNGk?9$DvkwuvyvKI0WW`k5$HM#1F_}%RIK4`BJKbLLKcSwm|W)ZVx zJybj&?5J2hUp7xE?LuZalA2$;*Gjk~YK!CS|=&;$mCWwFs0mw?>8F zllDHle2H|h1k7?2=ZIhc8r&pPpjPtKj{DF~>9!mYCZR{|4?@l@54)^R6i}GpE>L{F zvw!FrICo^^0tc3U;pMewe?A|5*gZH-&0n}(c|KZsEb_aK{ND3@1P0$LiI-HS2wC=` zmdKCe@<;X#p`|6HPtC>{pOyj_Coa^wEX2i~l?|CoC_|wm4U&0hwkub*z}LNTSlN*J z)qi_v{Rc?sFB56idvhq~yNma(?AId_W;PHQ9$FkzGAccVBuqv?iiM-OQ!Vqi;1wtY z6B#5<4KgW^X10ww{dV$$BMcKC6eo^!8r<@Z24%e`(vDgcj1Ihj1nc~j7QpK8@A|PF z7!{6TJ>am)>IpRpjQ~=*(;y+}?fZ&8$F~*ApV=kk$6#{SCX2EK3-Wz;c6n2?O@1q< zyQ`7xt@w$NO~;KJr#aZel1)0LSlHP3KsNqAq^&p^FR$KkB;--~@cg4n+{aXkaL5P%GjTov2o$RWfxP#(_*@ z!2W8o@^gUMDqQU&Ah(6^lSRw!cg7v507DAccQIaD2w<$H;N@$!Ax}6lCENDGu zQMH}_=hdAjbGH>cB*NG<4D+!?h%YJC*ORXNndNSJ8?NtpDYa7f7?YJ&7j2)R#hkh& z`P5HB{JbODbnW+0<@M%9P|+j=cSyfM--XaJnCI1(1`&BB=LPG|pu8HZFR1PlH#mI3 zb(om-4oriRiBA$P0(SBV=~OrC$`{1l^F@B$3N#<=B#V~?24}q2rayqM*BMa*JhAGv zNxr2=#v)*6)A+a(hsc&eN<09M^mHmU%v?DlECEb}`gb_Ksg9Ze)q(L-ql+Ppx_rzl zmvf=0sMs;Fet{iWVQ1yJ7xu2elxl+20;ATFR<~+zE#0*7>tc3RR8rH37#FeITNm|uH`+W)Q0i>k6oo$k{hGuS^F*%jBj z7HF5Eo^DA@^pJu*_h8AHUdIhocR5sCJrD1`VSfqVSPS3?>-ff3+=1CmSGpih)wMOB z9q;lrgF1zZlw77Gj_7wtX)(T_kmg_6%>3_-g`UEh4I}IiRVUwOoLc?%QbnI{La%*J zFrHr_0GS++M6kpk_+8hgN!`NxfWj}1RC^JYpFmtf$`7FfVj|FB%qan|0vIwTfFoUo9Ryl6Ei~puaun^#n_8b){41@FAmjXKpjd+-;^jSthkxoV^w94Ob0STDS4jnICmF@cEj3}{dx zv6&|VV1I_a+fo!VQ&j)Rjz(lu9b&=(BZ?2(p0EUSw6HH-`LQ1gsHK}yY0PtP9<~mq zi4B#QrGjMCnw>9o3b{5@j$7Q?h`iUa6~BL1qw>Cax-eHuCL!N)v|+U-Qep@2F#Y?b z=52)%ysW!^D1O8?ftWK{;l64DB?%n0GvGVF*3sqDmG3(tINk((f;{YJhn##mec^`4dRtW6p5E2Q>Hl*+Jw3M!QU`gRlGWKG4=P9;1+ z?(N0pNM7&2{=y^pvC@o$XUlfKvR>eM;)VsIdf0_H)fn2w2uHF*#c8R}SVnGnWSV4Z z?N=ycM6Z%WpW;V{*jbVW-T~0u4s$Wm9SP3&+>g@>uB9$NLK3GcD%LjWM`$yqpM35c zGmha0GYX&=K2k-3h+fK>ngQN8sQ4e@~v?oH69l-BZ@;O@d z!?<~Ajo;RDy*A^+m_GjlhUmqry6_(+8y8!87j1gkV5M-E9}RCpnE{z&zZ>m3x5Q0u>)_o28Mx+`rZ#1=+Lh$6BZu!d;1 z%wx)Y4p%#Zyf9!p0w*`!6E7t=G&uE-=omT@&Ca%)LXlR@5hK$sE5eW=zARWoh&I`k=u@@q$%xnS0iY8;)0Ib_=c!9&&5lcU`2B-QhkoA=3ekv3vAk!4B- zW`adid{ALZMlp`arB;EOL|N8oJ5Y>%Qrz)4{y8JbC{Z7`;}BnI0+k(yLI<|a2SZ=! z@+5aqmoMWn((?4s%B*KJT`%7gClgQ5Hh3^yjU<&dN1pTC!u;;5R{VPv9`A5}hRqtc zF5mR%P|CFBR{ebXQQug z6;gON(Em0PJF@Pm*!8tUoQG{l%g0lRxJqWG8WqwX%<`G>oDoVMwbDAXJPK(wfBU@l z5wKk$gT%UF9`TVdEFQSgOU6THMuzrRerkE$<=|1yD^g{u*1ff%E|^?ef~FjfCJn)3 z3c|*o(X|W3SpNqh#f^7W24TO3QmVlE98R8mjE#*IMXyzAR9bg31xGTnnmkQQR7x#M zGy$;}RsP5ZVg{0o@@391h?4q~e6S4@g$TWXSRv6L? z5YHmwjb`4mfUt0+v3*<MU8d~Iwz8H1nC#Gd`)G9*>Lkk#z{RhRt#dh_WpwiFYi?d zLWNr^b>g+RML@!)AI=;=vS}~7GgD-0yQZFY`;~QfOfh6*u0jA1axozS?92!Q+YP2b zYg4ezb;o1HKL zHg;O>x8&%jEj?^Nxqc6>95ZTc*7I=H^IGrm%}twE=jcP(1fnVWw>x_j59>JpDh&9? z2-hjG?!EEr1k0{T3tPAz%}{g7JBo^s`mUbMb;G?I;{4WcyRU_~&JaZifvM@8m&(v(Pmy~AU!YCZwAL+~sbaYwyrKUdsi+#`*kzhL>ieI z>H!Jh!#Fi4rqXP#T1l)*Pl{*BaVp-J7l!}hCVxb=TJ2Xb5LhYpeVxt&8*{g1M$2nQ z7FJJ~TwGP7HSD3N1?NKgVV5kOxc-k=VpQHB9*qd~to8=0s3gI6Q1A_V_nG9gYuO9O zw@E+56_m59mGd?5l9?-&Rr{k`SZS-g-zursuvK3SV2;t>@`q48)PUYZ&#h zb9dKZoT_{LUxtt??yF`t!pQw}cD&w(iU$nB#8EZ5%?uHUAQUV@;B=|U4JjWOo6K(T z^)<}rqI1}E-0{(#70i;rYks7(C!-{zvB_#HzkF~a!A?e_+dVUaReJ8e#I;=zNTR*! zXh=Zut>npWdulnz8Pp|V>7S) zYV@~B$N73>p>F!m*zJWALhZMnO`(p&$c#lf(_QI~u}tB+N2`^BV4_^^g_srP#7cJ& zNr0d%>%#WG^PpaPY5WW*z)jOJ>0DFf;2W-Y5fYJ3zaTWb}a|vY)RKGqV3wt?BY)!&31lN;j2fs^`B* z2b%>?JB5flwX8Qo7H_1?6ou$wLbRF$5V=gXhF2n{lF&b5f(`*aWmeOOfk-Md10y!; ze)Y)@UU&VtaeZ>9=;yrV=Xd@GUTJSl1PG+!zVvxSdkZe+jYRA=RgE6ys9Ken(-G)9 zbW^I$xcB+yhG-{W$_uIeqz>F2Oy4U|lrv3* zPMDNbIBqag;EN}y_fn`rwXDy6l1Pw#L)VVz&Ng#>;ZqbDK{A@{+Yq)K(K#0n#F7Fun0 zLZ4UN+9+<{ftT#A5tfS{h{BQOd$;%IB9Ru6EZtHb__U$(3eCzmF7#eC;-ZqFAaX`>SyRP77pxx|3%M;H9BIgKua22?sJd&7ZqY{Cg*Uc zTHjc?n?W-!5tjy8ak~}K9BeDG=B?Ze6=^yd7Jx{jNMEL1 zQ}9Zg0iyypSh8iLNS{w@Bx zd~uj$i+$l#Ri#~Pzl03H1DJFczWP>J>{aPdxs^wu>*`y5n2FTb-)c)_{i9HZaV_d-S}! zce_=bao52G{A?R5NxZx&bUc}9+sqYA)wU&WdyL0LobHY1GigDWk6(i7QiOeK7AkFX zFGCeag#D}@wW;1bw~3VR6g+P)R|K49J?|f~|u=Mlh)Ya2oQK`M~ym$v!jUqsxQJU=``3DXv{vAuHAtUqRLehVNga6GqX|Ivy2s*f6a>Y4~p5>!XcKcE#L=%kZwiJpn_y-(hoemOz*EsK5N&$!uhVp zFWOdJ-)idWZNjglRZ^xtGVE4X^3Jeu-)7K2J;$7**of*|ZMibYdeS2)ei{a` zUs2lJi_j7onx?+@m6o+@g28$=U;_yEl`UIQECG)L=Y^Wy=!0e}eji5=o|- znQ*Wak)g5%Y^nshWgRx9$IH!)$5o{gkuVV1LAp__Fp*#rfJ}!|?6iEbz2{zZr}pEM zm)T%x+ga!H=FIa!o9EIL0)~AW4k4dON+y;?KpaLPf#mX>fm}J2k$6$1-xCHbvRJ$@V%{C;r`T3)(TEsPnm{wpg7#t3-Yu;k*A;r_w(Xpvp@FQ!h z{U3hof4MU8MZG+jlEMiL4&YtN=Wa4>WGLQ%6pq6hie}1mqdNFyw4G*>yDUGVgp9V( zLJ{$QiSxrfp(2-SC~k+Dsbm5U>*9G8xd|$yo)`gaZdyl*-s{|MD?a9+-vkAUM7%FL z0X$)Dr83+Ai7r7TF%wj=+7M*RvNGgFV7D=U%Rfj(7>VD_Lz6k2Fq|Kc)8S}w4|_QO z1BdETt&3OPOOGFPu`tc~qgsRx^>lhZdQX{BzS;Sv<5rG@2Gc4CCFw<~wB^Uw{zB$~ zm>tq0n^xA!eoy_Mu~Fm%n7RiNY^J}Ld>slI<=`ZIT|$KKWp);|obyrgNG`wKGkKne zr%|nlP!mB)5SQ$xkwqR)C8antYc22T+Je=5F$Gnr5_sgR6$sWm z&3B9+cFj6lf`D(5Js9+L84X{5)pUfoH10SzD_h=XV%K5@s=R*TkEWy%j9IA8dF|QC zi;TGN_Na%sP7JNgw$FN3*0IUa&;fx$>smoWinsXKkwCUMHDR->3xbmUxP(wmZ5{B* z8ZMR(^0Dd8H#xZxhKMsrKfJa+ZF3C%CUSp%zVa|fQfFU+49PB}IN)&t%B>soG40rbOMJeU2G)Hn@F6V@|~(W%Wn8Sg}npd z<$}le?2R4oHPM2Nl-h7jDfTa4sY_sHVtPp zg}NA5zR|>|<~{o=QP;A1?l@!_7U*RDc@wUouxUxp-iU%?SXE;dSg-m%Lru@*NF~L* z7Ur@)FX#7n>io;frcPDM_(l>Uz&2^TIuMqu-`HsDx?o{zQyn$xw>FT5VphU2*-QcN;1M8X?VS zTD_O5gPaQxq|Rs?Hr)Ea2)VBXZh})mcw?l91ezSaT@rJ>j%etX=qvZd()$>y2PHv7FmC%5>^z_pfEj7SNjb_-F^1;6530wY6 z>W66K6ND?Te3)5S^F^TQ4a3CRK6^Z7;b|8Ly+Kor26)x*akfl3-|8iafSl?@J8 zvbMTU2=AdUm_84&t0Tkh-70QuP4ZlYeC#9EjEk)!++BlrZxdjL4w|Zpg#p2w;_|;y zV1$VyKN~%d7eneALk?vn7idA%ohS}EFPzd#_^??y_%)~~VmnL+nTy~^ZGHle2R9RCj+h+~Al|eyz1aRj_|`q$Wo@$bBIUuYFVKVn6kv;M1(j)k*V# zuIgLrmsnzehEi~FKf|f_u2@Kt0%7PhI;!%3SYWnFY1{z(x}dDVYT>Ge{Ey+~&F5Y! zcXxf%-$cK%*@lMF5{ed81=0?=es)jP4 zTS+$Zcva2mwxkj_7iBBuo;0G~ z6H2V*ZbCfprFM4lFdQm@&%V!swJndP)=y`0=DyDxy|u;tTFg)l+(Y4*;g*Z313jOm zc7&x%S7fa#7-D1U`e70)wDEB&TxdtqDg@sCi50ztQcvUvaV9TXeVnd^Ij^(yxVR=a zvNB5O+mHQxo+cgN$r?2f%PF&#H&lO0>k9X;_yLWg>2R8Q1McwV^uCR}1Fb&M?~v!P znovT$5Btt>S9osn>S7+I+A{u3DvZxN+UgG|yPN%b+Q|~U&8)NWovL}%cen8De&{Y~ zjo_9OBfThVu_OQ%Tg-nk?>u2pTrV$YT9+-h*k1hF$Sdd>i4LL5mbN^~<4C956YJrR zCWm|47|p-|PQ#DL)IDmseHS{T7x8NN(kYuDO>1piQg!!9*>~)8AzI9a7vL*#g9?Ej zJ+=3-sF1F%;|P+k(HCp#_;Ok0Q5TKEyg~oc@vd#sPqAMZ5AsImF+^{WLK0MxQb7}i z2^$Sbni^_4v0)6yWi8hm%&Y2RUBC}w2%c&-EtmepTYQXZUZ+V{G~_Y=3C zyWb2ck2+u{2`7C+I>+k^q$A>y)wC^LZqc-^(B_>IhBlSLqWmzWv^?uqt-SA z9`d~n*MP;3KL1_m0o|wElT%p$#ew#a1}(d2Ahti2Y*P~JJi9m;?rvo1x)dz}gvkeg z^7L$UzcGR7l?gc^q@$gEa;2}2k4wK~eYi)^_>VJ0uX@US;mg=+XVF=YW$LZF-Jdt| z@O08~N@ULV8iLTNf$90#9)?~1`LQ(Vcjkm+9!vNm!cd4(0&U=X!$vT^nKdhQxgnSq z3=Z7f!$7XVDH}%lL@M!pD-RpfM24e>?>rAu?A&+zp5y@0hdvyG%FT z$iLdr&Bk-=@LUI`B0*0_ML9E3fvinJ+=(!lp@|>XbbUJk&_Qu!w8>Gr@ZN^Of3kp~ zVypLs479bJjF53mEafM~{Tg#*3uI2i?^1H~LK zN1IGgi2KOjX+Xo&4BcrpYfwXre+l>3d~Bo`COl5U3zVxQKkzK_~IaZGo^}y z+kEepeGY2S5kEBHSk^^hl+D@o>TupY9B{VuIjBiiXEL+;@G6%fC z+jl^te3RdITFRlTTBY!5bjYx>RYXMjaY0bh?>3b0>9Op@a|Rxr*LK0O-HBU?!9w|!mu=2)g>za=K=&-RbCL$@j{=5i&hm3w1t-=pDlk(<=` zlgEF5S*m>abB;)9`#36MD|7 zQ==8Rbu%D`C3@ZXlIrO^HE)B+*ktBcM^Py`;7?th_qj$2mu=~*<&6HrxoI1GtyIv7>X;z6@dsy`Yb_bf$PUphSzy?tdUCcAc?OvkJyEo99rd6)NS?9+K zzpqCzi(JlgJsl)enR;^~gNOpV(q{i8Y(MuPXTRva^L8SMX6`Aq+rWs1VM<HxVA68+ngTgKg1Oi2ZE6VRoB+b{l*0;7>}*Iu@oQYA$kOJe7PI3^Apm8R@tp zVFQD~BJNetSl2fWFs=-vOh+q{((kSI4kDvjaU>k(lAH3>x3y^@e`WdlvG<7yWNSLO z)$YM(Mss?s_;jpR-*&K=2n5r@q}P>D{26dMIZ$Qp?%qdEqgE_i@F#sPBowfa|LNQa zm_eLtK4T^6Cfhgry3tI%06so8+~$;~m#t*jh|^(nwySs$*W6gLpurZ20=ne1P2*$JCtD2GKz;Q@n1_Am35rYkB^~9h;SUB%}igOT3hw?tf6Yr!XdR z?nx|n*pPtuJ5)>+J*CJcn~t1-@;1?4;9ioR+_!-nCdh#X&~@=7rdTo#i8$RH-pu06 zap}A-hARFPfvVd#?qrrd9<4l-jrv|WDT=hTz16>ot7|shh(7QPb^WCH25AzH{^*Bx zZx{pP-*@6AH7WzjuK)&EqROPKwEQ2O^aR!X+plQ;ni};UcMb#vmL=iRsQBlwYFFJ} z2VJD{Z>&|-eTm3p)0lf&Z~q{Q;gJiUzFVgPd>kA%3~QEzIbRL&=b%V(G4f@cN8w2k zP-|7lkeH3LS#pR25yme2s^Mf-UmPf5L_wqy9X9GW>K7E+E>XobVj!KbP>S9L>I*$+ z^>l;ID_|e)dH<{A{}xB9&a&E8_RDwv1^~}TN8CSvF;YQdUE-o&o;W@PdCTK<|JtmO z!>$s|XUvyTnIa1o!HHI^QR1U0`Z(H@>6rY}uL8af5i3)SR;&lstKq2p+?=DvXABV! zizdY@hfG(B82}jFrvH#_oaNi2)M!G)bg&EY&xe}PUV2Ghn@ogaG-3QQOA_QJ`>I>? zsBtg9>ls%`p*_h4n^4Qu5@ty+PeK9wc?^eWfb6eqK_agK(tYU}zLKmI?4WE(S;2Wc zkZf8f0XA9nrtCc6E~}J>HpH>{4+}5wz+%|s{oF62PH+XWHI6#}tM(t_m(^x;wMIq; zoAQ5GT73ure>}4@UC*r}b)Wq~FRP08GEEBA{!#5<1i%>x2}Tafp!5khXHGq$2Kggj zc2|Vqr6^Gwg<~24Z^ap+ip)j;tsesHsA8sLNaD0;zad?iGz^ZPHQA+p2;JAEXkY>$ z#&8o!T4FI;_KfKv|7?WsB+9qLyr5DQ2Ed4@H|%OL;R!7s;WYs4GS;vC$!5bN+k-zJ zM~@AEOjXxgc%Bqpx|&@xUCGl;#{y2r%A&sPn|U$<{?o|$?XEl z_pqk(b66AgP z$=yT&v8?jA(Yf~kv2FuwBh5DBqPtupQop(;2osO`sAyD}|MqPi&SU@R$;0GKua6=U zfTxvI`qOJy{ao8|D$%Nw|v6*d>W*(31D5TQB8 z&bqIuZsvI}=&-x`G;Y)_5vnSiQ^|{tFi;b-wzXLg{+PoPF!f;oA!10Gl71p3VEf_Q-@HJyQH+exvlFf}|`9^MjUD{Ju2%6*WMu2XG%8d6d1ldTF`tG?P*D0=HK*(4P=zFXXlsG( zZ2WPFlBxI;V~%%YtgA5b2VqZeyuz(7MfVm*bfA)vB@6p}<|~FT>(sly(X%=8+y`~t zyqoB$7Qf!xPSqzlRnqQUon6GTuUwzB-rM2bMo&4>0y&(L)sb&o=2|WVrtm5qN@reH2mfQ0< zds~xE;igl=ExO-Ey5jdN;SrY16Gj0yjyP#x_Vv;2G$QclPh#oJfh;r8XOPNUi~I>o zfTO3fhIyMYE`L6W;3P$bNe1*;x@T50Pq}P{T+Ba$kg-;$XeNp?0+YtUkx#T9S=q)| zjOIJ9PKpS#5#CE_9la>L;A(7SkQC$5jou%%B7CN!-(B^6?bEV^d6UR0X=HL!R)i8_ zK=gPRa(nQP_6MK)E2Q>c3h9~O8(Ya4VV+)IR9=Ui!0z#}-AZlEl$y5fe?4xdrmqX= z*2I;NheiA_%RNPEx!w^FfI`& zivWzN1rUA(NYi)G09O2Wd&<;UO7$|YaZm^tUouu$NZ`E9HO9+*jS?I+4oT53rV#wX zN_h96V~=W~tf|VdS|KZ78VBx0%40ECDd_!_kwVdEQa?H>xPdJJ0Gr>_rxg&?wKlVO zu%C^iv!Mf1##IR?^6SJ(2f7Y+Ya6;@KjF^~}_`HEECTPZZlAw_OO(=nYG9ftk z!~@!8gT}(0FmS=wV(~b#CbCM(PX{jlH1Z`Ky(P6`qha~|&`@AUf3fy^awlX>8Vgf< zlpop1v|`AB1QsNW$0GFIIzMW-1Pf*vtyz|oXgvDYeBq;N20)g1TG}5(8jFV~mh<(T zwoNlU<8}gp51|h_OIZ(o#Y?meijW#b1SuZiT|`K@0c7)ffVL)pCH87+WtlT=lz+*? z4HkBi%VhGiVe6FOI+{vYTX!LgS53rarJe%^euoX?=nsxy)h3oGuN8%NhZdM81t#lF zUC)!Fe{0+u`zUuU#Ct#0yFGmg!(`_wI5|1}B(LUorFjiJ z=6b}IVxT_l7jaP5Kddk|^Rjd-zc5*`NZi z<4loC*eZ8)6*A?X@4koIAL;kySF11}BmZ038)ZSGYT7A2SS3m(d=-@>>Tu!?nvW`b zk;8oQH0mdO*2(vhDWtRIDQ6;4aZ#|fmK{dKmXLd&nc{W27lM(QMs=*PH6bw9!afQ4 z1|2~1N3e&TM_UhK-ngSjO)Lqo- z?1WMX;oi{${ctWfdlKr9jh6^EW1hZHqYopA@zqxFP;2T01t=L7VV2G%RyKTLElY)T z9KSbI32nGrSC2rY#rl{#J@vCXT|yO0rXe__%G_)uO2O6HSt{VF`C&rwjcD3fnb%Q? z%|wj1SI@yLb*8jVI_s!cG+!H!#2Z5Awi2~33{3xOnU?nKfD{VRJ^uG4o;$yoUR1rE zx!r7AQqU8b+Uec+d}mr)8ck(P5uWK-Y0AL^{c}tK*nZ;_W-Bwj#{y6$*gwDaWeSj-uG^ViQ1c0hsq_>hoibrW5k}1vQh%Db|oSvtSN=i=N;D6PrgomIq{Z=c2ny~Um2T8Nu zlNA4qllh2l90kyF>eEFBNd_fEe3y}rrdBHYqF`f8Aebp9TZ4s@DiI9w$0LnjOHb7d zmY@lQaJ_y3<}2jvbtq0qr?#o3GqEN)| z^044lIzuu4?1XCZKP&*XAZFNa4Za1uKxAskzl5-`hrW-KdnuI4T1rZJ;*;yz);I{A zLwWQ^%8;Rlq1iZNsc|MDL6`lZId0u0MZ1NsrWS$1ibBNm7QH=71fL5!>z5Npf+fj{ zG?XAT3`Wo}eza7sA?YhQ`9;adY1^0nE(>6B0V{2l!AZzh8<`DJHIDfdx; z!3uG72?H`n%i*2wmgCVRbm>~yhPSmEM_xSK>EjQSV9ehDQ4X>y1S zK|EZnxE#$u-MhFD(x#>^XMS9&z23yIrWxxWb)m&Cm`QA=+thN#nI44>}2`T2Ml z#66er%J>!dn6*Agn9Q2M7xQ(_s(_!*j)slNU>ZwR)ad&|iN7y9r0uO5to%+k=+PUS zS*@|Ex^H}_1kYI5P^|A&C>s(?=W1IwZcI*ZDn9%9^pfHwSkrA3xdb)zhkWC7hK_@X^ctU+x?de}dSd@)w`$@gKoFsf`npoS%A=}F0+De6$) z`>9$t(e=o}nKHs?Z9L@mQfqCj3Qn*1Q~KUBpPjsWIDtw(ui`-}OK8txv69ZsyYj3A zg`O8DpPO;C5(i%YIdbj(`L;``uFdJ=PM7$l7nSJs8IfU+I^yWk3R3NbyzU4#KH4cU z1?snw7W6z8aF={HY^89i5dT$x4R8%SeU?ik2QMDn>Pjz(BbN3GX0fKfR{JNwC z9DGZHnKPbGSG|?qDexyk{+Q($k9$;@P9irLm^yN%p_BZu((!mb79pp|w0zT1(qUF4 zrI~}+^qh~D2y#}w+j3FU0Qe0_!@z7+Vt;g>*L4MJ?MF?qxi94IuP7Uzx|{Cq8YR)2 z00Rq!lNl<;XocZ|B>`C*qcdGxqEI-R(eaSmCHyiin@3nXJu6G#y})q+Hw^gW@K_i` zFRUMvnOe$+oczG>BU9|~+|uUKxx&!(sChF&PO9TH-+AR_1aF@vMCvl9dN4Jyz(CNSZ1D(_IvZ0-YFI#wb5NtX4Rl07=} zPk|stZu#+Dr7H6qs&sFg6W`H`9kZlkUxSnPO|>RMz5FA22yxW@WxeB~(K}Qiln@ZQ zn?xka)u?+}_QC;FrLbzdv59=tDJNlTn?fDEHalB^c$tVVcw-uQVUm*5EG%pZgG9Y! z(iSCOBI+fK<1xsf3hcT5gpu9H$C)}J1vO@$Dih2w|(%T>}kL3?*1O(v-RKY7@~fW`_{lwUNGj6F%0u>UHCGB!7qLX za$we$l6&^ss{7uzBX!+v52JLiJLd5hIL|u?Gh2vZcR^8U>3D6T4oub=s6&;pX_y@4 zO;WlYoNe|ajLc{*6TpBeE4>5(kVJ?z%U?=^mn}Kjk6Q8OePI1DQ}6fB-$};?zmeW( zUO6)2mBTsACO8^yt#wSH@*NB}$S zFzB_Ys|D24!NI}8!s>V#WisI$rVA@!wy{NB7mB4{#h{5LqxlLVF{ZsP)TyJPOoxGc z!Q7FQ)Ncc{q3wJGW~`+7N#R{|M4)^OBv^qnop7V-avepYaz!f}D?{5@H$IN)E4*Jn zVE_>tzL%US8VW>m0*J()Bx6Fta~22skvRgR%yT~9BUfTv>FYYySo%20wWlW5gmb8? z<1)AR>kBegh@_-r&+hs4zFfsaQUJhk-4gP!vcFE3I@taWs>cUMNdDa)za3%-hDz`w6@WBlFYI$=oEG);AT zVe5Ne>*D0n5y1ScQuO&Dl?45TF$U+$Lkdmeo`WxUoklmWN@fLDwFi+orW7#)lkBVo zU)Q-AtCJ`sc2yyq>93%3v#|7<#Qp8E`fpG@uTzW~dl5mu=Y(@Pq1nAh#4Xq!Ap=-r zb7+|cJHD@gCDE}Z6aW{6KqzPI*3bCn?EEpx@=8&}=>_nx&i*7XloYDrX>LAT-}cCp zMvNQh&q|G4bJJ>6HjS%l)0?WzNSmzX=|dS0=$YX!<|iuuI@!`Wl+QqE@5Vi15_h2* zfa+MU3I@z{6Yt;t#L1X5DcPqvC7bPlH%3F`8H0lT<>O#KRAY#aX!2boRq%=f0daF| zJyMyv2A|&fr)4Cd&zvCxf#I=*0|AWpZ@tb0+dbF~Bz|LY%O$t-^C7dV4@4l*?=W|V zY$aG;v851^7lvu@Il~BVCQwwEX&Gnw^F8Mn#4KvGGYlfL1e=^sZsuSa@Cx#Oev8=* zVXmeo9Zet5#(=pd*G}qQI+4N#m(IQtqwCP-L>e$B4?QupB16_kwSG}q3y&X{$i}CboIuE?;lX{$}$lqg7@baa$2_`P$QV3 zn2)!oh4)(l`9@#W7@_7nFg}F<*Zk5_qe;W_I6Z=z`7fbS|3y&sP-Hl3NmpV9HZMeX z-?Igg{&#nGM>hH?xQC01DzGY<^*$?M*rHn=mj@wX0uuP>FiO6%GIqn^*3)roYq2BB zqfWD!^zS(#AO`{$S668@kv5JM%o6-A3$x@;-=r|w>DVMi+(M(|A#Vdvp~OAlbXf9eEyQ>epygZ(2C6$#K~;Pd&aCxe%8gJmekI~FaQ+9{RQQKLl-FZ%_kcx%0mQjcNSeNL;1wSjLI}~*}d18)1o&7G=qMmIa>@evL8z$ zQ&<~Usr3n2^mf5zW%G6OG|&Sk3^L`Jx0+w+)K+EhOXKG!##+0uCThKvzd{W5Cop6M zrLynVsc&PwVW~P#S6#cODEsI$K3n76OLB!w1VBBa9w9C+S~f$rJa)NDqg$g82>pp<|OMP--GYIm~W~(oYS1Lw2UzclRYu z#8`6dY!b3mOjOPoS{}g5yz*zyQFFs4+$QPY*j{VqDd9m{K4j zBqE60+x^nDTC6Ze?%wDuzS)z~E#aQ789j z!W7$v?P_Ku;-AYY`#O^;+2k)mfo>{h8p`MxX^z6%AW;N978D z1!ZYaebp`J#M2_bJWZgc_sko1T3Kwj4ci zv~2$t=ZeDn0;u^3i>L8!WMjH`6bZE818Bni#hD^9>g6;Lny5J%LZy);QSc81VlWt| zy^OK>yfmFvT5eAtB#xIsTusE8I#dM_=P9-R^kVFXcxQ3Bja(o<)=x0R#735pbCHD! z1qi16QmWIo3r}g=4yf?0QcJf>EO<6*6tM=Ly&i=BSlm9}eJcGoX!NMeto!8WLP?#c zXYaK&I~UP7H+gCOQYYVs-70)`Q=MggT#fi>wkn7qCX+-VUmZ@Yj#{S8Z-E}?OvlZ| zrO%R7Y9gS8;aWbrVSVFrsz!LKBL$sgZNdXwITvQSljr{Uo;EUt;O9+X-|Jq!C87f# z3P=z*nv);$kQ)Ze*~~bBo^~{|u&FjxdvE3hCtSaTmpT+DeRcG%9n17NCpCg`7_hM` zzY(fKtqcBwhK+%&1K40kqK!I~Y9c1j2*3KEHXEGDif3b*Ft|ln)QjlG#_B1mlVQ0@2!%t41Zo6EL>kiH zab>y;rCUQXVyNefgkEBn>N9eOWs=Zv_}i81k44ep+RC0A7&M&WwSgn?>K;OqG?f7`B-y^!}!B`13J!oItNa9`59$iAbc=9Qb!#01&Y zB3jbaf)f~G%>VVX={V;FB0qpaXGXzLgXQS(UxRawY3vW;^T}NfY$Sb13^6^4GD~R^ za+Lzspgi~m`3K~7hT$%J5E2zYMHv!FBFKe~vc**UG_(U{zCAJ)}y^ z58pp%rv?z`rv;-=1uMg?)gJm&{$C1Hb{ z{b|C>tgydi3I|I1LrqCPs13Mn2%LzhSlLD^b%fzkWskBCDf#>x^)-Ur)&DWyxD+Ft zjsn;D+`=w{VvX`(8CkP_JDUN`Pfr!ko1$%;(*_M?8cZ>ng7?Gr@3ZtThEd^gQ^$++X zu^@+IrW=y}0o?mP7;AGkU}Y!3a>4;FB!g9Ag`U8?0d|Z)3t#*=^#O;ayjS-5TppjL zLgrFuweKeu>|4$T3?S~?2Mn_H#~r& zJ67G_n0twQh-_-xjA{Wm6d(adC>80)8oW#WXtg5O>~#5|@VoDDiT#m0ZC$TR;r4c$ z>v4kE!`?pp^3BuXQCLN*>3z@I5w0;btJ%Aae&=a%VR`(w*76;^{%L~!G5+{|#Rf$J za^drA>33!6hoI)Tjb@3yds_AK-5Gu2EuLAQ1$4(jD3usF>O)^xszI?NsvHObB2FM6 zO^L@rL`Z!f%!fxUZSz8oJ{%tn&;CR{>25%cO5KDQPfbO|-rRKSpA-2@RAK=}=~}q8 zqj)fGDy`Mc?4|}7ye?oz_91+1dfF<+_LpLSdAHmVsa_ovHUXYkx1V5fv6T*@s-eub zIr1;-YuNOniB%d^sgsJ406)J0t1hy{ zcLwaqqN(+V2Op!yp*nKCGSr$Hdx1t*zt?&_)I*=a@E>+*HQ5rUXji&ui!Yz zOfDK#YoTb658;%_8L8N6ko3eNK`qoZj88*wJOD(EhZ{r;U{T73vxIl0LL6w>fT|t_ zAZ(IzuE-of(@@|@$an##+HyjGabVY=dMy!9}Vs1yhf7lK?lX3gzd-cizx+`+K7f4H~5FXVmleZSF! zSO_DJVOMzDs(x(lvFyH;i`M}@d}VZg_02u)=(k$e)#n-bYZlPghsBf66>Zm}_Dmxb z?<=2+^z2((RT*wt*`W#HB4rvAae;FCLtZ_1x~zr+1TCW;A$ z6n~!8C%=b*TYr->%Si}n-88JH|M@!b`fGXcjbJ&tmCK@!U9TdRmHsAea@l@^U@s^x z_!0CAKJQ|H$^n1x)xsidw zbeNK)Wn_|?WUDy1rAnxdYd)8?Y{o12?iKo4BOXVY*CJISVwuXf-IamB17Jl1};7Feg`r8J*8Tm7SG6l|jC`AF(>XO$f~!G7YgM2!ZQb zHDZALyE&XDB&TNks9uD`E4&8&v)V|gP%m=cO47Qzx_bX~vtdGP?Gr~jMZx&B> zlqO%g{N`@t5?owjLOf1fB>Z52GFV)>Aa~S(V?pmLrVZ~eOpWrfm#g=_bBFEL1i2}e zBP6P+8lO4*Vdm7xxn$r$j4GuhGbHczMlA4H5(-3ah6x6*u^Gs!32@BvrIt9?^LvI$;8?} zZ^oo+8R&^@J|t=q(|;tV#UL6-#t>Wu*T77=CBBm92b=#S}BSk6IKqjp)4sYBW|(m;V_KOKDbndiZEnY z(3Y1YDjx$7a#Ch1)5?jl=vL3SOJ?Q-J8v&WSH$CZwZbTgLqiY%;e*DhOgHim{Vz)4 zF>6T&yo&eolOa8MT?QIOq=WGa!jY@Z>>!0J%SH-n)Awase3x_gbJK}e(@u|?APa9L zjI)jyGpMkP>uWo&-H5r)=ZC6qcO=(okN1I|idpQ&FN2lZ|1oZH)Nm5{7f-(hH^o{; z$K^4lg@pUJpZ}r6lq*7|FtOpslwuHUzpOMG7f^kexoK7iB zc1KZ9F60LcJfsvI6CT{bs_N3(rXc=1t#zt4qwKvssGp1aSGF=UK8ioxhp7Wn27vGH zDFkR|!0?A`GDJ_;Q+Qld`KS<_`D{7%!EdJuk06n?M5KAl60wiU&Sv-@THSGjBi<-5A zBu*S!q%m;)7#xyGil4|t6!lUO^jDWx-m-dAKzd!462tQn~Z zz1n&4(k-&V05bLv1N1MtRM2D6#5(`c?fldYF&Mg3cRo;3F456AM8D zyZK-B1Z)~{hJO@ZtuS97J0t%6sZD6+Sl@=c_w?Z*5aa6?^|TRawir*FnX7dZNWrD9 zxKd(>$cKmkLRn=DqRQCi=}C-~7Tmm}fg-V3ap2|prwnNG0mOWzaNRMwEz$_uL2!7j z1m*+ciVV))v;=E=gPStGdK66!uhm*9d+3RakGPYE)+BIJ6b*qNp~C? zHYm>jCm}NAHdTWovbn7PH^aJzYZ(C|pnQl7OJVgR)NiboWI3pnyH52ZelbLPmnCZEL?FP1^j3@!;6O2>H#cwoe)Y^`STXZb_ zs-j9?$`;i~3gK!ph^v90P|=P?aK7%rm$wIJ`#CXYntQi=6WXT+pZWx1`oN}NZibv) zCckdW*pZkf7pf#HE5qSeg*>3BFCkk^X@=N!<^9Wb%`?>^KGp7?#qY-sExkVh|B zVV_WW!Q58m_wg0{0IuX0&EA96`Vmf6wt7iAJ6GHR7%WM-2??;cWsNf(wi{c~O0yal zRPD(WqMIz_^i~^XnSmah*nNQG{re*;`po`B`I_`kLix2Ds1gRj5_0>dk_f#KnC^(k zm=9STB5x(hRpmg|TWy=AEk{l|(CB;|6_fWy#P_N z`2~t9iY}MsMZ8^bsTUlPrdo}dKbvr~MQXioM}G6?uE!rN{&_4uBvtv5mYts3a+S_1 zqjI29H>vA|bSv5A*^;GPXy1HTx4di!q^6~LT#U<*#)KZga+!GDZ%By0xm!1tcejkEIi8; zoEe8$Z!t*i%hP;d`P0y#ZO;=P9$q_v&4K~f24q{qq9e#>4i66GOTEihq~UhjsiaP|$eORd*mQklR3{F;)Sx5mro#Z6 z>DUZdRKw@h8yCmNh~t(xWb&91sZq^ew^=crs9l+^ReJyQ=Vy4x|RPvoS(l{QD_SWA&js!$=D#Y+NRVS_x!=EY^YMvVl z)gqJA{$}=@J8d=`o^E>}oJENB1=gdRyLs~}hbY67C4DA1qh`-3VcYz(ZqY1c%Q_qqyTNqG zQ9mz>8BR%4CxMIVU=TLuU@$y1s1ViRU~gaV&q%bheAc6NM11rxo0b5y(~0bu+`3Jh z3eGpOU0)wJn!1>Eg{WT?T#M2nZ#b0ur}Rqe9i_Uu&Lra zi&$xOZ0Pm7SY5ApKKy$WxKIpk2`_l__CJDB`-gwD_V+>bBSTY@S$ElDe!F^hq{_ae zJr6m}%6gHWz4GW`T%Sa^KR&M*kv`9gn%Uf`Gpf)&l;}r^ zhP}TPW=H7HR-R7Q*hP3?CLE7TtMrgm9Zde$mQP!56@1Bl58tk0lxOaQMdP9lEQxM* zDq9MiP*{n&Kk&-S#s;(uU|!edgKo!751VOK z6@G^q2ub7HG9M!SqE6kpP5={>z@3Jc1kPt*RIh>8TH_>CmRc+?$#dbofA@G-P9aP~ z!76Eu*Nt_@Yv>O7r~ghim?jz*UzU~mkygu=vJ@($T61pARgJ|_?pf=AWQc;q1J^7` zTCi_BEH;<{+WW`*QNolByq1n~mi5o7VJRn;ZM9;tk}Fc;1gH|G1Y4;L<=?p^D-oOK zL=@n+4?6iL6oypuB(mH>eVpHa3lhHpmIb}nBBz_l3J8yORf|4%qlO!HrG@P^ObZ*S z-Pq5hvSPLQ=!$g#sXgm>Q=Z^M9Ql&xXM0C$_L~ zUBN1x(w989Bzw{05QkL(!}(D@YhE*{859uKFpt}?T$B_Z2;oNf5)uY4_gjy%f7r-w zc@Eq<_SxLWw~T!rdGY){vtME|22Hobv*i_-f5qDgF=U~J5}PH^p(fj^L$NSoH~d4! z$H!|n%uo%ZFe4BaC6ljYwyYTft$W39aByCem~~Lfaugbuv>^Ly&O2mAoJLF*s_+l4 zBz)l~ef{e7JHeZ&cMtV$MwN46uaBNPuOGLK9+rB~LymMkB5;Ekj*kA3y?ra>aYh5y zw2^33U-sA?Q6l9#Sh_aPHJs5s{&R2^(ApZ&|59B!S5s>>@ZS!(`By8e-5yf=gTuqe zvy`@nNUcA=lv@9*h>1yK3=^{;_{W4?xrvb*7!W~SQnOBC3Ln#NCC*yD;kdFoWn9LO z-=&77b4;t@J*FfXWvJvG1QJ|f{9%?ne zW&DB~>nJ27G&pT7lrmU&9_7@Xn6Xsr(528!PHw^Ojnw#XvM9Ar~LKc9l6p znNiezmJ?KHOJ;NWQY{fDs0lIud(@l3S=LH-V@fK9sYBkPyJqYX)sesj8BR$ zR9>k62J+oJ#FO{0I$k191U}X}@%IVC0d5C^w;R@uBF6aACexQqA9u5){i`H;uV12U zVrkJuKuwB+jZNA4u=pd_z$BE0DKvqL1C27g z6o%hRMTv+X;ER+QPrNhW=4(#|173CJ)L9|5a=@p)D^0`UTCr1r^iFI&q_Kg-`E=pI3 z`uDJ#&|PNZB<6bG*ON13CX+mor8}7+$J7e!7P3n6kvg z#PUz;O}_TWKXV_wsd5PvN|IP7TCKS8@G5ea$=XyDIM>MGP8ruIl&8miW`SfVufReNI8O z?z+C25b-f^pgJZHk|sd_!qlx}kqj?MURmGIOHSGLQSoeQ&hVNzhnJG*P#FQL3}a(` z1-N^$m_AqC?#a>Pykk(Q{kSWQ(jr5<+31RnF`c%f31X(XSONe7LXAH*ms*3w$lRd^4u@`ny&YNOKQkoznk0h{0xW~+?`x8r5R zezmHqiUYf3dXL%YcA&MjH9wzr<(l2I4tMu2Z$>R&(gZ=TLwZwUkE88NxrsD_PGgmo z%7E{JQ(a)xUmwKVk-Jc%6)Bk#Mw12<{I2QSTpZ-z3tlyTdw%qKUTv$O)G||q>9!n9 zDEMs``W2KkFI?)ExlEjxe|B~zNE#s2^75isIM?#KOfew<@tW<_!sVI8akq8L;M3EW zx%Q9awtDq+UjAuF_yZB)KVKrU0>IHKiVwTlzF+NJ=?ir#b&S9N>#=d$6vk8$6Vzm6*VXyg7tP=xrFS+i6v*&XHGs}! z3^5KuivfpGQg?tk{iX60yZq;;870he3S_w>VTyU_AQ4$5JZa1j)NyTb_1FnD+(6Bw z+Hl^yrKXo&EsK4|bTk-BW?#P$&-$$wnaQgW@OrNlIQqi0caMhc7QA)ba@;&kTrI5k z1_oWj)GqpR5!qF4OOEHPx3L|Muity`ZO-PWlr1!w`?1X zlD%?vLEo}=Xp9@Mtj)#;N111|Gy-b=-E`ds!=v*obJb9}+-zkT2dLTLOB(GZuK1!P zk8hMe6RUeDC4@4!ag}~o8@|UJdVbnWK@Wwy5P2GoC8S}nVgcTd5x1}XZijmQVgp}d ze8{ulH*LOKj=)Vn;=P%#RdAed=cT7 zxTnHpY5FUw$um*!Oa0m zg4naA%A4xg{jtI}&fBD33r8!<%7x~CXUsS?wzjVO=?94N=XaeO#=fFG>sRc&BlXcI zb6M7&={E0PCHehD_oUv;c5=z-%96)jLfiQ-w?B@DW??C9r&AA2Pl=z$F#V2Tq|$}f z-zfAw?+0fNv{SNmzc_ETozv71&AOoMzVo|HM}Q05wleD%`5p?h--DzNglav-o+nd! zJu#2ke9hMTU$5M4>xDhvVdd5+{M}nACzWMQ+EDTJc~E$MyjywRJ$(I6Tc06h$>TaC z*XVl1&&bd3dU8~xrB>U~86imDthN##RQeiH@*2wA2h9&a0n;3^LjVk_-5`gNIM|(7 zwe5yUj!`#-|_J1 z=rJ4Fhpd+ocWEY5$x**TqWV=ijzOAa|K7dLRfBD&UvtKK?HN9Q*>&AN8PffWkBL1p z0vqDL&Os7{aBDG`BE6Ce^lH7C6L>|Qbk*`BN1B-bsPW#=hW8F_f0Kk#4WwJ(&*VzFTa3XU3>m(}e`grA7K-tah zx}!rf9(QzTbb~&!VT7d1-UiVK>ymBu{u)Nn80xo0mk2O<|wXuZ| zfVgz4(S^M3ULUTI@?>$Z>{W$PXs2eN&CWsh7{DDx&O$~E8UtIi=^8@ALvoR6l?-LG zX9oud^St{LMHft5FXb6~UBU@KNMODFCcF13B^t#rUEYjA-upAjbqY<&%F4>I$;r%N z^Ca*fQHE#$ZOWkNd6$#|*7+!GPDSMEggpCMOS0C1Smtj4)b-$?sK00zpI+MSU~$*; z>>?pw7j|{Q9cE#%L-fW1YIfUdeK>h+>vR;uMi$FMBKLNWEh^mQQ1MGctxHKHZsKRN>?OcZ#KzK+&+ z`nQ_)kzQrlb>C&-{`}eX7{vx%xV#O@4GKeb9D){pWNk4;un^{ zX#L})VR+1+`gYK|swu5-J@$%F#3x|)kxj5%dXwPzM}nxbVEx+p0`B}3k$7wg9g1?Q z(c`;XuJ*F^7ypIhDH9u8v7}4<@1I>bc}6-3@ksfhK6e!~_J{rncV;M3pWFc$l~aCQ zSCBnJv}%Xg?E6m*7NnqD^18sCA@->~nV0=krWRFvnODqP#nghMa@~BUgCt@`eJ=NQ z*1X8>Yg0*1@T>e6&5!2zI|}15DX#VuBO;z(caKE5XQ2B8fMhhzv8e9BfS|v&&1~C4 z(%_)Wx$v{|&2arIzltNCnVh*Tj_?CGZzE0G-IO^ilDP@=Mbm?k&m2pZ(cDYX`Kuin!|?_uCqT-ZsPS&WA0$msMX#6{8EI*mP* zjI1YdkAw}qS7cs2R~WTCXstBtwt4?EwjA+U8^}0%Ix}$dyDh)!dwNcOI`Z;kU(zxW=HSddVMi zp$IY;$tH#l*As6h3EI zE8Y(S26|b7uExG5MTM3MT5Qb?9JJ7@BT<2+6%xLiu>kH2X})Wh?O1YQn8g4hg1?7uH&?5G0 z$zXwK^3h9u{zz&YvkoB!w12=CaXcyjPc2-YIb4y_SUqMsd@+ArG{osr`Z zV$@(IeVVhr{`(^AxJc5|b&V=+Zuhxl98O|n)t@p#4h@BGfIVYA=+VUzlzvs&U(!(2 z<2QH}EPx?yOn~a2su5BqME$e74Hv>=1t1ZjLJ6hm@{9U4B0*L9<%DNe`D8Xyqvs7K z9hJ%QUVN3CfvTzq=$_skR)KXV!cUhshak>(FB*JBT0OEK-JB3|=&TG^pZ)lia4oKC zV8N)>;{5A&S=%#Yz}A)RKC6o*ODao>PLSogcI=3n~{_ z9-S0hn((moYj7w(lbid}?_na%t;O}R<=vk(zlXsH`-2SrAyV{-(dCeyfu^U6O-T+T zO#W9Ecfv~?+XxdQydUtV zPReJ>{Z@BFrmYlU?aJ&Sp<8ag-uuS&&ms0oO6+KiDxzKs@Yi|YVziJ}LkF9x8EtQc zq3y4Ky;*VIP_Xlnn^#G($*0e9pFdaEWd@R+luMniSgq3AS{F8aB>r09(X>e#W<3rh z8|>@9e`I>N|50Kv*GGe3h_Iz~!C*|tey|kVq$1nP_)+1pVOsG?ndDUOTn6YAla{{2 z?bvEM2lh4*5%bnwI;=A`clPb5LJ0g|&TIbHhIMrn8s*fF8`W+}Bm3T~GL#zb!S1cb zk@7#<(5axl&^g7riW&|+Jy-A7OBY4sg24j6rz;jYd1ezFdH1`%F3{)Yu&kXfhtY~=2&xG>X!N``7b_(8sGJSpgjatC=j~dJo+tJs zooO$y#G~1;=-E+-g6oC=T^kw}XJ_nJ*k9uZ`V{3^Sy|rJ%?`w=#Bboe;|jE39>pq~ zLiiMV&b`gvMz&Ayohcw<{bGV%e2Y6n9ZKhI>3l??@1>LPp17Pb5Ny*HIvE1xyZE`y z^x$-24JOIFTXI_6?Y%V>ZqqEW{ZHh#;Z=3YXRb7wltWmB&*9?YV&`kIgQg>=?*GpX z2wT(ATgleFZtZX%F+m0YVLB|}Rk7wZH6qStUtH8W2}L6F$2A^6AB_3TKJ#&x?RSVS zO^<+3%^&^NyC!D)hF$sOx%|C;`(r@?F$2>|;B9xz`rURJO8uhq2ReK<_TCq-c$71R z@G|3OUy?6Tr($>Of*M+ zyQTDFU8iU2`yGonPHBr>T@z{Jjot&CyiTK9R~N5@)POB@gbqwG7er9)lQrOTfBM*{ z@9AhguH<5~h17o9+3VFL)Px?2)c&Ek>vPhGole_(WQq3ij|s6EAj(1MRKj8!nm)dd z2D6T(wVDH!j8p~RapiQxfe<-+r7GPBaI_!>Vg@C?8UBfq23hwl@`U2!3nU0a;2$QN z)?lj0N)(Qufn8!WpOL&G1vqMI#eq(8lum;dV@P5df3ex%B^v3$UN=tB$ls@0565}A z*Tf*C@h=2n(gZ=gbD;P*z_-yDkS z!Of9=zSSPhnckNPR%act{2_LecBqogvUGbg#aw=LAAqhILQiL-WxIQ$Qje3JX)EGr zT=m@rQ|ARxjC0r_Ks+RV=YxFvJW7xJicb2}BHLB0uUkFPE zQ_GBMzRF@VYAJL2IcXE@`dO^)nS8^lAS zDuiNu`D~m2aj)VJDL^z>^LnwKKV`pv>tlFNHJ&(F{()ESB@HqVAD(2{E1OxukVt4p zyEDVbB&mT3+~{D`ptVs}9nu^dDZxfQxtC#PS(*fIzCD~dW}m-EEI15-NTZ-8jK zr+-|W;U{Z;I&%v(q7;V=X<8L(=ob_2)E&?M@mXL47?NyqbMY5kp~_<%0Q>Y81Py9B z792%|iGQPJ(mo!nh9bmWX#sQqp=|48fxyp>c2uKh*G{;CO*41BK^%(zuaH-0fGT=l z#d}gDM}XE}lzy%6W3E^0)0}{(@=ZRh4*nlrDWukBrkua+cGzvvL8eMuv2gN@j}Ozr zZB5(dUw(tRxQFAVZ!AIOwhPNujM-@ZGFeQQ1l4-{#YyagqrSJPpLQKNye7*?-CX$= zR7D!>kJ`sk+U~AW-p^>-E>^eH+pp?pyat)5$#>JCL&}_UzVH7#2+!@~!jID|)~w*~ zmG9VYO#H#pF$AysO-Rcc2%6IcBMd~%Lc&1|rY1-yBBNanh`Y+7DC?S_eX3~y;oy|o zdb+U}T$1v&9DftjC{GM2+3N1UvlwGQ=|6EP;-vVJHiek5$++t5X zh!}emM4+pH_gzxGVa;c=dbFF%mAg(96|v=mbkEl6xr4RNu$1Iv%n->&edT=hIVc~( z{&`_$`@a;g|A10%TYE)3;(bS`iqPTmTik0Hg7jj!O%wzp9x1;Uv5*2r82|DhtLSLsKE<=j%~Az=|TSO<}*9IgUcmZ&IPA>d}|8yVZox~}o_#U%av zrldOwaogW(dk{@tWj+4WMyKLPw2i<+g>}y^^=C2GQKWCNnykKVH(vKIdCT% zYnH}}LCI{+!&pxD`EQa7pVkXxY;2ZA%s=)WT*?%Zs)N5fOZc|QKwo;KX@{BPw|(UF z=a_CnhUrKg4KZh(I@y&yztfzQs541HTithN-oIHHUlPU*6UyHIgNRl6NYZ!+-@g*8 zabNv9%Uvs77(oR@gvb$?)BmYuj`5rhj`>+9z_r=724g?v)T^&4cjTo6P%bis9JT{` zV?Q^A4oqW){iO{;RIh6>&&{V7uZ~;Sxy(!)uP%gr&uA$}-W&@ApH3YOdQ~($MEa;? zy%#C-g(qG|DvF?xqp3zKB4*L8GTL6`#G26GF3Zd{L@1MTK2m z^?K)de*8!kbvDP$@!f1+=5Kx4j%itn9K^?;oJP+|6S?h4X@f$&JzUTJnHJSuPT&X& zHz)7qmuAOWpRQS6h$@8AxU7<+7PrWsQmw-rt^F-&u!-oGN(`{BO%O+IU79ByWq4T~ z9mAHcgZX4~{o{e(Q&-sY`mc;fxZBg+zy6>gpIc@(+vk+g_i9CKi_V9i?!RSaWo4c( z$^Le@nT=qsH{{|K^gU_M@oK^na~^aNZP`tm+t{cv(BpG`Cn&1Nl)NhFnQBopS7SL! zA?%6`FeMEj7N^T6q5O*o$aJ@9Ra;wj+CshMf0-kW`&)@2y!2jgA#!-Ri!_EEvjYKk z1wXK&rh@L~|BeK#v3{)C$nP;fyy&RfaN2TA9W*Xmwo*zRKye~aU|cd8Ri?NbSjzWq z#m{*!48pyqQFi3c9^86OF7UE11vj~+XOM#2j`eo?7Xfm+k>A>snD6OO=o&VVCpx`y_)f?G-9OH-jYt=)-#?_w5W+sM z?mk&2H641}u3~?Dd?6AB7&r7j_t_t{?#HEl{5UPybIaC08Kd-Ig_a=)y7`Z;L3D^7G zE^wQ8uWeR>(iP<|UEQnJDA(0lTRpw#frkSdc-t-9M8@oF+-_-Ua$${j{PInh9d_gi zY~~uJ-Kfng1su(~Gx-+qy{KCgewSror~bq5w&y17z1N<~)7FiTpYKNY<9a?~re2T| zXz52LSsGHu`~u);Cf3}etLkV`-SNM|3|VF+tgkkt8yp9Y;tJg)qO3?e#P4EQ^;Ng*e8A;VXG3``3Nq zP)lcwiJ!9J*U{lV@y(aos`yrm!`Atu&$^Mvjl%wF`w zWK6!V(5pxLqu9hj0;$$0mN6D5{iO<-9&n&8W#B2tA}6V@)@NbYe8_sWz>pMV&G*61 z0s!bNQuaA{h@$57tv9X|C|LoHu_V%ifh)H{Zoz-YdXt9&Dp~qM!ko9ET6Qg_J57jw zE?dyK+RQxV3l{LE3?=3lL#8s~bB~77xcgTSN1qOkp`CNx{hd&wjw%GStQ`oc>nRw| zP@vyG74=%-zaE+?nOXiy7>EU#o_{zI?^i;f(pF! zS7Mn3w}T;x;s&xqzF^>IBKVh6Qc!p#0hoiotq>A1Ez-hqzQ^C<0k>Gb zr6%=~iOdmowC)+--62~nz4Q^=?byBd70Oh8MZ{g-uk~LewMxo^W;w7-yFhIJ-|{1U zt?O9pKh9?tIfJf7oZKx997czI2qhaJX1#6++yv5qzqojtww5Lw){-lQ$;PcSxZgU?Cq z_C`-$9w&f=d9R-;?GVl7NoR3?;g(aS>QHuj&Iksu;k0`B@%&o4FOw8(%lJDVGA=7` zbP*5r(Rk}U;jUmPj&t0ANW3-*28tE1y6_*tz^Ddyr_QTM2=P=ev_}?m?Y$ z{J6339J+hcGoIq6UsHNn2@`eltny_)Zgk$_@OiCjoRFHjENqAlCX47Z5g$ZAGRplW z)a$jM00H1bxDm@p*f90_WUk=5*U{#8g@W(7l->qJ23sAD`H!s5Px@)WiT$a92 zX^KxHX}&_zMfs~*ZSZv)ZA*1u_NYJRL6}1|Cp-+pwdv`@RsxdgSW4wBWfcyUR328> zIIpOyS*gON^E-|WXZyM!jn8dK;-Q>p8iD>(y`b{rIYeIT=~5gv`DlGfO4$-f6O zh$6WAFHZpgOf=qgJE&p5;?4_jc{m_Q(Z5R2!L)K*Hfy)J8E(2){DKzPhdX1bq*e(3 z*sbsjgzp?wX(+boeXA+tT>HHmHijRahxcaSC zlJB{0S!7}5&QymB(9K?8o+3lJI7++Iy<}ACXx7QWTQ@g9%PpwU`jR(f-~8`7 zrh|O+tM}xa;@rQ%yR|(`K|kDriW8U#bxZdd0`XQIRZ7iw))j?%UA{L9Sb z*u~S#@qTXZQN;WrqONX~@_M#K?gv86EU-^|fPq<(0Yb+ia}p%{$^ac(fDup$2P=-T zMHAWJ8XiG$0S?Cs<%+GGugXv&Mh={ZLL03YEIK%8E?D^n zRwg&Yf+pLK!$C)fN;;5(nEa5P8Ay5qcPwf@%SMZzN0L%r`I0DECye{k*JaML8!GU9 zCV?JOU%GcWOfL8mDf<>gP8F>f%?P_cM16AiH)N%2<3>uRjSJE1x-)TtP?-1pd7>yU z*2!ctv(h~++CVTih^FEx)*jk;iLVN&f{@x2(>ui*=MM=U1xz=96@w=`TQ}8X8at>j zgaMFLaJ2QeCLkWA<1M7+sYTK6JgQ+NAw@v~=QW8MC-Fy?vH9S08~=mAJ*Zv)=_CH% zNQ)u?y%1#a7b@HKzLUcIQi(>Kt%C};^;=KS?`kyVZJe-J|4E-{9Lxbo`gbK#{BiuC z@8j9}-YD!XO0P?5F(!ju*_GD)uUZE_0{e%<;<3{>xm)K+awNOs_hn_Kof7@~Lc zrHBHWAO5TEu)91MZS(u5c0#n&)$NTji;FSA7$*FF-4K5H{)_N!3)jqm<36kE|gU0ZP`dm*e=26qs{jrMj${YwKT@zu4IAZDBcU4;I5i&^)1 zVO0|1KREX^u`1-g3;ZppUOrC}nesbIGM0#1LOj*Ilf^ROfxy3H-(%k-eZ5zetX%XY zk)-_)N=lwzJwbyeP`>@=gl;m=M~OtWzd9?EDC`!6gQEJY$zg09i>xxkIwF)c6~{>3 zPPcZBytZB5g+K*44TY$Y;pl?)L?Pl(Y-Xe}Cku~2ml7S5TG!q1hPV1Wo~g_sUXAms zdyBf8a$2S-#I=SOuT+f7fDipgZsIt?sz6C?1yY$FEpFO_a+1Uv~ z6LNGALIXp?2k zt>&*EmuM+Q4%%>J@4U|ac7~6Sm&73<*4k~N7AzxsGhwj`XRE^BNLKz@r97PT^YL~h zF&7>jq?Cg#UMA?(p9Sc^*`wYFV z%=35NHhAZKfn8oI7&1d&e)uU^VQ|E2B{c=8ZD0+;HZlu}rMwCzq(HL3Xi&x)+BrhT zr|hpOt<81P?L(F-B;D3Gw81AO&jSuoQ7Qc)(1xMHiFc}+735>}JG$k_cpO;N_Zp4S z(D8pE=3{9$e7yciev`o^^gL$?Ml?tr@Jz2?@JFnKt4UP3sj6-|TZ0;*T|fh~mrn7` zlbjx3E>vo<^K<^FQmxY?1P{Q~vIdf#Scn5a!u&*`DD+hr#@`dkFv8PODih+KDRPLg z)-~N zhGs{f&2w9w>}9t`47kyGviy@LO9O*ZhY5MIKpY6Zvj5w6@~rFMDYE-4BE9V=wk!2Q z%6u93!)J)HH`*;R+E|}}{_5E$?mMdml8S%Co!Qy{iXX!9vBxAACNb}p&;Ov4OuQ)5 ze=0W@dd_Iu^gg+?wg-7Xo7B)B+G!;+&hK2ccs3X&a6At<6{15K$-N!^Xxmm>yo2dx?l6Q{7@x9&X~<@ywwYg_W(DCc^2~9BTo#XW{MJLO zKbE6%_`Vy(XPw-1%KpdgpKJ)U>LcrBZujlu|Iuh6Wg4At%RK6}vhxF&6FYAReT zz{#igGWBJ${ZQEUJwl`6Y21&;WfdC$@Vl+!_kC77@#OE=2s~_afzZ*q-W0>?XwUfi zU!iBJ`@(*CpVUy_3*Dz`t}ajKVOdJnH3TNP(`g*c(c2s1z*@TIX_k`nFWxjtBTe|t zIy+IssY~hKXb&dma6^F_j^qJjr)sr=DYAmo03<%19wx)??(K`PvEVPXCiqFiap%DU-%JDc9vP}u*9&dAy?o=zb@ zGE3x7E`sW>zE#-nQdOs@2@L4rv8g}Q!hricgdC_xDClS~G-Q5JMj*$V_5@BiM9aae zOe&kVaeW(;^Pc4XWirg9ZUm!nA$8$A|Bq^zEm?+;&&9&Y$!YXAqc{gdZZ0gGGkKUZ zuA)xpdBUqS42CkeDH^x)ol19Dm(q9RYE$}zO2mgK8tdfM)(Y^4Be%X6c)#7oq?XtH z!O!M!qQ)F4A@pL8#>B+bT2CXziyQYB$ zUk+keAtt682AS!#Q(Z}OC@9T}2y)?l$C*VDb^JGU@rs7lgVfT2l8>9+FXg;08Nl}>`wK4^Mo+E>(02UC*bEMr>;_y%6P644qru zjz79W?k2bC>w0%n3=GLI<>a!t*!dXne_X+8W;gNP%4xwRNmSskHdvC8SacEc!I7M_ zGRjSfFw-1Ge*jRLfQ&IG^;c5$^qPlbh#fZhTjjyi`HblP<1$1y2tp#qU)*%+Gh-~$ zwiWQ%dc1aOp*|E3Sg$v9FKIHK>|w9N{uER~q!wVK5%!U;QzgL4=jM9qBB>F!tK31f zWJQ}iLO-*xLJ<@ifLm5+giAi=v+e`w8^%nOPY~LE5ewJ*944!b_Uzq?7hfbwd+I?) z^rL@j9t4S=(IDf02f;$7EK!BIX%DX#rJ{prI;apd^y75he0E?Ho-MNL%WPE7r0-=Z z_ZpA;i<%Gr!l7ib74hp>1V2$aj(=XZDtqj@T6Vb*`M4!JYaEy>1=eHaJKlf`f-jA^!{ax8}9_jEr{## zTm%ORX1(O_HaGtn9t-a_`id^ChZTr!xRYa<#Zn1m^5!Dv)A$=co(smY*Os{bO#P+a zs3jbTk)|PfnSmYU#58Ap=ejN9q{(K$mGMRiNqQ0JBO{^z`ZT$MFHbPX7B8sL6_z|1 zVgYeeVnGG&@(k?ntTb3R>Ni#@9r3tZxVX6dqikBQ^-%d3l(*&{`r~^xRg*ZdJTM?t zp^gVi(~=wGgM|f`9GjWe`^Oe&^&>(DV$h>w29!%c4N#fI$rWwl(Mr@D9wsjgif|=M zkS!+iApWj|+UMD$qo~0E&`6Z3mQ7n^`dPpNT3cK5X;zx-i2?4}ix6AW^GwSYkBst< zAsh4>)v7)vbN#%6>Z$m4gI3Mge~Uy+i+C+IJwKxlEdVUYfvuWV>i_1SLLxjXT_L5h zjKjp!Oaa1=ZBItc=HkVT1F)3x*r-u);gbOJT?r)Wbs3=jBWzn#BX>bMZ&G@TD1CpF zOP@Nc3C3;GWe1itp`?*bZI-oF=y3EF9SLIO`7$(< zK1oB-SsQ_s_^V7C2diqO5MgT3qb3eoBZ3FCk-{yO{aF%rc}y74X@3_c2AQzIfs-1P z=~9@MJMl3Tb8ug}5riC0Dw`k@z6JvD+(~9FoFw3 z(d3b{GonPJ3B~UJ@CmCP>`tN=I4%Vf@ z=IN{oy@Ldj%!f{xG+wl}#y5YT*=UsY^lB9VNRh9(jNdc+o+;H#Lmcp0!D$lwLRRBk ze?BME?XOn12!)@n>qGX7DE6(6Lt{o~{Wa`_rE9w{3WV+lCJnl^NuQvWzN1|TIytp} zuCoK}7a^wW!dN5%*^6-_V-C$**>$Wdp~aQcDOjy&&|#r!j2NK}XI`&#T^jxC*T^Dn z%9k-vp`-!g{3Eh0*(ZE1A3kAA)4qdW;zwO=$N%LDFMiS^97T#6b!H!#W*7E2 z(hIUijb$blVkuKnJGmQW?w~MBO=oob>1Kko{50a!NuDFKpOSK-O-^ynISs&20*I0X z0%FS#4$UOt;j1@m$aAiONXAU-GLY88P>FQXb8dM4P(eFEh??QB4Eb^SELL+6xGz*L zhqGKT)Nz~RwD+$|*-0k2i;t!F0H&@shaV|}OP3-k8c3&-=R_}u)!E~ZtvDbE+WP)l z%REF7EQmdL|3=KeW7TRxp<=r#6@|`>`+(wr`z7e`-y|uSkqE2IkyeM)B9h)G?-h->wEvJJHo7Pi{!IU(S2me zt;fTB_+e~h1{JT%<_bL=D)wPbLMdkPy4oKQ&y++c#MJd?xfwIn)Yk7`P2VWw ztQ>c*3N|!L=|fU4Lr*EcvVYqpCDRp-YxJ%PuBx7W8D2Mz--f7flUubqa`@R=@s=L^ zPNmEjmOGi6bR&c>CnYs5=qJGeOq27s(DBTM1#j&QH~_omtAVU1U2|WZ&Vn1Hk9)Yn z{vVVjaq1y4m7(%vIR2AJi&ol=eCUfIguE4bqSO0evB=)!9Zx%r^w*kG^sId36r zf4%!cSdd|8RO#lE@{J$EK)T(W0Y~+&75_)A>pCTX1U9z#hwJM6UnFPL)0G7Ms`=sr znjCy|4oBSxxpln6`s#Wq;0Tr4mPkzm)O0=!G*HeT`nO0lOZZoDGeeVZbc`h$3^VW{!vl_wU# z!aQOy*WLQJfAEgV#&{FE>Y+0n*b_ilsomWL4S{K~ky zP_SGaI>l<-8m0eU+elSDK+LsJrFS#^%l8!GF0J?}!D#h8N_(!mTKm-= z4V*;fGmgZ>Yba}SH-bOiR`7=ALGQ zF;%>O?00Wsm>G@4BiL_Wbba2(Ts%H{;!|;%JtMy|jM|;|Rv!=YXFc;I9MXz9vH`ej;aNG9A?6u7qIE2BW4088fV`GQ~kaTz} zjZia0X+a_zLcd)H_2J8RYtpeMGFNCW&(5}4>=yOqEl91zC(G{VuuUzyc{RKf$yz;0yr|f8r7%g2;$3l=hu{+asDbssNSI0sj zOJ9Wn$KJw*MgBySpWJACQULtC%cpuGAH@%$P?$ugud57sGbhd(;l_32ZU>Rc;lf~%KgFETgy;+r_uGS z258=S&e%uBs6W*@B;OvJs{csIiPXE8JBOrH%V6KY9(* zV7n?5#9t$h?6CbVAr8iZmMP@`m?J|qEQBAuymxOI$ja71W+_=QM*-sfanfM)VHSDD z`EHReNFcd4s&@HjczSY`(Cy31;Ye(HP{Gt~7k51)M8$7e0 zQe@&9k?6Px;$RL8lIvYX5w#OXUTCrK)N$Bcr~r}AEPx*^Vh6U%7O;D3XzklQecyGM z+fHj68ylTkUUl>s`dI20gg>4p!Aalujf1Ij+%`O39xf34H<0WoE9()hN9(j-vnMeO zsi;te8?6`bH9D`8AqtjB&P83llVt6=e4K`o0R4tX{Z4`IZZzCSz|_2Um(wy5Lp_wi z;nz-UjXb8T%(Uo~Ys4Nn8!uYtfPmwfX@ZN z3%~65(R+C^uveP6Ketkm$FDeUi9AH!6uCbn}#m(&}q0Hk%*b>mk(L-PuxV;9hCEa_Q44WWdAxwHbm{ zfC(bsRl)3L+!K{7MX`W|iL<6{K#o%Zc@|0hEz|=dCME{`vAUZGB1aRvcJa|G+i)Bl z93%*ml{Y+MRq&5L5*F)*xY4SrV$1a%_B$TdKaMnhtUE&}a<@a7HG49K&CNCYaMjvz z6)G-49d15ud=~=@??bPcNP|n!ex}cX2lM8PkCq>gQ&sw}0>{9?Y~p*XVIIu;JnByA zuXa~6lp(b*>%{9qJX4!-RkBo=B83fe=}Bg)j1=%Zv8W;LnQRN~32P}t9?cJB!I6dfBX)}zNQL(UfC1<{Ux6%P z0y~*SgwPmq@D-yR1S?Hqn*Y2d(`IJSLii|dgR8n_o`0$P0tgZ z4VOnL{Ys7h-Dc#&`_AtuG8~K)m3cLVhr7!)m*t}=Ye|z!k~NqfX3uIc{4M+kH3Fan z2xs6Q2C|Bo?1+Te}_=RM_#kG8@d^-~cK=QtL>? zwv+(6xG3@{^uOkHXVDJeF=(X!&dS8gL6k8KVuu!qj~ia=#zsHHdKfL_rQ1hR2qgmp<${0# zaR*~d`3d9(=|eqiQl5F&aOQzfpDpAw0lYM4rAlnG@MZwkKp8khv5GiI;v3CM!LLU+ zs#=yY+N8!T#9pT(dDct`JuV4PPtW@*ubeG2Zxe<|iC!!+{fW)HlOa#-6G`(xcVqrM z(q;_zyq>I9)eKA4N*{y4M#4l93lJ)_Sb|dgra81&lGfzU-M{X+EH_UTIGCi*Db4!=VPXQ{O8`?EFxvy5zEJ#_~_Vb_+ll=0x(`wP3uS5ld@`-N zh>cYw5LzM*m7`M{S=44R8-vNdy#m2aj6RYNmm_{1fB8a~_*f=IGSTxbx@LGUUP|&} zM_?`Lpub7M1VZdp%tTOD^RM=JEKtUSoafF!so%tNMVD6=s*<1Ay8NCO(bj}HpQ-Z# zDR#_r{Em|s*PYHOnQ?jQWi7G)7RUU$rN@QtE>kOqxcu~)QvB#~X(w9Hcj6_9a0v;2 z%7)bRwIxKPW<0>qN*Qm-0%~o0M8Qc>0$a$OtkPT-`zev4JmT6Ok&auL)`Vn8Q0UUk z;SAsKsnenNA~i^<$y{vcfqgJ95A;L_%%-&S|PNF6^O@ANLrR|g`Q(!$80UFWJ4Q3q0hh+`}piGeC@c^D>cG!XuC z@o*Ft&1b@b$OFQhp~Awl2AIz*u;}8_)Ivh;SOBJFhpQOwjb?~~ly<)qnV234xgR2I z)$W2AESlD?pCGb|^R|o5=YQp0FK6~J-LBkUt-tg}5(uLA@?-?{gv6`#GPzy4F zQpeUZ%wcq#H98T1ggESL)25VCI*4gXr)!cmg2YLer=`bpL*51Yko);4m^(s51NPLQ z$5qIh!F>r+yLKzYJyg+elQmbZqSJam`QqH`AHUe!+rASoPSkut@c-)pFr%MJHwAQ~ z4?3o%wX<2z`)9*mP_6Ap8b$eW_&Io-wze$jmQyE_$3^IpB{%@?0@*8mTSt&52bF+F zlBE5eLbwp4Ms=j}$NKcV2bD;b>d|hfI+lZ(bSV%RPujb5H1)Vwl2vx&!fQZe%!fQu z3g1>xjMNP;rDZhe9A;}?O72hpN%SY9ty^jwI*bCng6CTec{)}R4NZp*;S zO=7;gLu+hk0NMd5uy&@l`^>BKNSh7(nYssqT71Ibb%k>r#8vd(jvlcR^1fR#NgIQp zx#ieWMgQ`0Cw)S$8%?p?|MK_AhjOP?c>Dl5=s;*w>-vEO_KOwG^vI97x?s2aX;xSb2x)J!!# z9@+d=@?ZPL2<}z&!ORy$mZndj+C>(jtqisV&H};LSe=JK#C}KIUATm}h=Iz+#-XfS zf>|gO(tm>uF_cs}BHb&f%PlDY0CE&SB%o!BV7+%Nav-Zx9)*Gv$Q92_{4WQ&uofAn z0m89n?&WjbtsYVo$PyxJ2*qi8Uh53yqTs7>N{FWAnsy$?dOB<1jYtF0S zoe|zBL0uZbDsL9Q{#oeCxk6qjvf|w~Rq5sFWlm1k_w6pP%>bAeC<7lvoMIC94P`?) z_n!BKqL+TLK4Rb zkcE;AnFg#3eJoNW=rauj7n~bAB!@|YY|5crsEVwm;>?agTlp)EfaG($x^^O0@KpLA ziX^VBV+N5rosF0-3D#;4MJOR|ix8Az{|O)067(7h?wGKIKwKz?j^uKx~bsA=wVZ z04FPp1o$r`7DPk@4KSo^e+9C=zcBlvJKC%ul|=@p1EBaa2q(~?+C^d}pHXPpaWR!K zj7zX$tSFv1v=;q!lh)GI-^e06V#iw4|@FRn-S4!ZH${B5H9u+TQ>>1pFBUp(P*apAm|3YlYx_%Ug;VZh4W^M36c;VNCB+~JN zVwApABH<({gW3)FOIZoDY5M*BK1CZYtz|Y%HllLtb1vn(#zdK-ks^%IiGy9&{Eb@U zQuk3ydMFVn2mbETuA|<9>O@%ajQ9B8Gqja>?bAs+% zG~~R)uJkFCXpxt%=rQrQ50&+K2CM!5sNkTtJf*~&%N=|KwBVKEx zJ-NdKC{>gY4zhrqT^O1CMeMm7lQKE!i)ymHh-O zvrD0bcv+c~xWA}_il%P3)Jr(4`u?T}2;T4rCT<2VTr*@46N562eIgjW7d_DVoaVRd z_vhB5Lsmnxs;*j0fNx7B3u}TRm0aW&r%6937m5bG*-TDjOsM?W@U9&ffS@EpOBsAk~cTT!Z0Xjl6!a@E@3K#oR>gd=FyA@Ee3$s4nW4fxuG(b z3n#e@BH2QYH!l2!B|Rg^eRWVy(B*Qz@g4B$N$~!Xv#GT>)qb8yFHr4mu&6SNNnUEQ6Jzgf%#_0Kvf*B`sWK)9eR#KHmXzIS@f_G|bp6W6&@nOZ=DEe@w&%g*IH5cS*Zn6bGb_>2ozxrsk}rSDhQoQE8n&j}mz9n#RlIK9-a zlivF&Z?i3Njz=~Q8z1JQt9Yk!Bgmp1Zw}{P4`^pR2^Y38`!d@@Ke`YBLN`G{tU4e9 zG?Wze2a1n(lyWBB3|5}j0AKILTMggouHvpg_3TyIFz?CqA$Ol{g6wtjIDDR85Tfk% z8O)*#HH^WOceE-pJ{Ez=w`F5vHvzVp zgqm5$D}uZxbNxqX0A_nFe98Zqc~^h!I*7+9A>)VHd+A({)2(%91;Cy^F7>;YNth#T zFI_f|XSJW~`{33Q&e1feM-r|G*ZW-rqz(|0(|*UaBoQ}>Fj>DN zb^LYBteuJIJf5kNZyPGEH|8DCbu=UE%5MwkmiRL=^Xn6}HrIV)BWg5Sk_jo(XMl*^ z(S(A##H5ku0`vI91R}km7I4L^UlbQBikD;(OB-0cm)_fL(wmQ7e*86rQTbRv91t^% z4$Ha|JvxeIw!7dDXJ`vEC=2d z&$DzC2KsPw1e2i95GVnESFV%6X2a4VXM7UD3P(>tfq8O|CT&97kO zpo!BMTh!3g$STv2?@-*b}D#5CDN+1skx=( zhGo-KM<_Tu7%a|KSl|o+`_WH3Gj5W_}7?#!P~bWJ>64ahG}(##?!1`Lv<`2=lA z2riPLr4<8IhLb1{M%3E^q+y_dhN+FFKm`j6sV6!3Rst+mvoX13?F2{Tqv4*?zq$g0 zG=VDsATCQR4aiA6QE6<}p%?KG5fmt@47q7F5UjTBD4U#Eu;EqQlp=RixF z)X(#!7urloJUfyuj}ChrGLLx_$n^*KJ5oS{vCxpD4B^`5wXL-}9s){wk#xg*#Eah* zGwtOxNpsZ>5_VY&Wz6&p)3-%$Ss~GAsituhMSI(Nv%=%Q^u5MCf+T<0_*y9zRkd`I zLSi8llRCUhRpqGg2jK-{^b4DFlhyO5)mLHy6o335&}8 zASvnoSnEW>;8?v3NCp79h{F6{UYdNb?rnc#rpQ41+v-5<+H}wS=l@u6U;|ECZ#Ly9 zK1bI)k;gb^kDJktoQT_fO~;`IBO_sJ6(%bSS;Y?11ZL%X=wd>D#+ZorE-0;ZlGOMk zJLsSX~4Y=$AuaBy>cH|FpONRlawbG8Ew5;VqkqkEKi&r}qcOEdBR+|1e} z#N~+&r(ekKcz>mjtBHt*B9(h2lpS>tiu4*`CY_j=_~AUx8HK~R0+Ce_H%FiG2I@zy ziF6!;ql~z^Zmw!l$EyYEj@Od!M)@&w-rv6tvLtd;^EP>`Zl882%2Vwc@qO{WUYLj2 z_tWS@x;6x$04bH$-iI-=3i_GPCm&0Oze5KbL4jXP`lDW^*v{vGqw-fHa=udgxP-kzfr*OlyUCp=x9$%_2 zqL%aRE4;5PQS`k&(x&rXmADtR7WL*_=a3c-)1t8f5KEFNbhl{sTk!t+c1mkdCmgD$6V}Mta@sKh# zir5eF;0r(5tUCIv)cNHW*X4ED_sjHi7%#tSGGq(cuJ5de{Td_UBZI0(Zb%Bmr{Y#K z2&tO1QP3qNiKjBq4#HG?39wK96qG>+YX(xLt>pY-p}EG`TJ(n#b2btoTQxluy?25o zSgX=z^$*I;B~&~kq3g`VbO9#aUWie5+&q}TpFqUNSj>CxO0UY?GRKAz;l(M6KewUb z$pW(K&skYpSXfE2B%6tz&GzxyiE!6(E;r`c#nh@!YD&F~tn1YIWW^U42}$TM(wr$(Zyi`mkqEt%n9*Cs6hGf zs3V2tX{!~8Sc^leV)LK?IyrrVbc)U&q zMLj$)v_Fr$dSNHx0m(CfZVO!b-$j`!pR!WmKmU;?GY%N85-7r*#E|-6LoTOQs7vU=vY&p2J11@j# z{B2S!SyU7i(cA~`25&OEe5f2l2CpjfU2kJL(fZ+p@pn|djQBighMs9vR=7K zgNSg^=mGVpV2VnZ%?EEqnE{Z~AUHt|IE7w@j?pj^Y6%L(2sLDj4{wFArpajM^Y@D{ z+@HDi-9eC}`$yY4HA|DEb_u?qBuXu*wLrUH6D(TBlp*%u!E*&9B* z(bLm|EDj*g<|Uq7GCdhf<={sYq#sVAR{5x!tzh&OP=$_Wqx*8qvG51 zaYPA0?J4c3fsZkmyUS%-Uc>eMwWh|++b-;c9^k<6Ufopb^AvjDX=ZDX;Ro~@QIOGlTqulTXu-U$D4(OG+lX|JhwO;KI)+A|gW!cTw+ zg+RUTw$XgcTy@s@a2(L0XR`h1-ORj7ey9|_ zU_|rCV;f+{C<;_6-W{~%W+2MC-$C`;mG$g&-ppO_2L|rG{+^rHTFhR3?f8{>qu5mC z>oSydSbpU4@sE8K73B-NG+vHM>~4bx?HwPy5# z5CsG3Gf_$jA!cKPoH3XF>wE`VQv=nA9jZLJ4r1g$Zd;3l6t56Fqp2n;47Lnoa!-iy zGUS_LDkbydvRi%#0&tA(-z@8L#X*r;?FC1J*pa`o zU7E7mnT$DAw;3xHO7k@0g9=C5+%Epv>2EJ_eZ8C#>q-L=R#+d!6cZ#fPfnO6|DfYp zeZ8HS&RbUA&~X~C74P*pjgS|ENtBm&S^0PWtZMY}9`vK7zoPljQ^STQjQxFXL662; zGHN>_f>KbHi@};oNmEUr=HD?jjF_X>r#O9iAKcukB1b@O12nt9M6+A?4E_!+-IyN!fOITuFf$E363IG!FuNYp;x$NjlJ<=P;9flHRLo zC`79Gvmv@?cDYM#GU97D3$j?MNN(ZWjJXBLZg@HkS+M~aF?r6B5Vr;QTRj=ol*nKb zqL_RndG&BQl;!8lt8noGX=Cg~qv0fV8De_tottn4XA&(A_d`8(zE3NH=joHxJf#Yv z-RPW_NpyDnU{S~Qvh1IuUQoHkU~Fyeu)&*9mK0`v^_(ggz-*+wuT`-+mZKSvLoIUD zK*8tz5jReO$P9Z$v0>}G%AFCJ`rYw?7+@$18Jf+YTUwUy{P4i%yLGy78`}h($SQP0 z&QNolGa-Q@MWfv^av?q_Gch3VI#X!&Mu72)5 zR+IOW6S(2x1(+KVY)M1gKsAVKEC*y2;_7sq{kHg13tM3^eZ}kd`SShVX4j1xwaZAh zExzy-x8ME6rYA|3CDYzDHYg{%W+MI|D)<{WzYsfyBrPSl{UF^MqD(k%-i-x5iHhfc z1SG60S@k%oDb|vjo}Z6?44s8_J$K&Gw~S&+l(y zhm|Ak?;-0N752|}2NC!1FKOwjK*+V)GP1aRJXdS09=-HCY}DMG9A<2#(v&KLereBa z90~j}wKC{EvvW=VH+H2ZJfosBhr!WbfLVx1+u%Gd-VnzFZ zmSTQGLl>K5z43NBSv#s=4&;HymD$A>@c^=^TwO%~gMOU$m$U3js){nb$v!0}HTrEi zfN2T#C;hUkAif zT1#VdeVvP|5IF$p)D;uTN*q2IHkv#N*0aShKbD7cD3#hK_J1+;RY7qDSd~dpS(($Y8}3$+#_MiY8uq%_r=zpPNrwWlP@j&NsrL(I%6=m zT5b5~U7_>VtT}z#^Lt=0==Ak%^8(L6@pOeG_U;JEtSI z{Ze9wFh8fP$Sc)N2B-vbQ!_m1{d=4n`GKSj?Y1kxw2+xK!ORVf>BczkNvlp>9pqw^ z&0;o!2Yf5uKk#-L!9i2!SUZT6RPT`;#`qaeYMBj4Tl2eri@=hWASXo?Qwv37A3$|2 z!bZZKg~U^aZb+QN58}otfme|g0@+cE1m;S~!s4@|CR$`WjWa`1rif38fb!YnskkM% z=WZ@Be~{#t5vWtB#Y8~L$Vq%6{nkchA}o&q1t|PXu0T{=z(PCY*>)E6fT|R>=P(pn z62mg&)&ejfd%fU{tA5O&g|mt$HXE}RBqT)Uy?+bEs}MxX8}%9pNhM^yUAd8p#c*65E1tbKL0O6~)F&a}|!zp2zg$|;F6BL#)D>oCKvcjWIl zs1QUurVJ#}{?VeEPhwp_``0i!Yw_*;l$y4FcfjSH>6r-ZrgP zIJ%usr>Ozv&Yf7kWEF5d@zC5+qjhF!zp6AHR{MGc3$uKZk|njU3@m=58Y`wA$wBxY z^AN5Wp#NpJHQ#(RNtRp-)?@C-TinX_4F6Q<_95V9|H;$J-Thh9!`IT+Y+IlB`OhC| zLzabbYw_OJlXkf@#|~P1e}2Lm@kALk|O`Y7n}cnBKztB%MAj4SKPo8q4<+RHhVDCjI$FFv{O%RuGrq6 zHcTs0po3rRXE}h;1-J&ufi(m3U-F$zOiT=L`H=^gM>Qudt8BUo9aumIBXloK+wN?x zxxVdrp}HfX#Bd@nj;>jKci-LDU&vQd%U@aEwuzs2*GxE)D$wLA!+W27Buxl`2EJy- zFEo}=dx^FzQparlO>ax=JdLIT9+$d8f{Joe|I8%w>6RU~W(BKXz8ymsay;fYE9|H9 zLqZ<_++?gU20jJ|0Dr3+_@h~a3vflH3SGdTUmIZjP;AM*d389#2H_jg5O%qGlL@gs zo1@wg1^_iZt!F!1e{kG9B`yMn);t=SdCziMD!MyXGA5qL=ex}>pD;?OzLmE)7hg8C z5I;S`P5F+7RmYuW)_{eG|7C&kAJwC_c*t(*E26YH_KYH|;Fsxs&yCJ2>S7#(!;t{d zs)XiN8^>4WzML00!&I(yhhoxtq~EsaY+y43Q5D4g1k>1sj~UT7yN-^fjPrK(Hpv^W zQ0>HWOAsm1_4YDR2g~fUeV+auwURyeIUXpZo8G49nah;W+j?RAPTnfgqNH@AYW&0sr?K{BeH7u(HETuAei)cIN~ z%SJA5R~M8h60|+AHbf<09Vr`xt9EBtL8&SX`V<8{Zl8Tb-Sr5=-{(kSGJ6TQg&ImH68lS~x=Lv-5IJ&-BfB zuL}L7q^L_G)jnpS^x(QbF+gcDoeNqzJ#^_lQ%T7kiEljKivLXBp%^MHY5j%wOPow1 zIxHW(pSH~lR7N`Qe*diQ=cnp}@)?r6b-$jiD{`*(!sqaI9@~!lVuJYnI#DWAq*w^f3_i?6)sdLPA!sp!Y56a%r$ugOxk2`UyEb9=rsXQq;GJgIKC7qu0Ehz_9|(%Nm;;-G?pMTI=&WtYunL+-vP$siR$__r8rAX<@JB+(rl!T$sF z^e>v+R7b)@JK9B2hc5b*J2Kv}`-+&=EZSwN%}6@iB{n#O$}F12_)oL?;`9Mk?Uy!d zK%a)53idY;nO@`gD;^h0zb_{t5GtZ97ej_Tku-FM7V(W)4Ymc*I6*?ixtJYQOcXYl z0Fg&k*#`pV03ZAhCZT~Tuh}Bd@@S|=5`%eJ3B(lS5<0=iXzWl(MUnF1u#$_#It1#j z5dis1o2gqemWc`sWQB=12&7|^eZmLLJEf_ttC(FU+jNmFivdYuiUzM_?JUi*S57j~ zXhB5{+nCDKdOD$?+wxg}HB**7-gS7GdfrX3{Pfva%W!%7H+m{eD6FL4ZnUAr)pO8(+m=c9chh#DlDN;B8a zzOgJT+JSYjod|3OIJjQZ3|soypB@iS6LT#>Ah-~xlN#EtrvzT4!W-(euItCAPpm2t zn%wo3PVKrjiv1{ zU!p*#Q3cjBybshy@;v8%#`U0X=)11@{oSAg5&$F1Ns2fIJ5ia)^#6d^?%WnG^qd#9 zsW<~UiKEtp=*R)`;sZsKDBim2zNwV{q^317nbGt|yEr^qzo9vHfWOP3nZxu>sdd?K z!F#`+ck0*S=wF$OgSeP6S~I~Ml-StRPxg;PICq@Ox^Wk|cp2B|ij>-ykc&%39_*_k zWehHYEjWl!g(_0eCetI6u274Kb?^T&&#$z%?(Sym>Q5?lYmT2!&%TSv0H{Hc4n4-B za*S5vDgEQqR{Xp=-di~Cdix=a(;9ZR+;;eOGi_Ut z=JKCyn7+6Gf5W*ELytkqK*YvoLYr^jOo{@2nJZs}Mft$t<0GG~Va1i=VdOhA%x?## zV$AE%5CPwIjXs6w#;V}L=9)X#qVC_ck=(93_uZM1bd|OUny*>R)o}pxAXr($IC+aG z2~5eZAHSz@KpGn%)S}hWQjjXpCX8-nY6B1uzhuLMV4)1u$Em`lN0O28SceejqeGC9 zCf}GZ`OAU8+nK+9I@kFLk+Xg8p%D3+__%~AzsEjNHlSl`!TNl=na@wQ%jv@Jh2wVh zBuVbh#3>dGv?(DB?}*eD_Zg;_bq1v@kmEZ-&f?DJrh2p6lx-IOH=Cl~ND4 z5yi*5w}+VMXh>lxn|bm${_s`9rTHZ;Zm;d$8s^(6osApuImXnthH0&HIDd=DNj&F$?pWjWY!>_9zIFtd($0weuB?9nK$ta0IUkP=4VOgn-Y&tLCs=Y@JQ~*- ze6)&pLLy{QIbwx~=HcNH|Cfz+M1qLOm=0^4!ASu$CaTB`20BrN8V4HXBNmexMY~{x z%><%)MM~baxnPQA4iv_xN308zk3*6~3Rm@?ZufH6F1WEyd8V9*WQqd8U@l==#)=d^ z2LJ11zI@8(s(PY>UPy7XXr(w5=v;ygWM2a+)dc)G9Xhf^c`u5)DwUsOX@<^ide83%b@&&Q(~M=?tFJieYI&7btF2B3#RHPp2#bY#6)A35@-+)jzY z6O5j&m_Mm64#Nj>@AQ7PQBaR1kz8!Rx|D06g#-=Lh+<3z8Ac$IUsjG4_C0ND7Hte^ zVZD+;4rw!TApk)&Uq;``1ylNai3u{t6qH0IB_v8B^q)_CTs=MO1^p~c z$x_p3vEuNu6AD(!Z@bCG2#9+Ed|eOKN-v|<0zCH?nVRt=L&U5n!B{p;rDWdi1%;3v zE2`N}uDo?y=ZG1xq0LrRRh2g7n%|HHYf)}WreFPBlWfWp71kKFv8joO*SDy)mI*tG zW)4jF;-gwvx-{EWYd3szH2PW*e`Px64LZ#*#%$4hj#KJaz; z(}xE<+A{bdq;*{7?~x%Vtljn~0qcg~*}0Dd2^0Iba=@rMaA7dIW;4^mnMYQ?PS_N*Vw zIVe-Q>eIOXr#3#{sW!S3=BRu8wlMh?&(qh$aFw~_+FQzvG+X{J`?pyn# zQA-xcNNL!agkK8wWh6LGEB}X6t%{W3Krk(kj)AWV6TTwCQtit=e`4_jxPVl@-(SQ`2A@qc?1%Cx2fnN8gXaq>%F9ssn7$ zJ|Dj;Zd*64Jau#M43~+c#L;X`(NfMqe&vY4w>15t`@~6-IYW z{<3G#r^yz(X|c9S|Ea^}f{ua$_bL;qQZR^VlPPsCaLUSsM!?dLf_W(P{)HbAt#g4R zxI4mNvi3>dOIngPgPWWCy`h6R)mV#u-?bOl9IWSmiaI7prVy_7%W;jy$(w!Nn9Y%p zXI0B&H#OuA0F3)9~?4-9D9k04D89W z>&0r5E+hyVzWXEv!szJV;06Ojv0lEG`%WtVSz~c{AOBXZQq=s?ExS&CinoNrb>+uh zo^IYII{4nChbGx>kpPVZ7{dtj*lP<&eW^eXC&SN{gs#3z1H*$2KNJnvjk-=-_=;`u1I-gjovuyVb&J)GdY_$xV{zH?wKuNlUnr{BfLOK*WW0)GnWifn zn^~1f6hx*p%_v!zkN8^igjs!Y8zl&8SDwyJ+k#&(Wg4fEiUx};?$hV|f8S^u)uur@ zcxhdSRP&8yxY?~Z%Ek`IUa04h9@hK``25~RHy9{ZO}(|!G&l@8yD#tgV&8Ribs>y~ zV~KFU&ot}OoMwluZq*>eG(G3ha3q56n==Qn$?+rTf+SXU{xRAC|8zEI{=p$*z17FU z$;X%VfH*`JDU}HpFd~q~8eSbMrU+K^6^YCIn6(b7v-|FnR_&lS3l`*E-FRUf4j2-b|6K7nPAc(l+ zfUhe1<*RfOK^2cdR&VksX$5qK&LVVxD?3lXOQyN~&ox$TKrg^)t&q?17(Lhp79f5; z&i!~knk8Ve`51zme%@vnCthJucijuN()luqLf10S_U`&$r|^Gtss-4?`9@zCopSS_ z<~t#osw{G<{*h5P8S6A#+Z=JSQ~LPuI)!S~Xu*Es$9~RdYHYuq8_y)j%=7fVakOuS zua;Bl5p~R!UtB9;;b*V6+zNUQf1Zjh>7xm#5`q&ynKoG4oa4`3ghNJ}uqf56IqW`t zb!k3b4RD-pHXolp)oW&5O#iz#H!@3(!tcjU{8(-#fYI+JV3f~nOY*1QZg@MtD?{G7 zfW6Lgiv*`6S(dgsc}^#bN@_?%s@cCi)5x?qGe+7v+{g)8h1BYwk-WlGkqJvy#vJ;f z@KiDaV5j#Jv56T5u(HQ%t{xNoL_~_{I)ND*%VJZJql5M{m}eswCYVJHm4tSDZt&HW zQ79{7MIKj?o)XK-B9IDJo))YNZFu_Em37b4o;+!Bf}a&gil&uXZ5DwjKmJ1)HJmWP zWhiX0lzRB0^F%X|U>v6@1;}0R{&-#1CwjZxe%x(5$(>!ZqhcuOXHzmH>Jk#jn%qY~ ze~{NBRJ5*i~BBcq&*!3C@%C1x!ZFOvsRM$4Z|PX6qAeJd&;{b z4^SB6hKc(7+D5-G+z1YxE#2=0rR8K2a;xJN?r_h~&qF~$MQG8c#1iscA8Yn_Z?wCu zf#E{23c|Y#zr_QiJ`bmIGjwAM703}xq{pqLn#)^84XOl|Awm#UGXyv2)!Al-Q>EvO zV#!g4TdhdixD=X46#5M$C7G6f=Kqp{4Eh4;x3V4r2S37$IA1S6|5b;knA)T}5uVz_OLbJFVtv z#*p-gYvL*dERS@eG+%yZxshVNpG4q@?uDLrKr zag_uk5U9;rE03K~pb!n9atX5gC6w4P#JRHB4GFA!TEBRl6P((`SO?ePIT&^7T`%Zy zxx@mO#4W_nbJNe*9>0!6{ME|m37Lg3uTn-#5ckK=!ryj*<}*6=dA>xq8&P%rFR08dgO3K^XNJmVg1PSe;mNe|VK^-CHHSTk|{E^w~ z(T46AZNShq7W{mM+qj=tA)nL>wPhQ`T{I?1q4Z+Jud#k9K(I7G+}r=U-SN}+2xDBU z-N?|RR7+mo)2J!^I`d)D^0IVdj%o@vw!mxv4LZo0?@Eezt-FfNZ_maXkG{E2eK@2J+B+}E?+kz@7)ZyV9t=*M-Mu#Ar|c3~OndYhf2*0|pv?K}{hR zHz9*%!8wxQr%Xd-CkirCwCOmYpnZhLXZ-MUoIjHGU2ZM9dt>bBtGL=ucm&w{C)049 z$yO~B{x=&EPlJXJ>>EjI>Tm`|Q=+xDJ#i;cg9B06|zm2Oow}uKN-e_=h=Y=amcY3pA>Hv$EU-tMmuS(ML zjx~?jVvu4KM9laIv|BZ5t=LJ5lKoM?6bA~^!T_=o?0>C>t*6Qg>W$e-8Hu&$CEG)^ zc+$b9Br>wZFU85sN@_L7S+e3^Y0WxtYTp~U0#5l19}oMb=MDWF&3-wUm{}ovn|jJG zi>i0k*G5<&>){H6deEevoj9kKh%jIv#aol6dzd^4L?QoeNo zkK>E6gJ2ea<}kXYlTG=4;jt>fwFpx=HJo~*PsT$=s*}+;{5tuQYw*tRDk1ixZxYH$ z&qHGhZW>Bark^xi_Z)mC!W*9!-dDQouECsrH+Wq#e0`dAU1x?K-wS!-FXxFH#1a^- z*_S=Y`^h(iiq{|anNZL{{SD4R+i6ECm%g3V;wn=6Bf9vgrN^X>3Jku?c4#OL(2Px% z0{(h2z)Shw-;-~3di?BI5eXzO-0SH!z9KMKa2ZN$UnBk;D=FKEt-q1=x;Iq!&~34@ zW>ELo@qj|BuDnH@dky}kv4c01UIXFHU@j|jg-D}aq2*)sFXtAvP z$%Y92yHf7(u?rW(B;>xvhtGFRSX5K=b ziu{vX732)l=HveQ%2BxrPC?hW<*&CML2&DLPY%ydfR7SW^-=0SW!Nq8!Dis|b9(qA z-VN>o0Zbw(P))Pp`^1#kCUFnc1wmpIGocYGA+V6)Ix;i^t)`5+4Qk&FCXABH)>ybn zLR8ixS%#wQy}V0iwDN4f=l1<24L29V4Wua7VRAPK7l`W+;hvV<-o-@5-HCSEauKLa zRxIJwIq^7G&ppUoH~HC^8u&Yi#Ud2F$Sj+nt83#RyZO0~nk!9zxsINO5+{6HlvyMi zlLmG~7&v5BqrI)|d`*0I9T4-mKd>BTY(0FPk;jDbFo{i4yo-q@BqSmtCf1$ZKmy#3 z7XFe~oIWEVWvtu2=YJ=zt&|%!W!bt3HI`RY{LBlcP3*>56$ZYfjVal!62=W@5#WPM zo#0~c%~{8?!A%X0-ifIPk54Weft|Bb{Jat~w*bN-Sd<~~7Aq?@6#~*Z? zkv6(-2>vbrWt-9;>sxN zqq3b#C>wA<$jsUYO}3pN-BU7B8oaMALu#6Uk;jZk0_rDGdHEOIaHxd)tr%6=5j@IO zRA?r7ED2?j)IJ5$kE4q|)(}9ye}tc8M-#Y#=u)`>5~aRZvI223WyUmtX>u~sP;+gm zxX%LWljOi-E^HvS583BRC}}$(ZvX8|Va|@`HkO2(T*e8-1dZa;-$8FuihOoX?iRky zO`CP634O-Zp)`*5+;%F3t+!eOuN zAh?o}60oW7t*4mvTd5}g;&N*GqVs|c=WThbs>8_6;^v)R%=1XX zF0IyqpIz^H*x}5fx+SW{wx*?4u++|P-c~n7?rEhN`VXX{`ck4{adlID_ z6ok1lncx(W)Ai>>gK?v*`zghPkXWjN7J(Ae>vFxzeI;P!2w@wQ=RfeddOY0AY(IV$&b}`W)txYY>+<#6f%@>jIzx$(pLibcKo7X7 zC4vY%h-IL=vpI@|bwa zTXi*9=wQ}q_n|Ug7!s$f*!1pdu$Fo5kZ9p!SV@YiA zgcn+?!8zWrhi!m1J~+bGElSez`8W_YgKc47j7~V zbYTi(1LZMT$hmM*5D^}&DmNTTG@;;vrK482+0%CK!mevwH^;!&pZlyJr1`|?#Zopt z_tWthLQ;G51Z!>ol@wY0@UIji0#&D4% zz!~d17aaky{ylQ;1sN*0EiB8GlpFg<91^L7v z(m6M4=vx7ylnAL-;q^!)B;@@lb3odoYCQHd+2C*7>lFBDm)4(P?SPCNxzbs@xsMnb z;X;^mg&u=<5VMe9gf z<5eJoQG?kBvvDn}v>0j1<;Sb!N{f;Q(P8{aYzN%4nL!{-GC*w239Qy8*Sv(@DY1sl zXA+|+mM>OiU|z~cZjVr|Dc zFYu?+%Z2~5(4sLV8j#)HOl`IedHQEfYNW73De%*qGwDf1nhst#)d) zxz-m<9urejdV5~;V3qR6a}@vM58u;T6rw+F{YsttO%D1VOE4=dv#q0|M@_Jn?OC%lq$G@jl>9p9~kcUV;xR7e(xKEAU;Z*aVt@jnaB%s#OF2iXVXDhqT zi^J(SqF5p`6oO=RdquEVGUU%UyL`;d%$~0I#2W)X-t`#MM$HuK)cTT0dxX>+VKEx~ zZ~qm=F{`zo(lIR{=69?d5zuWt&MN$8D%EzipEPNDNEp8KK@EI(4Wo$}(K3Q@&Ouex zN5^ta1aHA<<->X-;(z>hVsWSG1`AY*5WTbVq@71&QwYmuz|T`Uv0qAWi+2+A$l7P zr;ex5S4L4U*BU?pJ~wSn%M1qyBAa2+NzA_E(K3+2s6b5k(@auFe=20LNrNc;v9)_p zuK%}#AitG*Y2;XQrIT}Ob}t*3f!oKb3)y*e7P^`gLc)w$fC`6x8;V>iD=TLz_rBwz z>w8kZXy)W}CRL>p#YR0{aU*?{Q%O;@cwbO&b1P0VStQo(j1cR>=Im*@D6J-}WF5f5 zmb(n`Y%r%dCj9=GM&R)o&))umEKwF#Cup}4BW$KrTGJxYE*t#b}5O|Ra5 z@Hk`*Meuq?L-R#=j2&q%0)z-JV?&8VN)f;C38Ik<8dVe(4GLB~np}NWy;wS(3Tg%r zv0jyFdAr&|m~S(Zk$SDIFK;N?I--5kO~TEMJk9y3l+>z=H$vhHaN)A`%z0J)gz=GeLT zt#>o74lGn)9o|PuntLOMmSKla@7-dGC1*}I#anOcsNHvG1-E^|8BVZA_PA=FEp7Qf z`v0@|2ljHo=SwD)(@%m)XHB*jVfF zcQqVFiPPTYZn!%q7Qk)i0hk$l4r=sY9!{=C6HaXt@-b4FZRQB$%x7-U@1MF0T#pBc zAFf83!6I5~B3NVE3==0;TT9Ng6cv21|Jz0ZRMz+}n9WQ)69Md_lO2m3g)ckg4MKc% zZp&6IrKYAjX2To$b?SY0cc&sF1d>+`HU61gZ%g+%Zd!i2wMN&_ut!)yTdiJ@DTdll zKD&c8EiX`|m+h-^<>2a#yZk=yDYpn)=KP1?)mI$|RFYc%j9BuuNr$yaA!Vd#B!DDC zzEAY{7_FbI*!Ol;>OX04%U$Pg-50v4Zp-=}SW$zPM(9uZiO^SSkih-)Zd|N<5y43y zDL_Fe_G}J$aXYX1+hqUGEjWq*4t%ET1n;^csMr{auLTIx^1S!^`UBrlKA)bSzxNIc zdMxK`tv%nG4unX_kMq1m-%JZ$%tbN!_04RP4wY9$NF+0oOo7*D8asSg%WM|#i8mde zOiEe&$6;RthY$x3Qy@BOyJxNb1*zQ`z{j3++fuj0io6!h;3L{JwrkvKyLaxvJeC4w^o z_i2-Wa{ZsJ#a#)hlSZ?Bi^J_lZ`9 z_RQ=nybFh())xdJzIJ=-)w;*?!mt7IL6QZ5YL?-DH%gZoJ|#4E*kjju-G19I4=C08 z*|OaKMx?z?`se}ixSknJ^MD|H+v+})I|br^Z>%_GDpUt4lj`$E@ZWp(3|lygCQ5j1 zXmKW*LjPkj0VhG0#0gPC8?kdldQLtEkZ-*x%cHGVrwEZI+u995PuC=o1wor^uwWmSl|%b}^J z{Nm?n>+0w}G`dh6z5CnheDgH7DM#OKv{ic0N970$$IyA}{;UJ#Y|HT+FGfQrNZ?=6^QUy31T7wr0%Q`y$vdh%f;5>%H-gSFNhr zfGWY<1!x@@pf@z+=E>m8fqCXI4=nmo8-M)dg&p)D)IXyYmL7V!z4|5Z;VJ!E&lL%| zOqH!x%Yeg_uXM2Vw~zzO(sS09^gX*(>K({Pm~xELqwx}pD$)^%(ireWEYQANcaSF% zOx{Nb!P~v!?|-T9gH%$9*g2?P|Ji0hYQi*hYko;>{hMBbC0)#sI%Yo13WK8)jy7GH znF}GFasSGyl&yIi`4bOaa9WnI)cM^Fr)k4kU9$%BIVe{9b=5`J|LOPR_wIH}+o29C zyL|#;ZkvOI*S9R{DXrA^{*LL#-4+zzgYLe2*esXzc|*_X%GB=j)$^W@Awi~@Qr;Uq znp6AOx51_jur<`gb+zO9bpP;fCfNp$3W%Qa1n)-O6%4cC zn(m$V$BfIG;4l}?CzP0ESgLhhA64qSQ@EZ^T}S>5gJCe%-# z$)FhWxnZxUwmC_JzlQ$=EYR1je3(PY59mw)UAvmx072f%>VH>AcR>bR5D||U4007oga9~}S(6?c>=n!l`a*Gp>&dk)R0HFZ*le8%{Iaz^Au#YjYMIohAjuK|8f%MK8hPLou1UQJGi?5= zek>3BQ6}t(tSOH0Xi^;Er=}9M&NdIH4kKw{gxqbm+vt>`AJDS$NLAH38=tx_}_efu}bW7pLg@u%y$RFZ;f8pBkoU-D6x zVmTh>uKyxtW+#1URUxzk(ud)GAM=8fS|Q)l`?m2K5B{6Z_+R(+r!Sh~0tG)Xc-5bf zp?gue0kLpIiNiLa-BMY~k4p7+{2;r5eo|8x5wTddhS~&~l@qU>!mR-dD)4Vc0rTWk zvYSzX;{&;pg(a2J$&u#@B|&;jlxq1#QV0OhN)kH%a3|!QQ_XYF#9z*7HW@so%nR5~ z2zD-P&d`{k^ZAo|c=`=0&^Df!P^FnUkb;^7P!aE+8Tm?b2!x?2;RB9K88$5rD|ZEz z1~W_9EA@6RF>isPamJ}+mhw{N%9o%P7n8DT@2&(_E(pe@ktTX|4PI!VJ4F& z&Cv7$RqVaTrs0#pg2Sa%4x&FPG<0~1`>o8B`AeBl#>tidIRLFc*>1i05eRC`oettI z0fi%uen~OU2-)t(M1+7^>m!1@7wqn0W`)FFt-zGVBMTpG_gv=$+pd&~N2_XsSk|1> zzC;GHg_fo#g(p|9 z9Cw29P5kDf{V6T376nFOl-$RIRxZ}L*r|hFhl%wr3G6++Omm=s7i6OLgU#=_tdK@7 z{$4Jte|0o(Qx(-%c##Rq>dp5t$CU6YjwdzKYO*pj$w-VNm=R$diAFccqXtd)RPX@$ zHAbwcqxSZ2d05bo!GdJcor(G?Jx0rn5{>qJ(j7PvQbSO1FRNX3BihQ#J+~d*WMVQXx;@*cG0SIS z!Fb#62%C53W#DV_8Scg=dYnAYUP@bT${m&KUz+Fa$R_167*kIpcu+x^T$p4E&Vg!eto|4Q!sRO>L@ z?qqu7K1S){eMM}%R`7mQ=K zl$hx+YgHitH{6kM*iDIkdr_x!{)hzBY`cQa5~&#Gi z$HdP(IUAe#{vus8BiK*OMFgT_AB0|KN(4IOChd-{u4cu3RFzcqcmH&}^aj10`;f$6 znej4+_2@C8pxnXRbFw#ERS!$T0qm@>Bq=Jers}g^mwsEE4C1)N#2&W8kvt>ubt7|u z^5eEPHaETjoLQ6wW%cSb9087!FmbYD(l`OGW{7BPNJ!$L(uBCIlHxH)NVx|J^76FB z$#bQ+16a6nbP7fCNnpJ&vt;TZgj?e@1eOghcZuhRt#74?V2jz{5iqm74Awpcyw;C1 zj_*15hz*+X=4`e&Y$*jis{*ZeOS%x-NC8i2hA*qj$bzq1itQV+?6MyyB>{N?cT!KP zOgR=1xltXLk5xUV{mn?@I@qtS9;5*uJAOy4PW7Vt_YXY#Lol%!hKH`#J*v~mfb0#v z%SM-s`6A({zs%`SUYQ3Y=t<4moxBIAnmYmIL++<=7q(fKjwi`nU2nVKK4Sy!K zQT*d4_8loexz2EY) z!QPsbKk6`{oFTPyY513VqS7&*LI9_=nH9QPdbgd<_>f{?rz z>fBnSId$Bs&lDT7rUMR2v*<~Wk559FC$KHDjLuP9e#_#{mL53GUbH2 zKx7~ML&pP>et`1Yzoo~i!ZtA5p!wk|GMlpOXJo2mgLq|j60*gDKfiR?m=+!RuvPy$ z6lY5Y$)$EDvqMy?x5}jv%g29CnDxgl3H*lOY5MY+_yx>21l$ML#FqSU6EGdA(EB3Z zC|`nrkO$ThIK2JK@tZD`8^Vi=XX3ha4kNUlY-8r8o)Hdoj2;*YQe|(Ez|1f`#Lmmh zQ(Yvv5HACayTf3}WNE^f@$m^>dvWyA!||X=t!JbWmC+#LlZ|O}*cp`RQw6(^8=w<1>tRh+!NsZ{go805ZLuW6`?iPfp)=4OBauWY3jKejad z@Ili=;Aqzh7L(t`>0f=N*ELO7pmYO#T-EF}**)5#@7gcnGx$I^u3NfeYCTpJdcE7~ zuDj?fP3w7V@(H-!E9_ZO>9HEsc6c9e&sBd2xO7M)S_}(@GNuW*tRapMuN1t?A=-ML zm`Tzt68g;`j5F1FS4ebV(Dp`<_j!YrMBory9vo9S)xLA*AoMoP*ajwlUxsVj%D7Yg zo?1SSp@5yqcT93z7iRB_OYt;HVi$W%HhNzBOk2*n8)Tpj6)$Jk={Wxx@1Y8$i;vol zt-HBv*V&xUT(QeX$HY0{JTETy2S{PYJf^g9aHK?LZtnZWkc^bnaE*QkAl&9WyrgGu z#L1UKkj0q6@=sK#*=c!+Ay-9IRu#8T-ksvo)n}QN>}^JD?QLEsu#AGNIU4uhT&dCjsW!muvJf;)WZ^6ev>@Ozow{CM>)d3|`h+?xJw`fkm>?-9GXxoPq&OlJi=#=3yD;&~( zYIF|+7+6U0@lCq?d9>O(_Yza03M$F{ye=K@UlFGHE%i_S4ut4(U0_ndK~6uQ;)!89 zJ#sUj+2DB^l+P^9`cFpHthAa=zxUOB-3zW)dlGiGIyt`cvNbbXpu?Qvt30_rnZn+d z$Jt}@e;QX|vBXU^g0)8KEy&v^A?ND+LE!@PVIr)yHz6DvAwXtOJgl70*oXowZ>9Q5@I_VN3^L3h*$WZ@QWv;K|Jk`I+rjGZ}W)_WU}r z@^?~4IDJ4I{ztC5sjn0pa5$}b+srGWskj%MX7DFd2A}wOEEjx_z~`(@d;7X4XS}8L zw2E|A+g;$|IY*FjjT8jTtn5lFlxw*b3eTp<&IeP!fc5$0m9+ z+ldxqnQpi5X-y!9{8FRMEWwK#v|Hn3pv#&>53*ayHpLB>mEC$sPP}R*SH~R_#=z?b zg*bY9(;|vN|6*8^&J4HC?bT)b$K1`(0v<(r4+j^1uk(gzEdQBD1RpzR{>JY6+f#kR zxv1E-@0+G$#NDqKLO0cPOq2J-xT~HQhx;KRae}iSmn{x$Hd{f4zSh3Of^=XGmL8mCpGTt#qF2Hy|3ONfQ9Hwr-^IjFb1gO9sRV)1C<0Cos#&?XYxlo1 zvo>u@R|@DHjKZ(p(z=SRIg%~6Ujp8{(;<-Tgfk^u_!}SoD#Z8%5unVKZm%jD4mQ{#X!So8z#V>NI*tr51b|Roe8unSAo?E}*?z14|clPHO${ zDuK)8i?>6(C{2OeKay-gC(}BqM4t)pKf^QFti#QN?C2;o5oXPnf=;GLa3ydkuSOzZ zWOOXXQ1#>{0aQGQcr|q{jBrHuFEE6?6s`hT$3LuDGs0Q;*jz4W^1+FRdZdQ#`cvuV zYuy(Fdg8PWqh{!l_r%-)APQas3Y?w$y};J8j^gXT1K$bZkyhFHDjtVuFgr`$LoR0ST(qJsVm^|(ikx6*K^%8j9)4mI2eR3 z=E(j5iUwu>B_3t@C{w)rSb;Lsx+*XH4}}0G7Zy~N@?54?nkfEv7#7sOjK>>?j*0Vf zV<`^QeN;wVNTMqxa$^MPvYO4}=t0En-4K<_mo41x7QtZ-f$IlSjhJ&8XoYN#PftgR z&No|ygtXfa8*5svA$$Ai?fAVNjn*4>>Ztu2+$1#RVuxEUa%GD7P(EiX;C`RQTzf91 zsp4yNG4W93j=qU43Ce(H(Y5ORvp;KVJZHx-H@iwy*!0Q*q7uDxt)Hr}q*Cc~vD`UQ z`?!>zXpZEus4_q(b+GkTV1)obNEyu#=YsHkg_|k2PAHCcF#) z0e|HQAwGM_3$f5@QK6eX!yBkLBT8d&dhG2FlnBwA1EzJ@)dB({HP^VQyUc-sc%0J022~VZz6!To! z5$jaKVF;xQKQRkJWOAoLK#;2ghx)%M_s*oG+U|SBS$N7>cIHt={Fov&Rom@Y=bm2n zIi0jo2hKFaAUh8Y)E}-QTwFWp`ewsOZ0W!eDNa;aSzoHqdng6c(dWhcYsad9`IQp_ z@wVm?L^xv2BuT`}@#$_8&1t0w$L=J1dQg7@&2T74>Q=U8pk6-J65Y>7c{O zc%h3V)ECNk!`)Q~Gu@c83Jd(VS-;OE(j@13s5 zWieX=-OA=6!aZq#+kS`Vdd-{Vn`XZl)8a+2=a8-st*7YiVo6sTF`w5$C7JggL1+%V zr-jM2rigWaH*kT2_0&x*0*O}X+Y)apO~+5jF>lw7I$6uvzzS@4gt5XP{5q_iFISTR z$HF`Oj0Bu98Qc};)~Cddfx9@Yh(HF{daj^d!@Lk=pNhBA^%2=I5%@KM($dj746LEp1uFE2;@C0# zGoE>IQ99hILLO`?x7@<_xOZ;;J3XB%UH9{ofNoilt0Y(-oD$GmBJI5X|0#3%ub%0D zehvL^6CAss6M_(hFRZPb?i*DneELs&coFg}HkT{aVXa$MM0TxEeg7c+B<=;)&c2{(diht=J%Xz*VGEr#0QBK9M&}dZCp;dR+s7I$EFT|_t zDcIGp+K&94^mQ~5-!(fp32jruRb3rWEI*iANTuFtVk+-SZsI_(*kTV!PTx z{(ezGs2%oD#N(uV_uEMcW_XVeTh z3rh^N-L39(caDJH@>o2D1lj_$f1$qaJx6q?bREo(8u$g+x^~VdaymjYDowf81r2Kd zaM-`jdcOC&&V}Pud2>7Y^&i`A{JGxu2_x>v5(hhK&MUQ;U;8s`j%99-fBzBGxaPJzIig#N!#}wm0)U1iQ;L3XSZhK1yjN ze$%;RfuMlPB{8=N`@GfLij8-gn;daz30Kq=%4t^6D$;0rf4ThzUzdQmy>JIl-q6 zgiBiZVC*|cgp-JFzY;&RbA9@eaUwxAhx0&!_=_<22gG1ndqP@CREg4H#Dia7tR1$0$p) z-|KZ=*YkOMHLRTm67akNs5wn;wrHEo6}aN#M4p^HOMj$hXi}M^*T-S>JI^k~`*4ys zT}FkQHk1;OL{a12^-yt!7<9b5z`Po?^)S#wdSwvZ~3%-Z#n3aX5 zW;B(cPeCw3VKx%r)5YEaTaH2V%~nY>WQk+Gqvf%zetE{?<+Ahf2UP&=n!cuNc+-^A z3p@U5&G9e0iP3)ZpiD1e$r9!@KMn=UWjo?hF~snEB1@c=Xh5NhxP+AAC!8ebDFthE z{|x#?r=!Oh&WWZU2q;+hkmVJ!WB^kVhSla~f_--&=DErGOH`BFlwnO}rG@G+tSrG2&0A#fBbi1-xW z_j>TH<>Y;raP<8ncZcRcodZ(z?EubycjI-BQZ}j?n14;>F^U=FcLl zj+w>ZKG7#fmDXVawoCsYvGWU@2vHy z=7ObDHUAFm`AW#4q$?ib`McR%!KyRd5gZy;s12LHeF9|lB_8sh7QuI~D_B^hkFWEv zRc~j#gcebDqNaA@W$ylc0Q5ZgDfDsvzH-BZ-t)frvRL2*#>7N7e_UEgV75+RP=vf~ zpA+*mbUqe!Rzpk1aUKSUKI$JKwTW1hYv3YFO(AAeP=?kek8(+sM!Lpon;7h4+{#^# zb{)1~jTJLZ+O<7pe*`=nQBjq!0LM|LF@r_Dj1FBz`RiJQCy028$M2AR-3IFws?Zz) zt<2txC~!M0QSIa6&9BsRPOniPqd7Y-qtFme=!yriNQ6u>i?KsElLDo$!F(EysrIS!WyE{$l8Y8YE<6cRgdqTK+r#a$~9k zPSqhX+-zPU%mU*xhRD z0fJ(4%6#>C1J4D@c~ksOh&Rq!_x_(28zbt_w}ZgfgS>}+Iv$5ruaingXb%=B0sxFa zHZ?C&lpi#;FeNo?`(r-3A7@4=zS-0aE>c4yKWe8DbO69vB4bM$MgA3^6(Wk(K75 zYT&?^2b8GEBt!<~CzC9_4Xp=8$b0CU_de(dW~)5zukts~MW-|CCbOXMn`CVUaX7Oh z{KO*!wfeD{2+?$F{|m}A5CW&`KQ)ASE+HZ+U)o~tz2o@w-S<|et&nSAy13h zl}B^ze{)z`<$FBGU!t^lvIco(r5$vfY27jubn)_FG^QyFw6p72a}Z5=#FglGUbW-V z2TS+UNBeEu3$g9>Y6P-L=@}S&{`3hRmheFZ%+9I8p)M&tb={P*=zkJpT*mUJl$=ypU9wI$-Y7pm0I`c7w= z{Ro+AqDcg?qEDomt~&JCx)KFNDvSUaiyD(&g=&c!5lNyQRA~Q`S_7y_^@ALvAVn@+ z0P-hM%42|-5*sOct^~#PIwo$w%cFMMhEC$qfi`B@wn4|h^Z0{b_BMD`co9FPK?(!xv%&ct4~f{CyQ+w7Q( z23gFw@&h_HA_AJ2g$4UyLz;^UBLlt!Wf5MuoXKW5v8R5c2*D3~-YlIHUeGxWN<2%p z0Qc<_Re~ciB+7Kh(zQPbRRWk85*)Oowc}N_<5LM7xUQddy^csAg+Vkuv-Ry#eLhus zw3cjSW&h#_v7!DLc3sslJuj;q0K@bp_&V45&E2T_Mw|J2f3se0((@<{lzH1mBg_|x z7TQ?zV6UC{T)KG8zGO+DS_-$I-w%&ORzR zCD>hPvRuP9HdX>PNTQTHGs2k^N(67p{Yx&5PZ`L3k3}h9qkCgRqhFQ znL8j+jnbrj?T!<4(xx_SlK-GkCoBp-B=X!%{OV@vVYR)Rp=2JN*pSr+Ub{=qd*jF- zxM*Y>xw{WIDp{u?rH{4mI7bQacDlcufsp|WFY8bO55Q%X|5}a7T^UAuHt(_IhiqB8 z)4_(FCxRQ-&DEdy0^gE1P|j!`j<_~n>+V5~oqV0Q?=~<1ST73+otKj!k1MA8Gw5u> zoqgw?FXZ(f9@*>cbmrbl;2F3MVMi6UNirzfswIve60bp-;P(qfMygc$go+_H@ z;{>Rh_h!H3-~j7XG`<=*@&`0a8L;ejlbuOFfCWj zb4drWk4b%8EEdA&l66KLgU9*PkghgFt&P0-tr8Wy^)E9e7_WZ zsK&36su>wX@|xMbZ{(j$gMZE%yeAO6DZU}nqGU@sJ0kwJ3>U<1rI~S%LX9g?CHyF; z{Y-$x*sEjs_91{r32l}fwd(I<^bTMA&Wc03{mx)qBp)yD{Y4)Ziuk7YI#=M(7iMOC zIo1pWKo;WUMpSy3O^2=ID;VTC6rL1YE{(kuftB(0T24?*(03``wGRAPewol=-M0cE zWZS!u;C_l|XM0)GWK+O=0&drH$nVM21q{EXL6$^#HW?UZp6|j2HhJInevCQBng`Z( z+78VX(0@Jzm%Ps{b^JWSF2+yJ9zU*N)Cvri4VrvuiJ1^YYP6=lY;ySD zW@6+pxhJ+l!IFyVE440MuTJpK31M^#+e$bo1|%-|{Ml$J`T=q*GU250M@kql`_|Zs z(v~aJj!AAI!ER#DMcy?=ypwb&^#ileO2MvQYaJ8sQ=M%!0$|kbc&;=@6Xr$xYQl#?0np}PO4vp!!*yDJ&73zOVZ|TzZ8Bv@yuRcmH zS&E?*Hzm6a$?&(G)2At>X{~jkU(ngf9RCwi)2=r>U(ijbXES`t!jez@e&o%x_0z*E_$iPcd-Fnc|1;IqROHMJ0rR56Q zf^p!B#EL>2U+D4#ph<>pp8>BA>Ln<^b6`$jE&Kpem@3zgWWJdL>A{bjK4BP}MLIXI zKDx`@Yja9N)LQS^;&*sIZattctr)IcY4ouTGO|qD6OEDGW+PgHeC&yAyK%6FfquDU zwlvuIowsSVTbM4>DaX+^(x89Tp1=lq1$O+*nq0knrH#UYe|~x*m1SXN*~LHtd;|Ja zf$bV+!jh@2zZ^pmpgMw>e^a@>u$v9r$fgv@)CbcCsiI3O*rENUVmLlIGM6$P(POyO z_a^7|yBso9q@8#ll=35!?%a3xKA??VBxpEI9m^lu`9@bE9)S4yZL|8$zP;GdR`lO- zCkzx=(&b}i)U4uQ@h(eIu00ObSM-5t-c`2qbK-KqS;mG&mfojj1bXqW4^M1eEP`K} zyu1ue9bEc8@_B9`7{1Lzj*2+vPoc2a+B>?*Y#D|yDVZ`J+dW{tjy08y*Z{dUIi6>6 zgvL(_6A23WPXA?hxZg{En^zh~`+t-QX;X4aw6F2Ji&4A!hww8>^*1*B&p3|mUGLn~ zvOXU7U);qVYIGs$Ux{Rl+t-l4whI7EKdnUtF^dt}#&LrnwsS!R*R>xWs~Ro5OiT+6 zUY8GE9W1W!DIL0=icbt)fcPfH7HT7J-L)p=^KI7?g1nCFFAqBjvZ^;T8K8!ek^{WF zhtP#5q)EYVpDyFwAHl0%9uAYPOt?WF@|Rs50Z>x#UDr=nBGY%rG z@z~E>ym5Q%-t`IXc)bFBl8t;4eUQhw|pf$fHqyU>_ActgwdK z%G!2V5X1U7KY-EFABaq_u+^o}o~z%6UEuQa&6(TKLG-HsD7rACd&TFOh2M=$g{5v` zwoX%!--PBXy>peet*m>HAEv8BiC$#{T1`be4|64ai<<5%t{a4BJUb{2mkxQK{ixSJKrA~&t z$(&5aNw%fzEI3;tEk*``@l#{_Uw6l5lodXOGw?_~1-NSDl*G0~{Xi}jDeM{c8g7ZN z6sUi`wfY&@dL3^0t3vG@2eS;zSW<20QITbkH(vKwXtoeM*8~kq>)1(G-fw76$duyG~ZSQmBlcT8= z3=ISEWh4qkrBh-|48*eXG2T>v=N2m$?+W za^i5VA=;D*x_S_2_#iA$P+|jc+Wcmp|EV(BFdlbMO=n&Js8_s5x$R*E*sSY*E<8AC zetCcbH|x(T$~zC_lQ9tf18S@}u>tIsTW(F?F601Tar+c>d`l~1qlm~eMz!nWdA8r}l89FeOy^;6g90!oHoC%L;D{=4P<@@QJg+vWA6 zYU0EP8)aJ-s+MI0K;43lZ{|J|Y6u7f0(ZUMmSbw-OOIPzKV0s>;6WMY_v9uvKIYh1 zBz)6W1H2m@^_nBTWP%;+1jv;~nVCGumal?z-WxEO7fyRYPYgxBd}-+DIQ3q~@ZBx?evtbFd%&0*DYcl;?7FyP>AHxo z?1ECYuit@&AT17??S|a1aR~B`PieAITa#T*0dIz@mrRi5yG#^|f>1q{+_0yiFC&*L z>(9fFtsXXZbo;>tO#OTRglIT;5CLaXJP6liCnyFUif<+7d5`BCtKckf;L}ol^Z8K< zE{3*!Cfp{>gYXa`>fcl{t2{?4cZPCK24&14b}PVD`+94Tx~pFMJ?k3rO0CT7?Sk2HiI z>T!~>lfsmyq{D!SJQlb*|9t9!LBkadz-=u?oB{FtO^l*_OIzVbp(Sb_d;Fy2MXN6b zJS$REvWSQvO^lE*7wzo4-G1OG@M{lI9qZQ;wV@GL`NQw>y)5}x(UPw9;~177uMB9T z>kYllM6yby&dBqK0RL*J;j?~`W@=X~77S}4vct*}laAq43b6Z0nb4^Ofp9_j*uko( z2C4unnphfBJh)^+>bgYwqPELH(i4n@Q8U^FN3F7j1mz5_Fa=d3%5GX7cSU&4VsjDf zIwUhn3Ae&2RLMan!c4_1UWb*AOT2{79x4$=<;L6&iW>pf3pss#gNrg^&sf=6x@zKt zK5dFpYCs00;=R|hgFQnE)a^#(UylBm_dZykne(#VVT;SJvS;&it-NMpi3l$ryu0;M zm5>xZhd^gXP_S6BZd-Dhq83#P=&A!xAn_1UZU4Pngnk&RS>SDNXrC9;64Ap%Mdqmk zHVYje=Z8ShrX&fOz^$a#=l9I^q?4Q%MhSwN@4F6o@BN?csoHt)KMSfoE`!2Q-m^NA zjF4}(iN8ta3F;32&F%1LP{4ecoGYiFkj&35<@>hNM~4`BUCseG{|))FqVd5|l3i1^+>&xs~h4_CEP=hh!a zf9k#O02V2z-QC>ijE=^{U}jGqJo`NNks})6Q_3Y@xh&la54ppsShIw&n~mVYe=Uk_ z3_9dff;|B95KHpS%+C7mNhXOIlK{F00f3p{T*Zu;c4Pp#sL$i~PxFisZ%03D*D3IW z;9dFv03P_9;O(~e1L;+w?Is~$B6b{d3FC_Tcab^cfS^+t^An*!%+Gk z^lh&lq*tz?pVLMbJRGlJC*pH4z46it={T(+;lH?edvq5weP#pP@v!g}%6 zY4B{L==17Q-qXZ5uN|B4!_AdB@14Ai(-;Gk8W9Lbq|C2_8hVg^PMaR1jRdG4&F5&a zbmic79KdNTdHX48fAFP4;%;@YKM zXQRFU`n?+}?amXt6d-=cqE$#e*VdYCQXb8Y5V1zPelteFn_QGxfMgO7O(9G|bT%49 zh&l(9vnK2&*D{ir8$%tmvc``nKJ$hZR4?)A-AD==#pPsY+R|B!hR1d0`fi@yNVLAx z5$Gd)@wqpR=%qhyG;V3IliRPS;;Hf1k>SzK7P9Q5){yZA;S)u6!zImgCPUGliM}li z*liF>w|zF6RZaZ8Bj9aFx$A0x+gNrxrDm;9={FrMPdlL{6QgE@jF)1pIR4SMj91R2 zlFF({H@8rl;)fC@_iR-P^L%Hn#Md|vZK|6O3p8g_zoJ##;X*gHa8c=}Ii&}Yd!1t& z&-h00<#|t!@GG*0B0L;85=5HV6qr$o3dsI8;i5$(#Yn(C#H%ew#l_*37dfY)74R@- z(Q|ZmsGJhneYfwVpe@vv(sgpx<*6Y4=Vm4~G?A^vCw!YsU|8?X=eapmTQpFl$74By zPJ_c7g!B=>%2nC)wkb4`C$R3JoC|1KB#Fwx;w$F6^i}ev!25X&YyH&g`GqLGvhR#$w|I1A#tJ9M{wC*4hn+)= z@gEUrz_@g1{^$8ipn_RVr;y>|>KLN5#h8d?PcyEDJb$aZI^kOq4vs@ZEljN3QbT9U z%tzg40#m>OELIRJ_u2npT1Exrw56a7a;yo|EBL0STc;37uR+Le!;_T@A@Ft4DZ7q| zSxAP7VugkDxbzJRQq(HH@k6(_Awzz(Y}5#Uhyrs`1q~$o|9Er2cZV8(mEy$wgRdiK z2HRXQLu5XcXdN@4qwQUBa>y~jNo9u?<%TTySUcxtCik~g*^Z!w4eHn`@?b4U*% zp4Ybn70JOn+!dF?McNv~?=XoAwA_#fQA&zw1Fs1-?7=N6wrMa=>oysq{CwVP(T3w3 z-FE@19_CQ;g4f{SEQhv{>lZ%?jEtl5*#vMF(Z;*JyEV@Z`${Bih0w@9hzP}1%6N2{ z3j5*2Rb)g&;}1mMMHNLF*qqKHdF}Tg-;6ltMrulvDFcz%Laf(GvaW3yi09<(g#hvf zA$u5FDlKg+p61S2D-&d<37FM=1ulzRANCikLAq)1$ZR+HlsXN%?$>=`?q~v-^?-V0 zB1iBZmbNUP&n+A<2n-YjbX@pc(4@QMA^_r=%; zb}Zd@aXi0io`m}`aP0iMc0F61YReyU^(-IvzUu#m0a(92%TiL{7KL_KXtX%ORFQVGezi<$hbK3u8!K z8{~|nBFKjuDT%qW+8tL)i@uOcVXDFZ9dmQN%#FA+y)?b*h;8 z2S#^&MoeM7;%oI?08k#JtrjKKe0*kH4We zNnEtfP&*~!{onRxoBSHM4W_1&gk!e{5nMaO$i*RpOL+3kD9pwxRTX4)ybh#U$dLZh zuyS7WA(uxgOGSTcZ3dd8;J&}4)rP!HwOv}aNTyVUJ*(U6nN4vdJOE18M|Qsb_7k5D zK>9v$qs3eqA!5WdTu*j*AdY9-m%5}#soHOpDb9H!F2>Fl#^h9^dtofZvW{&Q2i%(W z|E#P5zg(R24Im@;3YZC!_}>Zk`#gRU?i{#~lhV#Q@UCVzb9rk)xU6>uR_1#?z zFLrltZg2O_97T9^JXz|MT=^Mj<&7apR_(KBhlYfa=H`c7b@whk6$8URmlX&8L+O3xV=22TXoN}Bl&StP6?0Zj3T4SZJY z`y{2K{I++GOl2pZ9Tsj$C`b{*=y8H0BP&jPigI%=YojtVkNj96UIrv|l6_R2ov?oy ztPpa|VR`&m`bLC?nZaN}O@}PR*hq=50CIz)dgmnRWHli2M+rb8hg_}Jm%)~JNu#un z!or<$$$V9&aYrYRQ8O-MY@XwBiQ1NczVH#(1EGys9b!}e4X}l666L;!+ysHgpG0Ds+Q}^}p0gy)*C}#1jxy>pLC6GCO z`E-<}DOGydbx9)$kOW`@gzCBic84#)Yu-EiaC`!-TkDQOsLaU7gBuUUnwSg^yL1z$ zt6U)8EIiIX%<4=bL%G?RnOPF0A{V+{M%AfZcNhMKtDx()jLxTd6KOG;qSK$3q@LE^ zM^n>%{+*qe3jOe5G#G#dEz|LRMPl{usi~a${KcJCh!UTMS*DUdNbr1$qmqsP<7*R} zq(fw?#-Kt7gN{1_r0Ng}(pIxa??114ZoG^=S_<+d`0rrky*^`cs3-RmD96V%dm;bk zMnE%=425IQctXZH3q;HDZM6x;7Q!rlj`dM79wZ@Y%CGq+?9 zBf^gUkBdW)tk)~kYpAsB$b`0FJIQCk6+)t$fX&8}2%lBhjnZ;-+A0sM=@h$XQ@=u3 z`~Pi_Fj?+8><#|(@Z-WwX$X_%x3B#Me}LowBYnq7#dh$AnASMrAwV~hkbt|(+QVO_ z`ZTfhEa9-%fXY3W+i$TfK_Mm4yp@jTTdP$fR1|Pi^|$+{YOp_N(UO3zpBpLwK5+_{ z9ZiZU>5tK{Z>vXTor--dLH*gD&tJdETarzi!X@Pxg56#(%MN|EpzhAK4DK7o(8L zjNt7J5jccp>neL5AX?znr@PJ}z%!FF5C8icBMYnWxs~XSAn*sQv>7R#nTu;lrheUl z=V0Fg&o*@ia}^AZ0L%khHL9r!@HshTzNUGMAX-p@7U!|}ZVBKJlJr+J6N#|HQW@>3 z5^es`PUacv>D~D8W{sof|2*5?PEMV<_ZxCApx4vUacGt_wQp3*%*&fJ<1&-89+#>* zX;?n9C^Tl_aAjSoS(vj?q-|q&-xBScB#AiNSCf`P2NBIjM8b5b1zks^prOycr>);5 zq>R0-d6c?w931mJ=RJfTb{STVn~U<#c9vQ%Umb-Sngh)Wt@~BO3%wZMf0)uDq#NA} z--+7F?QLuaHa@**c0C-GUYF#Z>4F}Py4v@eR%Q&M8I)1Fjj;($2Zx4~^Y~Nx; zBd}DaGG6WG_KwHK9ahsG6`YeEH?|-&)MMTJDe!?<^e!-XuT{-Pi)1MGpc8uL2n!5$ z!Cb?=?0)m*2DeSkoo9t)P%Fal_#Hjx?}696|NCN#Rd2%~1UwYxElVP@Eia|q?g}fj z23)9Hg5Q~66S)&9ew0GoU{vZxs|CbdzdzkkF8G9ROs{xKzO>Ou52k(X*TGI;XI|Klk0&;6?-7@v7mQYT9>(?|}ofJNnW6UQ_U-VGvC>vGb9F3a3m0V_?3Dj21Q zAD*L=5owYA&{kxx0ZtdYIEL3v?^b+N9oJx&6C=FDuU_G}05`#&K}2Ti0+%(9#rSt; zt0H%U#0!<5^IAP>-VS@GQ?4tqp=0h<@kzZqmLC_xHwjJ_%&YqyK6m)xHllgEv>orQ z*49==Bq)9s5W(twCs~*sOOZAHgdsmFKgtEx@3gnEsM0HSTxqo((lUG~TlpN|)m6*0 z^DJI2-CvNxkUn^Q5zSakg=A`Eq11?GP0a;AIj*?zxC|y0y4YPGA`^aiG(0iz(tnZN zybnC^e|v^Po+lrq0`o;@*s>R&^_$)B({drAL&<}L8~4?ncgsslO9$!xaNUotDgFo< z%jzU@i-bae06bzq|MiZ^<2kcp4fBHbGfVQ_2j*}fG{K8kIeq5E!W#g(J%K$ zC>C|Ov3S3<(SiIdNX4LAzWi7^I59cnBW)DRT&f?DBKK2vPh8nK*23;>uPCC~YSU^kyX8`@K;S-z4%R^4w72@muR12|ziVbC>Ba{) zHIpZx3#EHrWbx&Ry)}2W!d)0;dD|)^h|kkweeyRtu`YSAw9Ed=>lCJqv!G*fmCCs5 z2cXx?aDD73Bmp#ZQw-!f)wOmQ$M-cQMxB6_bA4Qf`sEX{`KB;!h$hGJ>%>XIjCWSA z#%8udZje9SwuvHcYT$W`?<8*KuKR6`Jr;FA$99@G_yjn3{$MAJF_Zb1&1apI%RU9m zVqt<@T-QIKBna#0u!F00>BP%C^fOhAWMIYj37@mZAge#;lmDJEAP4E3()?@0gx|d! zIkG>I@pRM!m+PVV^h~|@{c#_3=?)^tj;!QX`zmG5f}>se=Rg3k6_t{L#h2|&fUGFmFm~VqVCP&W$f6C>m|>SO!^@v?!Hl)Tp}B~@qM2uA zPgxMC9n?vAat*~7pGt<`cs_wV&kemjE>8E&1Bq0fY_`Ogj#!sV4<9I5Ml&)rCdao7 znwSxQHOY87G;aLgPrLu|R$3nhk?LIy&0}UweA19_m#@O;c+eII_Ngv%y{!OR7s!t(^ zxu}WSwviD%mynoYmvccanQ#*3&!R8_hN=X8#u<{^_EI8Q+1qQYg#nls;1e4!AG88n z^>VV<+LzH%(o?M}>|uN6-`rdSBYcyh43t0P-)5;I0b*m3LG&7w-6J|HXdSW*3%EEq z3`J@i+RL>+9LPpkW6GgS>XubH~?QmXW8fdV;q;{*$@F zkq_MnSS?jCk&_&mRH3Yx4%BAwec?TW@u4hPgWb#IvHsvFB1;Q`m;t|u*+!quwtud- zYOSAT!}+FlUkHFPyydcgQX5m6;!%Wg$e>5{ z!jmV_z$@6tOJHjsDk!@W(naB!VkX7yP(y*os4m*5Ulve<<9E8Ft>ZI8 zEd0I9w)x{9i|sX`3weHJItDznpk7!>Jiq2SnUu%gx�i^eJc2?fyXYNi^`WYbvd! z{>w9SXLYgQPwFTR+VQTyCPkn7_6=z6eaFGdI?QX~cR30ha&3v<0T*jst@o>T?TM=N zP7RaSB+k2jI~PlXRqv2wV`K;q{O05B7SaIhhVO+BwAJ z;*K>#&v9KMAdO!Fa|ggB?KJ#F%t|qs+3*L={h`@TiHOq$aiH7EeW%B^r>GAnlsf=+ z(|8*on5ZV3z`k^r_7xa@$5V1DRjl zCZa!5$Sy=O?2}X_8rlLKB#g|0gHXq;qLPB-yo@XS>_JzDJxNLVCmv^CgE)0;wn%h! z=rfp_*IWbveowVf40G?}u`xrXS9L+vs%AJ9`ZeDtJh>`5`kDq}SNibNlGG{7#7R4feCe#Q_wFX;O%PerBSEisQqxN15WEw*4c#?&ejZLRb5gwqpU+qnHc{i>-Wzv*hfz z_m2l0}4>?jBm^+HmYFJ z`3ohdSn|)JqCGx2(1|_7ss2%?a@r2*uil;+AvbE+jC877Da74AoX-%r^GCdcDUNQ| z$+_Ttb3Xuc_~Z9*oiHyBP9Bz@QOxdq%u3w0sDQ{=_%IbUxl&bB5-{Z;!acp**YE@n*rS$0hbck+(H?_&Wd~j)f8nH+QV#! zG5`}Z0Id{&LWPoEhMEEJ2Y^HmN9}&NJx5Metx!Y(P>VRD9W@JkgXPop0TZ~AXR>1z(h3@S%aAso&#{L0^z1&wI$b&&U$tZ^3BIoipw+xsUZ zvfRacv%%TsRX_FmB`=dVIPiV_(&=I`X^g#62>L+dbkBNL)$)5loDGd3ut-2Lh$jd` z1u=-~VDe0-ivsy!S2JNC34lyvRu5`0cb#N%T-Qh#YgH$R+?6P*3+TH@cb9aHaXp|b zQ6|Y7I{>zJU}00B6)WY(qqZyyVl8qaP;ya3`iUIyIg~G1H7*0o)sz!xthlqr#`;mo zu~Vp3C1}lrHYP3V7naFUb;dRFwT2I_rRJ=@{2>1ZH0RQmvTDqdOMUa1mEJdLshEI=qwRcFbzke-y%!>LQo!ke)>~IgD3@PJ_)7!4^!2h%#*bH`du7w$y zTC=$EuXY08E_XCLQR`T#;Rr+afbMR;-EK-tgf-Xw##sRmy*opm=j$MT3@jlb*ZKKE zVeghe$XJx=_2xGhZwP61AR6EW()BRL*73XoTi}5H2+$u?OuTs$MUKqcrf^!Q)=_G} z9A-3%SdR3H1s!rNQ}vY{bei!7iJ+e2@puMvJ0daEV0cqJ1hHglsnmUG4uG~!(P?I> zHdFOR%PpzsjVsyn=tkGJMb}wGKv@T0Jv*0skwZeH5!}oNmk9fIGbxHN{Q2XVfsv5W zMTJR0*Zr|L-t~tz7^^kL@WrHl$qyDdt!xa~j-q?9)Vxa@H3y)ETp&3C@i+jO_ick} z3@3k3MzlN{y`Jo#m7cMkFKxjaFDtMQR7|=I@gGM7oQi6*?A_GAKcSh>+?HAS8a&3{ zIu$T0F9X<|S_&^8@T1{mQe&eTSRRb6&5wc$1mTkMZw&j?tpjJS%a_0QE*5tMIIe5+ z9x7u#9C;KQe65sfB%0LRb`j=Dhcj{!Veik9sn4aVIMbnQ|IdZhc9?9a?^g~72S6;g z?jXQBMIH|iOJOF7fy642T8%P;@Pc!SLd7Iij{^RUC@p`e6z!JPA+R z8Jl1RkBZlS+U@JGJFplXjnA5bl9+xks53VCq(e;1x)Je5VoePudRX-E z^J&ICBN1DeiHr5!QT=xsF($@cuF*7|B8`BnsiUnIft-!ijb;&cE;)bg6N5COCbZwy zzK>I4bMILgPtPu+$g?OYc6?0552?4JaW0}WK)d_X;($ndE?{p@tmkcR?m(xjyfJi8 zw`#54n%%hF)XWcxO71#6nOYY%V8I>Sf0@HW13p^<*_$O2MP?%tQ#;?DCqZSC_g!dC zGS>u~sJ?=o3Cb{(Nracba2hU~lN+HXQZ`U)V=xr*(E>@pnm{63LPDZ6e1ilWcx`JH zk-|}C2IB$7_mq>oRauD6t1EdBveUJ$vv5C{zEJkEdut=O62~RG$!a@%Au;;|yhQGHrule6=R(xRd8HvfdedrE`DzFQP zD5M|9HKm$>$61ZgM|Km;D^z7U0k`N^Hs>Tk%dJ zHB{n<3T%x?; zAcHM|9;{XpM#5Q16B#w4gE0&rTJ(iir^1R;>X!p6Hp@S$M7SQF_^etg9KbPRoDP31 zaTLOv(p3ycks)=6nN)hIuUzpiI69tbt;3R{3xLXTTTaQ9LctlLjZT3LduICmEnY?G zUm(X<8Mwb+n*OD6;q?hOcOCu$BE8QuFtX{mk;dCn^V@tN+F4q0TuuC0aWK49fdbGz zvs|576eH``tB$QQ1UEZNI+l+ho*<)u39h9Jg|u{9obA9Ptr#d+BL1`AS6CYMnNoTS zE5I1|L6Q8W1ryDbzAw8+xwZN9S0x7@8|us|@MmZ%$90?F#d^N`dN@+UFr5x&4NP!@ z$l;0#3F}>0cw%_C{R+u&s%|>mPClssY>L{C*o_)teiYHLQ$5b_d7F>&(v;{SD!|%~ z4uu7uDqCEz zoug^q?l#q@fF1ou&AgqCe5>As4sp16GIgAcshQcI|HIT-MnxIETmNC`P$>xk>F$uu zaU_Ng=?3YLmL5uJ>24U27u1&hxs&XzRY^&n)}|@-ut)U z5N<)yu!O2(hE-y&IlwIuG`qB@k>9)Qg4{nB2Sh;_riE5(_*-b0n$xDHq23xT>+BtV zQ!--UwX+evfgIY=V;Fx4JzQ?l+vPKyeD7XwqUi`7-{w12zvOZ2VB4@q&$k;|+K8cdp z<*ZRQoS?tXMvH~_2W>2${ESyq0rI61;ZUZr@i}63)mM+Zr5DTBMc-F%=Z9L{t)qfZ zC4|ws9`9~Rz~-&F@!hsl88bF@UXquK*>z)GD1HHOd|z#1%S9`0WLEyhUVHHWd$s<1 z^d3B?z=0{I&r(~y!g*vMkLXg=7`chdZamTrl1|9<28r7@q<g(-$ z-Q56({jl+XPu3Pz2w!Ar^p8W;Ws1}I8d=$2e zhrigb))G-QDw_GyN`*8dL6!7V{n!KoWpyO9R?tsJr#!t=eO%MQ$rmGUU z@ox_>`|W}FnpktBAL?yxL?vvxgA0tXM86m<77Luwe>i>+?6kLT$;8Bfad!k7nJ)XB z#rQ~nxa!@&CVzs&?0@k>VAgz6oF1f?Y=c;vzm8H`WV1B)#+)T1d!+?( z$-p5j&lUQdD=zh8^_%m?b_Bk1>Q>h96%%GsTG~7@2;bTqp9^P+1-Il!d^R%5328Z0 z$cachP5ktd9Hippk!egX!p2XFjOY)L5RP!FZ7O*t=?f70#YkC@_Gy-+yr80T7TO21 z!5cQ3Tbx{c?OUpwd{%m|iV32WhPSe&@(w;&IBoE0#)ENX2?iUWLtbAeUcG%5IWkzS zb3UWVVVZeosr*q(fo& zww4E2lnKnkUpYOotC@Y_$W2@mwP2`BR*59&rD1tS#8n-YkEH>S!HAv%=r7FCDihFt z5EBE0cq&a88H5zp17xuk_Z+%Iyg|aJBQWE0B@TKbx_A(N0dh7ePIX-rEgPo{jhUaH z!Gicz?`+h0bxWX!yq{GdUoA6kmb>VV>~~6ortfc|=s+`IPM>L}ADg^cetv)kGyZUW z$cv>PKQ`$!{>^(r9L4}s02onbo-^_^g_}!Z63GQBRy+!@8+6d9+8LXe_+%j}ENsX|)}W;vqpZAj`X!D^YL1ha*Y=(O z7sOzx1I4t^VAq36N#z~bA2t|%UthO$b^W~2tYn!wrAa!wk96WWXyp-{p*k0hMqo~i z(5*!bu|wF%V&n*WHK_-bD3BYXD9I9KMEH{_NI<8-h9I`eLQUpbCV9n4r$z^)L!m|j zl*J7MAThYU0hzpj#@^2^7B*3({q&@bbRs+mr0JI*ClM;(^+lg6NIMN8I`q)el8GJt zCFt(sp_HEM!gy-gO7_i-a<z#g^VCG$vdnS z$js?Oz1!}SkM0jwZ_o2{B>mUxsz019t=<5G_@^pCY zS{c11bFKq%p!LPgAJCt7Y0g*j zj6DoaJbdPss9Lx@o^wcjN8h_P*h^D=KVISbVejAdPe-Te^q(4C#QK2Na4XT-A`Am! zQ!s6lSC=qRQi~VSJz1>4%F5d0yz~Vr?R&a;dg`vOKJlD=1nKwzEx`#E9S_UkFf@RvnEzGjnKjf&`<2{% zllBwfpVoWNgO8sKB2hjZ{D}MH--rKv2C=bV=C{-MuX?QOZaAN|<)~}w#v<6O7Wsrh zdo;D@sLf+tVYQtIC<_qtO#$m;}z zQLTgp)lTQR*Q6FHude!_Jqs&H7)3ruTm0IG|eG^ zkMBZk)%GSxo(&PnU>Ti6k$T!ul;%?OgoHmD+Ht=7D~!xoWa;*bM8TbM3~U3HO4TK7 zT)Z~sC6Yl!tWpiV%->skd$;HY>o_(|OT51tEZblm5Bm}RHHQmEU{xWtI^nNr&4n(w zA&7mRZeK7v-N9KDro5b(j?=G|Nm|lJ&tPfjU^y@}$a!tnp2YF#hbEWjX;sG0&=`KN z6C8T2K#J&@e_zM5U{TxvpFIFD$>S3PlOUKP{&yUrAFaS>2A@EPEEXD>K03$>rxL(; z9ZLt3lpSGU(WUWXNdWM>V2WjQF?z~i)2Pv&;E)^EbA5P{T44zoQ;9lr-a)+(V(qAk zVO`?R>|ledaz1bJ?VXW)H@Kn-gEU}t(>)P0v-eQ{r9ow`jED3_$p-{pI$f{J2Vfo>2{k82&XJ&+3OPrC(lo zO1eOh+xzCD$xlxin+;s~AS*JZGSP`~CMr0CGd~)yXzTrrbnZT@~dg{mssFtQd za>7lDH~FLj=|K7>0{qJ@$EIE`Cu(ExvV$+S1Pu9MXyh2j4^^gz6dRCh*(n$~M#uu9 zT4D2{kPq}?agl`u7GhW^2Y`FO2I8gUz^Mg=nu^M%dkZ#g0HJOXGVhkt&#&Xal{zyk z4D!6=mmEM>WAS63H#Mo`Eh6nwy76&bZ#k)sWD%Qn12q5ey?foV#>;cS@u-;+EuK~; z_}_8jn32fi{?XmF%;PyWsv|`}%D3O^o?GJ5IQR@vec$M#mMeul3KG}hEX5Ed;&0Oe zy{2Z)VKyg&CNg8x%-Rj0!GwHV;?|>d)lEzb!z*9eLrs#H+(=8gWDG3rDfJ6}K=qZi z6q&7eMu_xr3UhQNaK5m(2F_&v=ano9h76n+v7shEafEE4`60it#4QZ;!3!f%{?td9 zSW}TbMWbTRY0uS-60?64uEnmDRGQ}(of)`#ba)tO(?esU3x&JaFe#y2I1Iph0r<$~ z{sd#}zuRZD9Kn~af1X%oU-G&M7@|4{9!Lf%Tp!~)s@sF;4$4^7bwB62#{ToC)SJzU zYSG&6kp06e{&>i!AblM5bIysq+3=;rs%TIlJ9GTG|2E}i#6zgRh4j_m)p;sr&z{Eh z$+L6UN92IFGn*6TSR>=@)#R`x_o7gi#mAXG`5WG*Vi)S6fSd0N)qjm-f#m&gW`Wlo zsVMvW#E88)JtB(EUZa%L@qZ;_a$;SMEup)w8}6IaGL!TagqnQ(wGCLZe904b42b|= z*Xz%c0=}p1mcMPFT9oXvIMz=QbUHkVjtEC$kKes}Wxtq1#o|d<7e6X&%<-hFp9Mz+g7Q+M{D3No`+aR9l@mLF zCCn6P5BfQ5`fS?br=s6;6)d@t#V=zLV2-zqHP*4yizYeFInok==i}$Q^Qe7?m{bda zPBEWAl%&Mlg~lMJ4GzGr-?A!?G@!)}0XeMM_`2SGy|ot?XDLl3KNDKqoeWzY&h(^8faf|`7Er#^bxZ7qqR74 z(6Oo1Dp^_`Va2=kt~3_xkNA`m`MDA;x8=1*zSl9IdGwx2U58u4-%Z?27wv>)UmCgH z-ks*850Re2Q6Q>;SGXL7arSR4HbrMIzh^blC#kUr;4)Pm*hVo}b$b&RScB~+qkfdB zaT^>85p~OZ`1%!=)JHg3?1SH2$Nw-V3X7zY%a+Z?U=G=6CSy+gQI!r!tXMWKv~xIT zijAz)v1j@BoCEPHXZ*#?bDw}%&S2$0kysr2;bs6}v9cl*M|2Jv>hGy55j4!c%lwjF z4G+}=|CX@700FQ{*)qNMWQ+vik91fgDt7fa1mfn=a<987>QW60F&rIWdBV&Xjyk0H z!fTF6crR3VaAVx_oM~&UHPMf|2#P8VOav1&b=iqSDf#KG!NR)?c0*)nx})l35=lyE zxoOYezj+dofJG6)T*nvn94awfZ(+%9Uz`FJgR)T>P!-bCqiNuJc5qRPd0R}(UzzKo zHBi(MIa(3V%?S72D>&GyPh6x#pNsy~3#TLgDg@M&87r#SEQCPL13Wqx;g*|W^YF(({<#N(hT-eq+!S5(q> zqu)aP=}OJczP9ZbNoMllLq_cUeOe0tp9gz|-7>aQf8M=!LPQ(LRP-b{7e=Sl4T(}|DC^z+X(Mtn76`jc*#fZ{h^x4g8+@_ow zIY+0oEL9jVh=~%Xhn0E;{rzH)C|Mt4^)f2S0TFA5#;*o~{5d?dZ!!WZX;MdQ(ae2Y zs0RwQ7?MxI=*(HRg(5$trIS~6Jc#c#rqk)MG9G;eRG zb23cqMIfCn;qOgEqKi-!@*DQa1Hng7lbex}odLeNFNYK0TyMv%qU2PQtON3Vknbk+YLCu#@1DDbdSG_+l^yP)Ll+A^v z-P{u2jc}!cNG;~Y{kI|1+Q50#`N1pEs&x8te7RBTh}w;^r>nE{E3-EA2zyY*zBd4) zu$Xxk`Xlr0cIv5V!EaF<`h3p01A_s#4iM1WAFlKVIyXa1NFZC^y4m79aPmq*Zsn~& z5^?d@z@KpvJ}%kjjn+e&Rlu?2idqsIo{!7RpcQ3dTcTJc9LS_wqYI7L9lX@YBIiW2 z<~iYN(1DkK|EDJae?LqL(>7paH-dHCPQ??nq|h(y`!ckB`=){hhO=hnLu1OJhxE>< z?1Ioy$e|hz;6Os{JI*N_7W2A9S+rfe6dXH}ZH)YRf63Kks+ao}shkpxuGdxRtH-<7 zE&hM~3rz6+@U4~_x7%}Mme%PMQBj4j)Ql_c%>U|Bc&}dQ)W2vzcU-5C#hKO`_MWd4 zO8a>8kuS}n0!~8;XmY+cekCDd#zr>hyz+tGNh4OvlC;4r;LJ;U(CX9Y^Jm-H7K1q8 z0zx)9{nuJ}hhJ0P3iOMp?vkjr736(FLAhJ@;2ynWRAOJ<=eBy9$cKVfEiVK&uH zIJfBxr){^4C#;@*&Z!JGI0ft6I)>>c?XK6yQ_vKp1Sc`|f0IpD0F$d&EjuAEc_qI;w6~@~U5-Y1~r@vQ| z+PnG|lmInc2*M@>V6K50(70ripfqHh^)RB))G0n>zyv)N^58AAL8c-D)^GO|w#Lrl z(H~uF*Z4nic=TBvz7PJm>eYYj4=KW!N7_>Z0xh~;_HGBWxWEssCj?LBPl6D}7?>4G zX6^2~ym`XY*Vsi!(l@nQtqHtbTs&;>!6eyfLuK{@H>Wu6RHz&E+yOFxC7p9~DF%&S zuy*IxEk~#P-Kr0Nu7dtMRX8CS;?_Hg`u;y;#>$23Rvd~x1@P(X7L1EEZMSP{PuQY| zx8A`$)z#I@bU81U|6T__6w2IhXdmCsb#HU! zIGW5BUS4C5XiV3zmV;T}c7-ou@ ze3^9O6?r}2)-77brE~EzGf(=PsEl5db{ zS##3xoiAkZ)DrT4Z0p}JkYJ4M;d8@1T^|XFxVcAxdO((50wJjT0lQ9@{S5@ETTxxT zdKpL>8EZj}B86y0fD5${T)gSpB-Y|c#@-hPJ_@}y1Lqo?u8?+BF+#& zOF*F^LPb@Th#zF=D60%f0Wsr|;lxhUhx)!TlXv_}H{txH@A)admQ|4L;&6s&RC#Jd zoRJTxD^t*R_3`0y6;*&e<8Zzz8@YLQjZe-KaPu=C3r2etLJPo-ZXal`M!wxtY+OFn zno(=3^|O3GyVR+E#7`?jn+Ccw0uuZ1O6p?D2%`IJN5G#I}iSer4Q>1 z{^?z{vbM8j%m-!F7C##_L+h$A^(fu({qescGa38@G&W=^99@?@4Ln%!G3|<5sw_T z*4sy_t>D0Z5QRz^y?vIhd!Fk+7EzQ_^dNE%>++Xarz51*uw~2rvT%C#5F-NUsw08Sto%FW%*Qub+_%8J(RV`Pyll zpJ^c^r4zzNur3IhCQcNcIGG?J7zU9oOGTLwViTb3+~Lp&u?fR!(=T_e8K(8+WC!~1 zhycn=+>$i5h(8!V84Gs*y;8ZAG#%{&0gzf^0dLH4wiRzk?~J>KXVIwyCpv~KJN#{E zcw?nONEZc#Brum+bXah#fs01Rgi{DZn$sKb3F1dhq0=vb3SzqDm{Pb(Qs^+*AQ7^# zBush^@Dn=7V(xRy0&}&v5t{LL@ASmyCKj`j2@@qg%Ft&dWzb&;uwiC|i>GsOl+i>a z5Q1t*O9Wjk1!7bUj~rlkll30O8|Z;jL7a`OoV?aComf8@0PY;rqgcuj*rJ&Wm*}e3J@ldV^E1+7xEv-W>(ot)@kN4+&6j zF_tr4bwCPc=CpbITfQE?n#byj*y^ike{UFb{BM$E01MjJt~Y8MpD+E5Poxy?ZK7|Q zm1|}y>9OB_v?ZZr2NHXZTa{p5@#)C@}J{t-|)ZZ^Pmz$Lp zpUf29otT)YU8?qKKdv%=Z08L9{@$>RZ<8(Bcy90DARR=t%uoka!uS-K%v3x3Q8VL2 zFjBu-k8<9l1N_U!xKyhQORfaDG$)cU_Ktjer-zR#)h}RTV(ZMlM;i4c0xV=-{dG#YVy%euCq4FI$^ zx8J^9_a9PWnat4uFx@tqH`tq}um2}pD?@UL8EfAqUfIlm z772^2%Ug00LRFb5izxs&^cZ+pR;saFiG5HCF?`ZlL&&(biGswT_t|mb^#a^|Vy#sk zfi*g)ME!!E2{(*O_v2zS_!~TwydBkH@&S$}E`ZPS54q#}6i^wTI48yPl|ct^80a@} z5&$TtG7-R3XfNUzsZ|cC6-tZZX8Qz$6B@Rp4&QBhX4-e1yuzM#Q2^*L(e-WIydC@; zW^wp?xwPhR>QAVhVMcK_^x3MFADmXTo&S2Y=Y35j#X92wQQ(6#*Z!>Znw2Oo?qUaqxHL+H8K+ym_7*Ajtk4|+w(&tdEJ{(H7OpKU^pf*@e`+@Q0n$} zBR3|pLm{PVInu(ZCvS=uj76kT^PFbO&}-)cVW7aP$5~t)xa8yXmugq)!{(LLWzYup zuajA-s?`-Ik^b4w0edme25MCUd1GO8T(whv)+>G>ng(=w2n%Zz8lP&~ccI3Y-zcwV zqKaOh5b#=;OVUAD>IizFZBhSpsKV98{VBr~TPYn0SPx z`(8CnIULCA)Y&mbF!0Yd#UFbadrAUq|2FrPTxUo2AWC+WeYmaGF)uVo2!|n}U`2-M zVN7Cc?V$wB!bsyyf|{ODHq_=N1s0SAY6J%jJ-ueu%^4;q2|2C{-~CrEkA-WkN}-U$ z+uF&iN?kzIFAD~y-nx8@h)&8FbYPx8c*YpCF4^?lIHRFdy=iW)2SHWOI?g*r-K5AT zj3qcCr(XT7itbjSDPPQYm&*T{gAo#8Ai&eN$rdtYXssK=R9Y-L8Due+cfh(6noO>? z6O9Q>P&B(tY;(h30u)CR)lz7o8ogh1s#vCy)_8pqv3VEtf<${%VpLPYevvH3bjwP38KY=F>N7fsRxX%TOiP&z^};#Tj<+gww4%2~#n%5UlskGbvTp_A>#pkT`k4C=&WNq;CMH zxEW}KCdx_$(BXjQyc}l?;l^&p>6D>-b=FIVfLyO;W$IHf)kk_kULKwu_ZB{aVm1e4 zR*UhTRsPlTv&Xf|97(r3+h<)gVKw~tz{JQMF9sn_D#c6V&^{d0UOD*c_VN7Cb;1AC ziTFH@^w#t&Yc6n$)9fL4^*Yz5eS>Dp{dzTFq!>AC__$^Ezzp1V1>cti(63g`xXw$_ zNnMU+o}Qi>Kbo^UHD$%sXgVgTQY*l47YxaYP=~omCr3o8x2B?OqJEO%RjfhAQKx>H6_5FKEfo`37gL?yWJNMI#k-fX$1hsQoUyxMj(_tG?F(UmLb>)1=-erg#v z%iBihmEx)YKKcE}elKSMm-E|M_$F|LMQTsd7XO4n^{HhYz=lz$TJrICNKgqy2!{8j zFc`?tF_W`@npn$(fzCjP|2>|OKZ&wQ^oNA!T`wts{>d6uqNmB=`EjM9l^XD!ob!%I zG~c(_&sdoyYv{$E)dIyYJ=d+K_*&;a(Jqq7ExvE6cM#Mi_$aT*Tdf@q(2CsBx zE;9O;t}~7Lb+d$Xl_UGjg|#`JqZOF7tX_VU_$$#6aQ7$asI?w{MDYCL{dgSPMTE~% zoJjkX{MAl1jcK0!?Ls>s%Lkf#1;&pdE@G>hbtpq#L_$3D7`pLl6*UCcxxe?^*z4P#yxuyeYD{I6_O|9o zKVXa*+-x}=V!e1uYSwOF5`0;esIBl>r-ECi*v0o`hVdSKD~ueK^?F!x@fE}2*%EXO znsEl+?_MblxJ9Fmaf-N+SQ(f_JN^joE&{BtDMw@E{+$mDzQS>$^v^^0cF^RdvNpuVW#7gBYV+ zFb$lWwa5KQtudaN?m;&8M2a6pbe7*MG3dbET^L|GLW4t5*=|F8MIao2z`$scDa{7g z7x5=ywoNkC!T;LLC-5;O2`o+3 zr^y*9slK(W()QADP4ubX?I~Of0-&AmvB)q+lVl(-jXyxFgd zwHgQsP)k3>q?7+X`+^<=#@Czbz==a_nF1v-VE)eJ#&ZxKOYrowO8cL9iC-rxN6U}B zA-@YdO;GNXH4^Nn(x=DClxF;b42q=oj-Y~uw{|!FUzk7)=8M%;D=vE7itJ^`o>4i% z>1;1^ZzNWiXf&lhZUop45vyeLrIg!%`4vNhkzB=s8c7yLv~GQ7YhMJRmZB_Qe*q>t z6CN7I7W8=r5j<&%$=f0*F;a3up;xy;)qbid0m=!uG%YRL2XjU_AxzcHIN6sF7qwOj zBtOd@k4#|xX0p%9s)G;nR1Z+;x)~oshkE!#;NSWUt78946p5+eL8|%uV(H;D?3=H< zOH0C%K+1@)lc=E4L)DRAi|*a7)ot}6vU4G_l-F3)E#TWh^!Y)5C>?I-`+-L8HgDJ4 zDU)Z7J%bZha)gGCDM=L=g4Knrk=l!#&Z02{_AD&#JQkqv)5OmK5T#80dOv#xJj2>! zBjqaZIHi&l!x26efc;E$0f>on32m=HB{J6|N#}gJ% zKaiZdTI*OO7KL72tL~8t6ABrpg#%*x$Vtq>2|A3giJMPCimW#HuPBQd*^6L+H%cPF%uCseCf{Srs*XC5d% zQmM?n{WsBvDcT!-w}0hK<>)@_`8*FD35(d<9gqL4w6UenzqtBuoNTbR4wU@Qsyno_ z=L0iQmV{PT%A+AoZdgKOC}m~}q=7)Ci(rsVLy>q25MTuGlxY^C_)AEMjaEk>^g<3H zJK~ztkZ7h4U1{uZFBD}#gc((q+>^D#uCnDIV!-hhy{hbyGDTzbSKzZ;cLAMhmP4C= z6FxLTZgk-%)D8=$?^k!P>+A9BwPWNQYm*LWmd8nPpKFH_3*pVCK0^I39cxy4jA!1^&+ zN`PeNmM6S(ia8`{@^+g1sLgLlwsS34LZn{fNnKy9;gaS;yBs+oVM^_0-E2I-N7V0T z*|51XGve$|6C|Yo5GDSWyN&y-0E7J!aS|evEAmA$iX8Mq3(sudhm+76QSe)WeERz{6ZRjB)ue6pr! zRW@gu^eOAN+nI^`pTXt$`&X0X+d*SeBeyXgkKcYJq2Hnb5BrS44Tb(R@IArE}aCljEhm1RXm(Y8(r`W1g zjrwu#vYiSLwy}6kW(o4k(?;8KkpOMK?!3iEu_f^H9}m<6wr{_kjTIIqmyW-%0?g00 z$FhZ;{cKL>M3}WDC;abD1{{%wZ8UsKh#o2X>e$!V>fxGwXAsg?7sefKJ68~l_d#{v z7BZShO*_t*XZ(&o)82+3iCObKMQ2f47k%fI$ul-&$ovh}UxDdR)EkG!?n1C>?gKpoi&_x`nmBgTo0iERm%o#OB7Hltg`+N@F4&xP{N@-8@{o|w%2(FN zsnN~rJi;j^uID%*_KNgCfzDn;2#$*R(3eA*N@VPM%M_;DJJ1s`yGCK>mmM3eaXaMc z49S0%8;3@o=Lg-%0nOK_#ofb26Rm{zsNt=ub9tE|iC(pl4MXc5>W%AhpCA-es1ud& z{o8&v9(*&efM&il^6TfflkL9x&p7mGd5*=>K+dO=} z+20x4O|M)P?7T%jI`5ZFUW0U!|T^zN0GCK}l~BVEJ05bqK7E#rk`{VpNqZbA&9DKq7!kCtlVD z24Xy~g0_DDVP@aHiWN$V-!)@@!bMhoUPAp&fHHG0(@j3yKcTXPSa2Q5GI4G%BDY7^mv0)|1A(9m}y8xD^MN*L!F}XA{^h9Q= zkZF>z>AgdGN5SO6JW0TX_Zy|#pFa6{Ka0&474=_FbY`UL_gCnz{)Gl0hd1ZD_+Vo0 ze>7@q#u~^oxuA>*(Gg%@H~ZPkiz5lV0;IbGvVTudd+yM8^3QTzKD#eRn@;s8uZr_Z ztC-f)WLbE6de+Etd?rS#*4pWm7F>4;CEG4{CykSSySY{mI`??Qp!04&wZ(rep|bo} zT&VTxa1*JX~D74KEUpQo~8Xiso%#8Ve4g_FY3& zl68RcL*L6R`69HReL8oZZhus;OICz&_|B2=(i7BWd;>Z+i6mP{Vb#~1)L3*8dcLqO zh?|vKy%lq)z-z+QajC=}K^Xk-`x#mnC)RKBMs%R}AL!ctc_{C1b@d+BFKgthXT`3M zjdQ;7XR}R#ws6D15u;zH9x|66TEoGw(Z3t>hzJUvbzXKH4F%o?ZQBO@MyI_BlV`LS z>B+8M=sE2z)3zOukA}CS125*~y+~&I2e71=>pQ)fq^_^520rJ?3KKKed>?7EHNN5G zN8`gwfa10PLt}yPH+-$v8Ya1_j?1C!6CQEQ&=wLv zzTjzMNSb1SbsY=_egRbyfdT7f>eNxixpWM2bBcEqIl za4cexFCP*C`>A4mCs~>Krm8*ZbFR3<_P_ZGtNrU}<*V znQgVPG=C3x=y;2Zjk|@I9{Iup-*C#hya~I&7sSFiuVSf}2_*(xwWC@o< zqzyqu$sw&bll$9u5Tk83_8P5d6<0|9%r&`WTxl)ZnbLI$gkkvT?ry3nP(VB*T#`*_@>QHySvA z;`#@Bx3tKFc^3ZahUd%-^ly`xv~bFph!9fUT1w`0Ec6K)ip-U-v?4LIUwMCmM>IHB z9e`v>S)ow+1pUh99;AdJ?sdEQF`0;o7Hs_OD zGkyK}TC0KG-CYzmbwe~wOTYu6yVUA+@I+*JO?3RvpFdWgrDmOkJz9**BUG4!_Mic) z*Z@^iK5uCCmS4L))2-QRgPY}#IXvymwS|S*$LrArEj4xCh&-~#b5*KU_k%&jd>{96 z-#M$Z$ABYG>EfUr1)s~!oNvb4ZYGaQe<|96jLN1IrO(#>plWFi%KPt`ZCmn~J_g;5Wq01DZuC|9-8gLsJ{y-j zo=(~so-m~ed@bO91j{Srtt^PcxC&U43lX3~#UD;=N{bs2w_{K-5<9xf~@ z1p%4cMsEMlx_^D$YpZu9W%_%;N-&-1rT;Ul&|8WO>AHl-v5CQapx6Sn08t;w&-Om7 zA#?y&J!TRBuBl0*7(|7*67YS8n78cUUMchL$Z_GMDSctXwkTvCCnr)YS2J&8I#vfv zLMarIKx`mDg5TdDNX6j2-~1{*=`H3907PtL<4(;Q%V0ih$cP6B_Y*7k#Vd!(vEbtE z)uPZ`@^K9thQ;EzKeC_Zs=pM|su&yi(v^^?H82fUXlr@-TC;71ZR-@k(UA7ubh3=y z@sIZ$!S`S-UOZotr=CNH8BRxnWD{6~QN@k;=E+Q_)Z_p#y4M#W$U5G-pqiiv_d+0- z?RY-Gzk8yqQD>`kD~k5oYsPj_x9#f6rt0=%=+XO@WkozpMRTT0L9`%^zigg zC5>CMN+S$Q%QDK0*ivI|yRnou*@oU2BwO^57sTxQB(%hI#b&8eZCnCsE%k;b9=&+J zkUq)K%;uLsQNeX| z`ik_l83?vvJ05U?Z`PDX3`#Nd%#yTZ)r^`ueF#XLM8i@E<~CI-Hd-=7%YcddFny)Lt zk+~7dkxT*T^H+&U_mUN)b$w$!et`+R-jbZBSOz78lsxG>F9@VV2Z!Jmh~q}CZNhNZ zv8=J^em;W{&J;>xN>RzR$YOxytnj*Dkg{}*p=Snfu*{H99Gl~;5$tZ!`TXwX0;~m0 z5xUBhJ;;zta3qt`{(0*`>wn~F<@cX6I?vJ zZ!7p0t^al#&qoq|&tif4d!OdDM7*2LJC+<7RRlibV$i*Jkm)_AYMM~X|8~iVYSXER z6cuRv`-V60UBz3oj9iF1rCTD;I|lPAoeCxD;v|4ATy|}`9|xx_7GG|&E>mUJmIY$Y zvfEJi4okz35yt;Tw|c;hDSXrnX8A2!o!${x^%)w2FtV~LZG(>zkD&w<5J*F3@ zMpYe;zXzV?OL{GN&29Pn?<2MaeTPuh?T5&@UqQEr!23V*wMy&9bQX zz4GI-Gr2#x2JH==FF}J(B0Nw%Z>#swwX65F6*lQT_pFX0hr8E9NAv$q9dB2!v;C=@ zsUR1@k5_N2JKc`wtvAYkBFT^T10O&C2|BBm6tAaFbHB-S6izeYmcNl?h=0;?_I3lM zhdQljf^m#1`|dG!mRxD2MCKlD+jyO*hlCcleS-g$ACk08NQ>Uy9a}P<_Swq)A5SeQ zkByB1fVC;~`vrGsV(z6qGQ*NEBOWGZZb^q3sU=GAF-drVkG~K*k5w>!R?CC5nog#sa9Jz)L}8yvB$>w@d2GUPGkMY_vC(2^qzY+^OmuyZKzhV zC?Uq!rHu@Ln4>D*GfOj-$1NVQ}n|1yxHwhEV_Bq(nAi|NQ*8z3S z*2$YGi3m@2$;K0Iv!o9;B=xpGj2k60`Q1g83K-&-6`F(%f>UbXe0BVvd^)e;sG_~8 zjdqIxMTmC^ss>=mb^bonHDKdA>&xJ4RS|Sqg7=5B&Kvh6*w0=jLp%PCxsF2VV1X!7 z!ft1nu!XgEN@KLI=biW$vZ3iG#zl1+i^XO#{^}=Xn+7cn0k}~9W%zxY6YFMjL z{a=#4MZ(huHpaMsCV1zIQE}ieN0%4>Oni?$@2?`{*(+|N#}~)EQ}n;e-@hrX-sPHG z?@hW&tB@oDk_xa;I@Pm(sX)Q=hVB|}xVfw)BrQ~;*Tj(jw9kgNnOL)vqiy}|7iB;o z@~K{b?h8-mF6HBBYkY}(rIln9yRE#KO4houOb|a&6URo#nn=?T0U(e#bmD)xWkY01 z2e2Z3Kn)x-4PXEoLGLIFe^)^Yw75Dvg^t-kad+Li|J#YLtcKLHCDY)gbD6tG0y7_F zoeG+}Pcl-cLozWhRI72$+3Ca4EmGhsTe4`;{@7 z>wERb4O*0p~h9|1S&ckTk&6UL$<2xAniD=rZyDl*Za01UR2O?l0D@Unep zg!VpL#xSF8KIIth)BfB4*L{6QSaDo`QeS+I22{=o&F%erzWk90B`0on_Md{NjVDUP z|2`T&o4Vyr`UV;`&K`+Fr)`j~VlS{35)u-?lg@-oq{RNSv=k|ibNyI*`3ue6WNYE- zHqXN)TY9NNw}X5{HO;??U%21glA!PtF*QzKco#2j0w|n`Dg32)Ve3Yyv(s`LmvUWe z!=j1vk;HwY$N25-#(0%(BL+p%QT_Gy_3OpV7LTJ`qj9Mnv58yB*urU!?OvNNwgIam zq63k^nCKbBKaPwW%l&sQTmw&%KRr9lTRKdhXuonkddzmR8oB!LOi+|a0R(#5i$+RE zL`bd@!9iBvO4e|3ejyewC?whLtak~w%`=_7`E}^u&tgMLH>&-EP_s}&6eXzZA`E9x z*o*mj3WHrGcJ7hZwNU(<+gsQ66ZsxKKY#z#i^is0_hEK*$rFfhb|Cz+f#7>l`JAJ4 zJ>0b8jRSgcE{m+DNlM{IKV! zpWu1cO4b(ibY8_ip~w6rKkx}#!6{5+K;g@obgCbe{&j=Ef|DeX&$|A2iy@RUEsz%j zR-5TIQnOFIci496ecNH1`=Q&eZ)^v8o+%EnDZwdMYizdCR-_8GYCl+BR)x9YSB4{A zk$frhdgZ5rj!SP7^WC&_WpyYt_%!-YaM1gE+u(-Bv`?RwsAy16*r(F==CQ}EK*tH0 zT7E-!^X2=l^t@FWjXw_Yfd|#X-a?{8&PBMLevT79!M>t8DZCMLIjceUROubpw0Sgn z?%MntAC{yf_k&Jak>q*Ho(pDD^v}h}(xXqejljkoJ0iJh~b%cj^ybV#a^C z85?n~7Z(b2+L^Wu_B6Lu|Gkp#^QKKI@9bkK>e0WuE>*XP+zGy_&FE9z*y3!{;Ap>2 zGkdR1`*Qb8>TuqGKEvXekKk*$p;Z4lIouXvi69Ro8!AlLR_crY@MPT>SC|2v!TRk8 zZ%)j5ufuP1WP#Slq=cE7xy)`I=&(kbGBdov0tfzlW0{X&Tnvq!oiS8C8FfAHZ_r(6 zZOtI@Fwsj!P3CZ&bH|$=S~LQir{DKwTK=6@ujU>s`L2!M{=|PVaa9rggj4Xph9v3nQmcKeGGY7bv;A!hS!KB211tn7zNQ!Dhf4)ZCvQU#xl4U)#| zj>w+AlGnc{)z}*s*X2ni{-N)02?MFUZsCYfgSEWcZod7&gW_+XB0bA;rnZ~u=;-Ku zuxEu1qut`)t-4C@Q$^pW8OJ6<++m_)b8T!kmwN$D)nUbFTZ`|%MDyI0d{&1v4?~oK zN0C^>DIK>JK7`?~Ry;7`g`m6Sp0Jf~M+XM*exzT0n(fhl+K=flxQ!8VTiDzR%zpdl zYI;CeRYy&mG7^c$@HQj#Iukt}4cVV+Nh5`+NyPX$NWkXbZpR4x9AV(XBEu5nbPDUl z$*8qb$~&r3uO^z#GZrAyHbf!O5Ow66VMpM7ugNOqlgW;W;ACade( zO3lyjI-1Ug@lNh)VqX8azK{F99F>Qw8W+SPgq01Ezk=aOCFF*b;-Jmw$PJ>{R6?IF zi@?W+r%9dYgrBt99M!s|)jZK^GV@HoLr5-f&*ujc+?>XDK&MlbkZWA_B@X0IPh@eQSwQbA_z%AQrn8#K+mG$Xct}QZ1B4yD1;I zlTSP&_dk+j`=Lewu}Fyi0IA_c4OfMY0+m_!BpQ1p4?s%qv-MasH?Tbu zeE9m@LT#3sX7e|k1rUcu;vfxxolGugtGDsDsZCyRE*t+;vNeUIpQNDK+R1K2C}#4c-o^pKFl%9EnLkU6-Q7_Ln1vk8<|TQe3?Ql456^y+@1EMZ!6 zK3JHLx7LD0RraB%GfG)631Qc`ndjA%He8T!5ot~C=y)qUBj`0Wmh#*U*`3}&n`ZEv zIrIy^_tQp5J>nu&@6mM}shH7HfqJGa&#%wFU++co+PT>u6o!WKeLC%E{BenIb#&(L zapHDNuWF(@{m@0N81en(sq%DrMc=EZ=@c((b#dMRBdSFuc=L&i(eoS^WuWkNVs$o) zX+%ZcZ2j_2Ma;|4bfn;oX6=f|rWhll$ZPpASHX6_%X<|C;w_7np`PGo6q8=_?_9z9 zt)N?P@4y)q+yUu6?Bo!?K>q6gRrY()4t$hUlbw zqajQw>GblvIGH|`p}V^~QbhB@LQsw&$p3u*`q7PCZ(~stqK*)Fb9CKY?YCr5IShs%yXMowQ5d}1z_3rXr--#M%B?v_t%1jYIOJa0|lq$6EB{NWH zlRRzp?SGe+s(pDgjhUN47G~=UCK?-uqb7;@&tGOFh3Wj@pm8@@+rz_yeq_1b``L5j zc|DS;{b66|y~$=}pe$*7)%H+pNym=y42TrX5thcJI@u87XVGFU`^+-znGg=jbb5Qb zjJp&-!1z3UJNVt(`!#~{ROYD7(-RlFLgR6{<8`d6W}N0ewlSvu>dxEHZiG4WjFIsy@^0rH8`&;RP7f`Tw$|2BeMZ-iqKt+%C8OuiRv1S8 zDf7ZZlGa5U8>p7(Vm2025|^a< z_VD79mzsHxwuyxlb1+!{^l{$k%wRftxu(P8Fq0E|c;Na;5WrJE$+4vIzgmjMaa9_k zL5La4qTn~!I8TO&6o?gj9?I6VdgE-&J0!9zT|z~zD+GwkC72 zrZz)tinzBSA`&$%t$&A_ul}MiV57_+ig-Vhr7ubQEq#qPOuDlto_gn7amZ;>@ zpArF+Y{^26zHZ-iDLCf_YFx@oJ_EA_N8RB(x_RJWJb8RPx&F4a^t5wx@!_r=Ci2q}H$@NWcxoX46v`$wi;Xg*2$you zrC9nY^C+du%i)?9(+ARRu*vhK$oYy7Mef)mN>az$OF^7B(9mms%lHYXlC&(sxP^YunkX=Pg%gz)d( z@%9hDk|%Fh+x1ScMF=lgyUmZCXRmSac8(s4tpS3!no=1C#mBQ;7Dn>2PkFxEXgkfX zJA8TXbi#I_agx@4aho}ObadeZKThSeS?Kan9c-GB7wy>6w&Do8!;h zj0`92AAiRPp273tVt2S%??6Zfe4nx^>fLHrd~y)_*D?faEZxojscfvc9#ixjr{wGJ zxzOoh60deBy3|=twuO4s+iln&jzB^u!g>$jphzSKnaZlw-GbH-0BEB@km$6tD(S{c z!Jk#UQT2qblK`jX%E3Q0@pcyd?)8i#O%Ml!UF9M6C^3F?VAqi+OMz|t`Z4nyp~`L5 z!Mfb}O95)n;5A>8f>i+|v&Uvdp)h{eaf!X$ixD9|N@y|tdvmO=5lrV!dpWM(I2>ka zXcf_#8R4+;-=jY>%Uo?wDZUO{XBprVZhQdX!GSkF^LP(Uu-VLq!iNdyGKbgDZM;j@ zt|x5V_T=g~%(|os<9N#*#RCx0bGpqTVw6HwG+=Ae%aw(2awr}#4D4Nlb$WN zjnvZ{f>h@ozL24A++$T4_V5;TGozyQbbhh&&pFzTffWv5gC>P$>|NJ=hJQjpHIynR zzlwAshR;phTE0Dw3^oFVT(_OY58Yf(2(oj|`nIn5JWYA}TqfAXsvCmTlOml8HetW` z31g9A+8fBoj$k4#Uwgw>S1}5%`x>ky4@Cc%1#0In-S{0_GAR0L=S2{EnD^vXe+zN% zhZw?sWxl^P2fLf~Mc_g@)yl?bmHdX+&Et>zBe^z?fZLnWTS#aKC%rUO=S6;oJjmI( zx;HLR0#n9gVOJNpIOTh;9@-e-1hWyQ+NabHF!457vXWQ}FxND4tn+F44D4q=pA9I! zOe4&9Y^~lAkbG)>KCB9EXv3)L*x8rVjrM|~lf9oCm12^>P zTJ8Tj&Rt1sapKWABVz8tg9yJcP%hSB=gwzt>froAjM>G9-cgfqE8-(z%U@AY`^pjy zu^+HdZCk*M$&OX3Sj;AJFsvnhh~$xnUj~t-u$jx?WxFm@VahpT_cG}y(~6_5of99z zFyrmRZI(P6Z%5})xw%e4;6nj)Mcj|{5!p4Yb;fRu1xprow$=Z_2f(Wy)B!ZiW0#@DAI*G>BL;T(E`kG}J>CjN=pr@nf|n2?%QwBh+X#!09m{|g-nnjlT_ zCuVixha1=c=RvKdMeaM{%w19o5a|yTJa1wfq0`VCE z>o3hsxFP3HfX`zoLdKCYUbg3ae}bZD557YkEL++ijGoxOMs$(LB@sk1F?g*Z=E*2y z&XvvHld5neWhj=tmaY(Njp$$K9U=@SJfaH=z@)kz({b1YP9Wu}Lr7eM$}PM!x6wB% zuepF7?3N;uWzMEQbe8xplxpr`&38xtm|{H`Vu&JHOheYw-0tE(C0%_? zHKuXoEPrp~wUUW^K>|1#M`q`~Q))2&2&a+Hoi$Z#JFbS>@(eU4qWH@fvovZ}UXn`c1c!;Ula}@6AafRnDgz8m~f&&P8tqG*MY0RYZM( z9WPr)r5o?m`uNL_d3G`9#QRj-w>h}hUIRt>=Vxz^mD=-akJ#}B1)VZbfSbFLKZ!cB z`P;3e;`4~&>BCmX+T;513`*zt3kI`35G&oa8RdH@zAw(S9p1}wMmiqZ_uCKz=}sWc z3a9)Ga};E*_7XgQZZ{=r;cZmTd^!?(c|5Pi$QF9qUCX>7^qHCzX$;M#L9hU4oeb|^`D+G-nNP+n4>n9FT;oroT`eLGe%14 zz)VZeT^K$Wfg~@RNp7JM7;z1H)dpu-b{li|8y%lCCqKXdT`|ke$XXK+8n!sNPisvtn<28OUN(=w+0(TQ z6e&Yu=B&oq{hon)er8M=q*!ofdWoN1?#yDW_s!G*gO2%llpv! zHDU9<@CHrfvVyMGt-&WETJ)Gkg>JcYB$}nSR|JBY@#^H{QYLOLtsLKCeqHCU5%qV4 zA!6<(Mn{Pug(zH1Fn*Yw_ZCJ*tFEtNtn$Kx{>Q@4;%sq@DaP6uH_gG+M0tWqwrq29 zhJ_Zq>O)GQ9z{(|47J;r62yvyMuoJvQnKX&CSf{$APPrs5t=DbzkPyY1YbJ3nR1hiRQnh^0jC*3S+kIZMNhjMD~>>E-+nFpQGRCUu#*o z+*xI26u(3&a^Ze%V;Px=B$?;5W@|zHOi;G%`GHaQ;BMrDWBoI);D_h~f>MYa$Dd1g z(S2c`%lB>o0lX?e6yots+sihMbhb&k(f99XZg5ovI-sNPaQ7i9ntXR*Zoi%eEx9YP zu$m4#`U0Ag`$Jd9%gLtsM%&Z)gx%Y*-IOJ*wrsZD$E%D0r=(WJg59 z?P-Ye`0od=7-bSBd7RYFwY$k6Pl{n!%@1a1WtpY=9w2~O4CqhY<_7OSe#Ly17b{Sf zwnq<(`_g}{GgFHR5zb3t*w)6eG4xAS0>JXlMg+Jl;M!FC!nlRy z@O%ML$<3C(GG?RJbL7YRULq%2jhj~c-C@ck8Oobir4R&+TUIJ9E$xv4WjlR46_uXh zzDQ9VMZrrs{rj`+8a@L=6^(3j)wvw983?kT5H4mVYekiD$&V2R+7ECNPiK*U)uQRw z?1h_JHNLdilcL#u&c&`dKB{J5VDQaasULAUDN}=g z`WtF0!-w&vrv)6jL|xnA!NwbEYGeHlQHQLIS5x$(VZwC2`E|9JF9yj41kFKvHGAwcz? zIGcEVOo}{PVf8U6p4HR8e)$8zI3U$c!e{P+HLsmrHA&;zEg$bz0}-tUDIn)0`5zS= zJ$vI=@Yj5H2U|9K?%xs;fYvY&N5Lwek3$>&VfF4Vq`C1Ll!)5|3vc0H9B!hfrd~H1 zZdOOJ{kn|{mVY5jb2k3i=kB?&H^I-z#qHulXboq9rt~0J^g|L z@J5~KQ2h9lwK`O9xmf%F!vL{WK8zM0d^Q0xMl>;dSu6koiDc}C4=-9&lv6_3wFZuk zi8Y-&4wT=XuI%sKsHV0N*VmO|I?>Uhe?_5wW@W(LVDPN9{idBqvl?!h#{##BLr0ka zCrurvV9s$dly(@Qk44JLl7%5l_SuHor@LFt+>W=WcJ%Bmml`Z^0<#S-JI}L;)d-@U zF|212fU)@;p9{U`#0bdE7>PgzywT4OefYl5Z$+=ua;)Qc^;~@F7{;*^zZ7>hn{6qf z@uAf~pybEV`B&vfhH@9%f}6>NmE&}689mS!8$Olh2u zM)&VCmXEjH#&9zMhZO_8@qc`j@N|l{0-J7WqkrOWlzC&u~O-5S{LiG+Bc3Y8L z&O-H0wAGM-x{+d;;3Praq>?|+^fmtGRh#;0V6E}>L;BK?2qK{Gwy})Y>T6Mq3fQ3C zO%Q`jP~Y#$>E2$M=H>SSnO<%>%VVOP*?B@bjiYJ))k7Yr=Z`5i***h*=oIXD_JcxN zz@J|CPQ6#q_Y}3jC9>jz7zC@Sd@whs+{4%yJc6&j`%hNIT0`y4#CXNOV$tJ18}B$W?v>iR{Bsxwh%-BM6s z>gZUlN^4$&zj0rX=(1F{|I9)yA)J7XUB8__lO|IT6_!Uqk<#%rETj3@qy4T)@xaq~ znq}wvBqY>)w|uF`*x>hRo0z=-y> zQh9cEP1S{^eIem4$Hf3PqxpQz@FkUr^cRb;$Poit&YF);Y9{n*%;cMNY;A4FcO4<5 zhEWsNfr5G!7l@M8b)2uXG#Un2eFG(pBh`pd}6*+O_%b$6*iI1odyAmq#2q<#a5?p{~oO>G(yMC$RZ=h45Z~YT z4yLF34o%lR1Y*l!O(sju;{Ol-{jsBg_Kt8+Nqg<`(vC|8GjV@BeTQ_cI5rj)gC1ic z#E#aLDm+PwW9&aKmX9O_%4Esq2+39bfFCh5ba|Lo((G2Il#U7SFfO9cV&cbc?j~e~ zPh^9kw^`>@yL%IdI_wUk^tn7Z9{mTC#`oshEEqqGPC)wkF+TWk8dc!#AXzp3=!jlc zNmg40fAjHd9c_##+87YFEbQ!BY;)}}=0T$4prF40Nn%jeQ@stwfNu~!eD--9oTeNr zx4DJ-)c23woKyhLg4KrlRH6b~QJ{f@HrPcL@ARA`CAU(P>7!z@nHjOC+w+z6kIUaX z!yfu7zbowyI>AtMQxc<@>FJ5n3$*NL{yP-1@m+oomKlnFYR2MTpDVR(d#h$rNJn0bCHMo zogB=b#f_{1Dn#Yk{)u^@N^8X@LX(YOW#V0}g!JK1Zn$=27cw+U3`D1W{GgFbWh9ov z0}~(RP%u<`;@G#=WaVlsy4@Wdi9yVdLtCO(1tv)+HADgTb-Z`AuaJ<04?~1lm)IN6 zl`H2ykTkOKKMzOMGwDox8Z^kw_I5%J^~oR>t%Kec zem;h!sAa`#gg+1_MTh2xssu%5(CY?y;I{RK0e)l|*#U&XG-B{hQ@10rGzEx=wGzT; z`L*QaKYOK)iwDe0QnmlO?Q)zqzwK{4E*PKParoX>wO)wyFcbT}T;k1^Le%>(e#ah_t?jr_K)w-q0`u=$Nnr4E)WA;#z4!hC zU!UD>J}_vhnrR`GB@%aOj?nXVFL@sW7rI2Us1=qz24gPs0aWJB%NtIOpa%C`LJ7KYT+s=QR4+@;EJUTt zB!d$O8Vd*Lx7C?jbhm7ESbDaqzi9s7e(arG3Co@oFewIwpuz~3 zf3r+PHg)O5bE$^H3R6nBYa{LWYr8dcyx@$%K|-9mDJA7kY6AEblO5$beFjX@h2x+T zb3dA5*ic)73Sl<^UPOPoadvTa5-A|UANE%-A_%yWa{YEHyq)QAobFf;%yFZ_v} zoD7p33d*8}WvXdAEw|h4FcZxNWhH~B^KGj;H%sNxdd0-n=w8XkU1QDO&XQN3kdBc1 zKJnM-(1WPr##){Iw`TXg_BSZj^7gnZRfh~%GAy+AMH3qMgO-_OifV>m#(}$`4d?P_ zY)yyEY)~J9P3a~YSP*kpy^Hyl*12{6dJvyd8wZ`_he%XT8hHBubd8~2p;K8ZvRze# zZLf?FUl_)~vXGyKl}6QJEbdJ}@3lmBmd=9%^gzuO+TR5Cm!U&gG39=Xe(;`PV6r)< z59u2K8A6ONRiIGpz)v&B(XYVr(@~^+#%+-@$Y`O~4S(p5XH>4^3?33G_ zSRbc7hy?qP^Eu5-#)0_B8@ngeh9W_J0Xz9B_*?R!5qrt3zKu>;U}n7j1|)?esw_>N;qf`+gDlL|tUvFm8L zkEWKidzdX*(66}x7fFtQ<+ulICklR>$d&2DE>^qlRZvU5@0HUDLAGNP9j;@hB)&%W z-KQ4LA|?8yZ@+((uzV(T=n)kyX}p}WO7_`1rF*|?@myd~XoF6bp+uQst13JhJh<2b zivpkPMEcpkK!|7*ikAnj|L8;QaWLR(<~AfBJ_J}a^7u~TV;QFJ$@XCa>;M)kqIZKb zquOu*#Cp(#l}AwU458haPb^V}nrAx`sS9;FC($fZ8k#65gvLx3VrY%<)i12j&;y)3 zfv2UlEM>KDi)ozyjmAiB=6%xb15Xg85>zoz8*n3XAOOrDxU!cmrrHFTZ#?ZCF8FQ_ zp9?PuApk`1XmofdEj90aMXv3~;ZqGSM_cc_yM(qP zt&hIFk0UwFeuXv&cg@v)D$unyVvwyB)sPx8FZ`|IjNWt5Fm_+*e7*42?)o^AmrXimy}G=2;nhDD)5_TS(+s* z8K;axP)6nU^NBPs$`9esXD#Q`vP_UTRx5~92tq@ID5@t&p0l-*Q;XAU{`cM7ZsbFBYZBkN`dOM ziHULMd{gKPlu@}6hVpx>8vYM8-N|N5k(t2hVe)o1Uft4~xyZjy()MhB565N_sC(4+ z{b}#KtdTBw(cA}IERhgx62gf-*|4EGk;MbTKp^(nK&9^b)PdLA077A8S94zpWYF3q z7`J&}xUcj!T5!%K-{!1zX|ei`Z1}$o1x<$piGcyMk;v~u@_ zU(J-Ja4}j~ExK>okEbYz@*$%H=Y9oIF=@0$C(kw&F|u>#81B5tOd#@in4Xl?r1Z~R z>I<=b`?Aj{R78he+41Th2($Lsm`gtK=0?t7+R1}Ly9KwOHM_KAzmfcQIb~${gEj51 z5z?{JhQUY#W#p&yt3!K-zDw`(PH+S8I@4BHfp>tg?=2n4(skq8N zlyst!!Ky~p#E5WF0(SZ?#0&Tv$uV!vo+Sc5T})6l|8uEwpQ1QZJEXEabz zH5B(1Fc`p4RD=AIipGD)KqI6HRW8}+UPSK4R)}XKe*J}sQ&^h&!PSQjU_XzB6X=fgtxEA`h!&AR8eiXbb@ol-@%RX^`Yce7m z;I^{Sw|^7Ys93R|?t*Fgoz4U+Y~m-JzK6iHTz}eY1Uu)b(8CUkS2F~yiuOBAauJ$5 z!)0(f9TT6mu#Qun&5Vy2B_9>46LS?48WXiAtXCh)RK2cR^g_VZ!|`Q}x--aNshe9;e6U2Nuk! z&#!WtINEzrVp1dK+7liDSC`etZnIx#D`O z#y^)?<&&asYoE*%{H@)D^!87M$rl&W!|QWhwg$O#smGQM{+Eq=^s)mvuNV1|JTBRa zmz{up(lx ztigYcs+6l%+4y-_SPD(QXmXUs0XI759(US)AQqJ(-35g>uj|;0ev} zgQl}tS@nzQ1>gOwja8b8^U;!uCn0f*hFD+t;wtQHTqvW^P)%yk+NBal#6zCKsfo{d zYVV`a2(p*2bi$-JQoeZ4u&yfed=6WwS9Yw9b+X2q`I?&HeiqkW?w6Uj+Z!fKI)G$?2tfm#YnA5wl|GdEMibaxF2L5l~D2Vwl&}^GQO1R`YU_6ZrNa@qNUd2hvy}j zz-I&*Qw^}Mk&0ED0P8NAeDg705t)pqbz}qAqLb^)JE>!Vy`|^BvfEoOA=cJY13gQv zwWQXId@2A0zOuevbUNJTdH++R1+^)8kCv#D#1sl)sgMEu538`oZasmb|CLGzDf^Fk zn~)sa#6 zYj1yH2pmfK$Jz#5nWR93Cx($!4w-JAXOChkE5b)lL5%*rs8e%Q% zRsWi_4!s0PBKzWpKAV$sB^XC(C+~)S&FrIig1PkF8#f}19a={q(eoTz*|_Z$zS%p= z_T65Od1+F?IOINQ-&)UcdlG+t*Q6$j{S}$X5_gOHVwppflA_@7Ag5h1|DosQYX+TViR?T6J8I=ML+V6Yi zD+P5{OVad(@I5|W>)j}dJ^?&2saEpt^V!y!KonU3R1gp$3 zB~%9|U~Q$P8!7~o!l(g=N|@>iDbCI2Ohas#Y2sRLCQ2|u)icD9kk?s?#&qQ;XGYeH zyZ9v*fC*$l9ZnSVym%a24p7xNi37h@v>c8LXZ%?NJLhZf= zGk>hThgX-hxtWz%(dMTtJpIpz8TB8Biu#>=%oDK3Bv^YPmuKSw9kmpCmx*|U zE5F&y97cAaa~Li4?n(Fn$R~M#u|to6-TUf%98D#e4KGznM6%0gTVJo8v^KW-J_uz? zLpUYXfzlf>5>kyy{tq3J6!#g!Qo~wPWhnHcFuO+N)^-{61Chh9qLO)^FJSPSWWWb} z%%rf0q8jrX+b;Uk7tsr%n2dsgtI&>qC}UOyGX>|yAw|zYh)~cwUxE{sFPdbkA7Jfa>UO9=&)maP0eq&!xHfk(+%0Z}TRN%Vt5g)>%NPt%n* zQbo0OAr?|<(2w<0Q&ma4wYAql%iVt!xFadf_xvNJR|ypUnKedm(4>s8#`MFLzT&U~ zGU8L8?>clF?kX#EKVHhj2nxAx%!hY0|6F>m(7BncM;h5l$(+WKdhL4m0wdA~LJ4~h zqw4J-kjN0JxxdWk+zTHSx5k;FoP-I}{IIhJa!yKwk06U9F9@OZ+`};uVBA=R27Irx z+SvO3)~yTf@eY{16r6@bQ1#1s*^kzIsa8_j=H->=1m!QU826Pru_utDTP|1Mw>B%E zmj-IPB`!AB)2yb7c1Fwfo5>3kvap{SKzhxd*!uf5)7it5B4BO+* zWlm(RwOP4;)v@h&(d}XX^F1M-Ze~}E_3(N9<_48D>OZi^Yh%6b7VUOPu0EDYPEO9O zdP$X4jTSdaIVr*lRA~A=T&(-Ll@w8~hZ&7+QxHq4BpSK*)!VT5E5aMmxz7al`xCez zMQ03F=;eTk`X}!8m_dOb4tts3Is*7*zOXMVBZaeEfaXh*r;qo(9Fs{iX$@xoJ^ytA z-ACyLlL9~X+DybY4F21!F?F-4BNMt{-&9GJ*#{?sqlWKAe9;LbySA;D{a%9a0TE5x ztZN0+i&DhN90jO8N7H);{I(k|7Ay6EN%0E#!F|1>4?)oO-n$6x`ga}gc`KETH>=l* zx-dXs-gd*r`{K@ns<&=i{Ey-e2$d!*aY~B}%9xNPYEaq4ZRav#kO!q_^13a22x;OT zf*5_sq?3nq>K`Ma{4CS38eD?jx_`V18B4(mFEhhpJ+|x9Cnc)NSoN^~=G{;(i2`IS z2OOkG)JFLDmd(Z3S$I3SV?MjMGjLe;bE}aY3+I2I9ZaBt6)Awi2Pi})iwhD3gTclq zGgT4(BbbebfS;)r@YiOAldSh&Ut|pa%%gS}kDaykYPIPI;bWcS?jLHf7cEz-)#Y9T z7Fp*=R=z=)Pccfnj47-!)98;{s0FUCUv8tfNDmec-L4~WPp2qND4vv4_|+Ch8S;+q zaAk(M|LtrS7whQX#;ED&tKk$JqmFl?ICp6V`p*)pJcZwQGOP7b9laL!g=RHbX!>AI3I4E?=_BhG8pnGWPO#DKcf*XDe(qF@XS>h{m!f2*z zm-{Z1Yc+F~)BfKq&TpwG9~sB(ZK3=U00{e(wGemS{Hn=|HqIy@q_A-MSBac)qzxZT z=o5W@^!RvM34RB=(=-ynT5NwPwYh|&0+l*4^vqv?6l|$rwjx^o>qlJR-l|rE$v5`1 zDthy&tNvV@*DvWFb}V&AHm zsFSSsGIE`@r4dn9X=}uCT}zSXd|{eWJ}BNGw%Aya|K2NC_286%s&hftab1b#eQ<`) zxFl*;5EDvt{5v}}ny{LqA4|D3%~&etYHW?6{~&j(PNaG~3riXbL^x8$VobShR0x6= z9{m_|min@|;M#T@4~LgID<&4hFLVo$gx0(6r?`B6QQCMOeW(v?dYVNEMNSKHw`lY_ zXWRD4A-v|olDMV0&OJ>~d5m=uGXXmB`-$GJ5fD^Z@_4N7QByXa?N&A*;@7le0@UaU zqQTb-4VVr$XA?`w7dR?bCrt?06qC_BVAcvj*_$2`_mqxShzTAcV1oc>>rcZ!uKCR?AzjI}ednG(Uq%{kto2kH$|$A; zR6V}O>*Ek{73^%i^`zx{A6)qNc=r?A(WoM^G|Qtv?%R?Ti_~zI1CJ51-+6Ml!CXrs zSU9({6)wnS89YiZoau5xK1dJCU}&O!za`lcCt3#eNkc6r^eTx@w>CcRQ6M(Ngg~`0 z;A-b5ADR<~7ZYxJr%5N~Z#iJ29Pry*g`||Y#0>dJkik@Go^WY-e`Bvsl5y;p9H1g` z44o{v-~=f}BP#FE2CB9&U$@lfO`AFIA7B zIP2DbmFdIZA1sk^IXjlHiT?}UQ9Iq4tJ*+$qrQj z8_*JMCj>k7b#vSfFygnjc%I}^OQhFGhUKnCCZ~Cs-i2Z{;g8(#KMDYi7x9t%15xw; ze<6I>-fZ8Sdsc)h4 zSUjYNfeyXSv`bFKkUU-}V=8h4#>8Z;?Xq98y;+vzeR)`4$N|v^en}9ZD)4~hM@FF? zJUybwWIG{4gK>K|Fny?MESkd(S1#gE*$n__yh&Vco0G{-He z%cZExAjXf*fyDIcTD(WD&#js_z^3rINr@`2`|EUO&|6>&B)`{$cYN~(r|K`;4748u znyd;^xxfb~f^J z(CK&EL836tY0UG(3W`$7Ex{#s1fr9-n5Bm!e;l0;X-3vT`YKY+jcFD)?*;=a@o=#_j+|GJz^rZ z2{&BzP(99$1uNsbW99iT`oLNS_Nv%HSGKL{Rq4^+#`7aPUx*>9JdyUTfxXUTX6t2c z)%lvh?9`4}Q$T=dKfB<4f@p3&oV-GYv0xq7`$aVVz`w9fxO5hsn$OyfraR47MvGJ< zR44DvPMdrkgzRXANILp+l)vB@hLk?A)|-9%siFTUs=tQaz(cO;)rW;wR{-%IShKbH zo4^u@__3kr?RebZZgpxy%Y328n5m^&a#~JPf*z6`B(uAhVOwS=gNlZxDZ!dy`%Na) zM+%c1Pm)p5FOTih}ZCX^}i4+_WO-lHtx}S%1SG#$;aIb{xw%rCVdo%orh2tljO`; z@%z&-C7N`Q1B5~3U@2&$;2g~EWgIzp-@nLZ#5r#d+37&lc5AlVREE6oL6bZI^GWv? zC}GeO?n*t7qxt8dy~C(4qNJo`Bkw37j2FTmJX=EnP+3$qcGRvE`KvO7I{bEKO;h-;^+T*8$!swEW|e0$pXP@& z{x)H0ArJ=PRH)`ESy)&coYX>*CVs+7oY%4>Yb0}nh-$D#cCX5G1-f5Um8Z4hEWZn@ z(tpfI&p0|h3hFW~ZZc4|qQo_}0Ffh#OtZ7FDCEZ|Z%#)Rbw?ADLvP9{X?~>VciE0l z4eyir+wuIDM8*~*1LLTmCBcPYjEY#N9FRK?8&+|Q6gzX>bqIsq z^Q;N)|ESt8afY_+Aa{!`FV_s74o`X1Ffwyt&2m40g+L=XhvH8Z6uG$;LOuuUcr46s z_2N1za`Y&qM6u9j3^RiCG^sCeU=qYg3NDc7q!SE$L}6}T^}yhMBqr8xKh zj^o54M1=7)o++uwpLeVsk5>L`#Z^)9j*cyEvA%|jizn5AP(kkVXn4--adf0+nGR#% zPX=YGZJf!O7=Z@)k5d!n2U>*8aYgpOxed9O@)Q23zF3pv$}S@OJjwV(WA-UMzNApu zmKQgMi=&?X6YE&d=x?g)**Q}hK0K~ z3>ZSGbhqudSEX#~D-<2;)Ke^S@`L`&zcDE;GYrF{uu(+uCP84@wHsALae-;Jbv;}DrtG%Rj(9mK1bYqI$~a?T#z&EDL2wMG!bM*M%3A0NNHkbY`+L_ zW@SmsC`l~L>sCYCd|Iweg%?K*%L(|a%GmxiJyy;F16<`(uU-8qkZllTQ({b1w!|dF zWhub@y5kU3W7N%Kiz2XadZ5+rvAwt7Ah>kB03)&*AU=fGJ^`YS zA}WzK35)vb+{x3`t$FLgK89DpVDpt01|q#Ulxe*`>NmD!*Fg2Xe2^+6{`XY9KE;`G-SuT|{cdrv+wEhOmTAv-lMH#M=cTD=F}1AW7m}oL z5nAji?m5?wy??}%H&a=>TU&O|PxseOG5rT$H}{9?>-fc<%iuwvylE zwm}@!5rwc{?4t+b?OR8I_5lGdAt#NzZUAa{AHI32EYA3 zuHG^zt~T1%T{sC4G+1zVC%C%>g1fuB2Z!M9?k>UIg1bAx3GN>3u5a&i&#k)sql==t z=;~_LT=SiCjA!t9dm#2PA?~(szA^xrUPoWhRtDpKM<&QZQ5#DM0$aE^0JY-xKm2f{ zX{8YaGJcYR!q_fp-1FbXW!OCW%3)K6%Y)tF3#HJRBV{*Z`Xh@KM`q&U)@(bg%34Qm z_=+&F0+YhrF*A~OT z=OJ=oh31AqVU5PPAxY@N${-W8;K(VDByx3ej<=nUaQq$WcIMHx_VN}?l}oD z72iovZHzMxVK%dnY!IG}7>yX{-^GEnGq)%EcV1SKoi0fhK;$k(DFZJg8pC&=s%U9` z2*1z5%Zms^ezCD)n4=-9ddoR({?0CYxa@{x2H!2C!Ka3dt2xyz%Sx1YRegX z^%@+rDOj*+6W5KV^{ z61PwziYyDMe=M|vCk~?KRFi)8L4l1Am5if6Dr6~>m67SohT2pY`e+W_9aW@AN6$oMQ-&`EM_k);1k`#fPjFn9hWW88nZ- z(6hppHFOph_()0okbmEvybr1WnsvQCXT-6=EGReD5Y$fSzHyKI#K0wY7wS+29}018 zu27I9E;`xD7S*FB{b2$>*z$QYFgUDlP9$&@IeR&tXfK!jKpTPtJ)LTYvQK)2O_U}J zv9LMUN~laZdt@Kqzg+RQL0*s>dX}o?bGiOla#aK-J?FC1^jJ)^8=kqP-T?&SZ43^k zBuyNJaXQq9;>^T^U}c!(9UOs7C4?4sbha&k@r7h;R5#twl};+$%pza?U7{H-nl@X$l=D+~O0URC#>;V5ML zzQvrjt~HFuyxwhQI_`u4)2r~`=vvL(>_`|mS+Plf^iN+l*XhBF1~FbkLQNMI@W!q@ z+<7-5q7aL0$OFB!WW%s=}t+isFL}g+lTyG-nw0%!+HCr|-Z}%{3nGYq$0{PI(8Ctk<#kiwK%Z z^T78zfgcxb99c~!W68tN$H=|EO{{7>HYrP-cE^9kN@(C`3QEO7E7qe(u?mwx?*OQc zW!Or%p=`uVk=F=Byl64nND9$^fl!B#$Poqn0XkxEE!lS7`LID+gjk3Xf+_{uxaf41 zC2aw1gKJ8HiY44)3j^;j7`G@I$y~*5)Whk!7bijBeJMmVE1JnHhl>+&I^nXwk$^~S z&11UO^l{z8`YhrCzT6XmC`7Sn+`cbf4EW_TRXw1i6QRWl0#$IaGfmT5^USyr;Xx=f z9yTc%Nih(2qfTd#c0`%ub9rX>#0oqv5AnNBurDQ!?U#4=%IK3ZrODda0vZtg3pJRratcaPxeZ+i7qBX(aMGTDeNMEeiN5ZNe$L;7sK$n^;t z3#Sf)cs_6|>kQAmvxx0z{5yJ}EnSHMt8}^{Di%DV5gDN#z?724VOc;&1$~6~gSfOb zb63^x#c@D1*@6-b4j36#cB))av)=5P?^pgLtm@!lWz?}fuQK6E%3(A`kbVs{bb~mT zpQJL#q0#L{IDW zUTB@cI4SZ`Ni^|RqH^)-`lu4|pdfw+!?~%saPDhtI?ZRJTJ;r#fN2Tn!88M0P-?^; zD-NqJH_pT2mxhhc`TTon!=ta+tKAK}BA?$I*G{*f@z$ThHO^=;5U?0|Jm!vgTrbL| z3MGalKH+f=V+YaLrxx9!_Vr*P-5XPBu2ht>B?7g9dVXS|>K8r4{%^?F;i6XE;U|% zrhM+}!Is<#y!e{mcfJDZo{L^zuxc+_e({+P?*^LSw za*oaFXVL$?_9UR7cl>aH@6hX8p@Is;6S3b#eP*oVb4c_hGcibuP(sBF=_h+3#o$+K zMd=9AN3Z@H4R2IS*;BO_USMn|HaxQ-#PFq%*jJDI|;>DUS8 z(!i{=pD+;&on%RQ+_}JDma~tX2c|PnBG)YE`}`O zaaD$~vPQ;>JmpJSSS|73%B^yAVM9=!|GFb;Y|xfAJiU6}4$Is|t68_nTIQ94N11ba z@JAD>Kp+mMIFA~-ii>He?xb!dsw9htjTh89aUAeh66v8zL-PPh)&?GeZ_|Ds&NVJ;lxsrVGJmhrd(TYdtH$j6o&Gz@e|_2GH<%E z?)P2ZNt$xxs?{wuDE_|@(&`H&zD?Y`;T560$Y!kE1?JkKWTD}$-=X|qMFj`Bc5^G( zGJA1I$^~)8D`AD0=Akt$<@!0{45cjwVSpfi@h#}k9>hSaDt|xXMU#xTE7teT{>Q^r zwwHYt^QN99U$VWFfn2g}+CP_j%ICB>&xZou`=10~PVbg5Z&)dFysz(;^fnh4RT)zB zX1Q^|nsnNFkp27{tIOX0pD~4=rWd#G@1;6pC-L+G)2V; z$HgJp%@m|Ygl7wYSSTxaYOI6rDXKVR z(X`rpIFSB@V^n?OnVlkCL~`&Jib0z)CWIo&xO>%Nq7k>L!JnfCmaEe$xaWs+7(dZh zk{V7}&XdCX>pTv~g5-TjL6n1tEjU>jUY*F7lY;!~Xh`BMXD%?==eAkimFbH`c z26kVSm40ng*X)goRn-nVIJIGqWAv2@&a$Y>WZB@zT7*M}N)+=>sl{m+&`_k;CG$sf zC$l0ZofA6A^>Sxqn%B1KrG!T$SK{yEe}P0W;R3~QW>vDkFNI&*?eL-zkw`Dn&2_C()G$5PcL3CspoPxAIzbEIMCCo6)hm9!88_(?x+qN|Nby`v zDC#lmD!WRx<@#nb7q8tN=Rk4umcpB`Ky-xo``IQsfYV_Z6qZEjr4)Bm4=xrfLjry{ z(J*IKRXkO1Bf`N>P#+p+k?wGSX-!z6$DV>I#7I?HQqypE>Mcy#{THdfZ0GB~?#|xn z->p6K8*;0=`0CMmloS*uq5^+P&O1;?h1-@ zngpl!C&#RFb4sjATysq5A1eM6>}e238rH_j7PFK&69qEe%2e)n=x#j=p;T(O8jkE# zm!%ywEr$I4pN9)&<@-V(c;CgZ$4el##EcravDu5rrHdhcWigl?EkKFdfO@FcrjB*BPO@v&_Q~Kg2J>- z{dT;Pqqp?B%;EdeXZLn1wD3Xn zu&#~l_Va(_aF5e0hu}F12IXDSm?=E>kAJuN$F<_z_bvV!MY_G>wg=u5&ifMnyE12P zJ|?D~Cz&w<@_cYEcQYj*03Q(ZA^ zVE+nFzOLO$g*XRp4cHJ-gBHH{BYbCgDl&x8Y`pLQwmdexC$NmHEiKDiTRrZM7eG@G zSOX}TbEcY`n`<=c2OTnxj*iaOnz14Vj9JRSuuL_Y&j1r56fjOz#!A&a&bZ|*)il3W z!&hI`*^3zVeaWJWOJ*3s1=Q+~2p5_UyB)CZ31lH)Wut)e{ z2a?3aJ{vk&Sioz3AQNw6$g;I8-|RB^d7-wG7D-0_!y*=d4n~9z#ULWy4Jwr)rS>yl z&V_Jq+zb7VJ$mG5VB=*bq2?r^#tJB37pc!mu~rM+-y)WdgeBTj4h~8iW5+j_)En@> z_jJa59i+9vm&+dPt<}c1gs#TuH@r$q+nKWKW~4B#B(&X^47rN`vF)!QK66~{ zKbcRS_2*|vd>JPgs`|P4urL9Ed$pv41z6xY`t#H4!q@!^mc|;33Va9(aj>)uqCdhU zHWWz}#xF~IDq!`>-S=WcM~e{7FSz8z!r{eFFB5p_77&gp?thAaMF)k?ZKqNp*v(uA zsGku%vnQB#5W1;Az}n;`$CM?ypJG4Gq+^c6BJaV}07}uUm>Q(uI%Y`Erb)Rr4J2pv zNXo1z^o;8^xh*PcVSZf&{4fTcsgwK4{37a+yN=rPza?jZ`-!8G8Fs71epvvRL*ZC= z4`hn!sn912%G*4Jl&hckgD_HApfyg@E+Z&uuPnK-zKMOMv7j=8KzgrxiCZBR2YhFh zb<&$(n1%=_?|?zAn8A#z3%!vpg4g5%zWyZUuYcW&;8qa=>YXn z1O_fjTrvQHg$Ylx2?8|P zZCr#KV5Qrb3muY9Vk3KF0r6o$ik8;ah=8fe_tHcf%!pzO3be4NLB+^gLlA@@TQcV~ zTF~1oG*$-)T7CXUzSf|v2kDRUNl@~T*qlBs{`++;EE(CidWN00^!G4;m&KeXpOB0N zajwCdK6M;qhB=5~QSpUMp!ax-?SP?fp zPpY~uB!ZT_KjB^_^`1vhJYr?d-hwuyZ&;9w^WTly+nX;Fp5aOmgiwyBI?e7tX9t{L z_V%?!#YuH%?k?QJ?fW0Mhpzj|CK$QN2%ORh-#1B}QRAIu0bldkAGgF2lSp{g)V9&l@8G6fXF+y~hT!>{?rH zc4GLmYl6yB9mXkTW|9cq{}=+CM}Lq%MkkMe&VR66J{_{lvj!+HQwgO0Z zdt1YdDpi$H{6sN^ztc$Rv$K6=JhtRC1fob;TSr14Nl1kH6%th8)16TwRzkKksnH6J z^kwmI$<`Zi5TWDYAwaNsJzX>VCdh zHASye2fEXA759t(iE`Kf%HONzgKJ^x6Qsc*p~62jWKtT&%BXL`k_J*o4xnmw`sY|e z5xZL;axqA&LfOo;6J`sUKT-hz5kt^Ha)Ch(BF*o}PP|mcLHjloKu}~b{Yy5pDC98? zH6?y+?#g#uPl?e;1Bn78Xr%!r_&dB5WmglW#Zd~mB1wzUB;hsVv>?=1@q?-PU|&7X~} zaYlM(=&_VOVJ?i!G}sCmT+ywka`m`7>I5fa_jP)4-mUE!>2*|{3FeSkM$nKvcGO~C z)$H5H_$t7y9p`h1nXkt8<27oLGoF|K>|LV@5jdq~@;Q)lR4f5A~L zmnoAaW`$I2{q^!nE3{{?`m0z2@pk5S?xPi73)d)7MrqrKYZ1S^cw&}M>ty@?a35tN z-76wR4*o{n(SH5JcXJZP_tWT3Whc^M7+LV(s3K!A`|IT%pVISB^od0aLZ6$su^O@RI`OP#*;fapBgHZ#94(8@mG^*jtIbbmXhqMa| z3;4Y5_LJWTP=A22R()){AATk%tiw%wUV#=;rU=z`A`+B3B!wl~E!0Td5L(Dro`_XS zoR=vqlr5ZfL7GrXFq%^`%8V#(`lOV)TL5K%VqO()YYvZU;vZyCnq+BZ6EU!x7cZL5 zjQIf;6^ON{3No+{Iw~7+Y$XXw?Hsr7qQ(X!sZYM(vK%!iOG}S%QO?FaVD83I^Rvo1 zd3v_RGBRP@REx*Y+Ufi%WMX}4Wc0lZ)7vag1od#m+rP&)Z`+z*f+_G0&rU|U??y0| z!&a<5D~5ak=#eZ)OzfenaM0qy*(~;@Jtor8F`enhreu*nAriC-0imVZ3^_jkz_G6P z_4s(CMLMc)Nn#z{NyFSX(B&`ivW*-_WNvBc`MkCXzN%ys^dh;qxYW%1e3cl}2QL40 zd;PssCkGwSi!BT$1bB2mj-XCzI6L>Fha?2b5E-ZK3?UvI%$|g8rp6B>ium!2eqO?BaD* z4$>sUb6wa6@ejOhQU0ch?q=>qG{8=NXM!yR!k8(NW`l$T_oeldQ6Pf0Z0@A`SWs~M7kj3-t>$J2=at%FKiwj=7daY@dQ23Re97diGsZX2Jre^*+YNQTkzw^<@Lg6ZZxtN(o~OF=8ZWFezYQZ&X^CBe)|$Nke(Fh3J7XTlQrO3H^$dgV@%Q6&+nxHVm8&7jVm1(LpK z_7H^O2U8QJt>}Xc)-X{;{oOR<62qN(k!roBHV)kQ7>n%uj@O#IEqZ6A)a3rg;@sH| zD0NvmglSvtx7%`UBz{HgQ@S3%qikqoXggZeV>Qr9Mm>YFr~=WH#Hb=$+9>&pA92vN znTRHlU~?frTSM4iTD|0V*FW6AV};%6H%{Jo2h{21$oJzpO@7ab*+~jG03`c$`Dk72 zwij#bb%KYErJT982EJbGM4Dr)n3$JS|K2!iM%3M-)T=)+cHSUc9ds+LsoD|Rwa*3X z?+5H*)o48jdi^6@;Xq#_OPpKajYji@}bd~=8yX@<&pGCba@5^WZj9xH-V-=mAHva6O<$cyiNrvaMW>nD3 z%hHdd>#jHldRqVE6Ut!)3X2gqMUvRT_ z+gvGh;$i4xGplNcQf6;R(`2S$hjA6#q|+qwKf;@1XF3oxIx~_Kn$k|1A~Q~~fHqNx zdb-_8W!n7RqtK7!vqR!|n9TjdD?S?!X5@N75>m&pehnlzG%+!bf0MU?KoAj!}YL*v-q({S)+UR@4@$d9X%mr@~&^Rf}!L1=L1B~ z@(qs)QTyaZ$Ng#y9tg8=^SzWCFbL&<>b#A+I3<;)m18ta&?r+T$sc2AuPi9o-Rcc| zzCWv|sgV$+-oL%Q4MF-c$@icQEH~Q`iotpK$VGUg55E;iL-Y=MB}>)IiL zPEasI8mvZkU$lZCBbD~hVg2={m_w)Cai4~`<={!vs*j27P;xKAF9byhn}GAz`;fqs z6n_e<7+C>Dm5PXxRx8sDGNI?|q5j1>GxZ*Y-P4RO!D{y|ctd>c>ca1X`uRmsL!2ck zbYfiAL1cbmDP{EO?StOG%mL_wQfRessUs&SBqjA^zWKR|Fh~J!RF$YQ<>RM=90B*s zEi2ud^w8eO$mRcRg^Fd$YHDWKgO86b2OcZd$-3Lj(Wp8Z;Spf;-%wPkQ1Uxj<(OJ%BTPb^2Iju(J@PAB~Adqa8DJ=F$zU_O#JfHI0x|b>xIMbcUhz{fGyu9Le zK?TWIaLsZrFaEvGzty|RUWad#1YYm9y!7hrRn)G*1cL&~`PZp$cm&jXKAvNu437td zgooCSJ5AH+(H?N=ueVPe-xsT5pF*U6D-~>(Wxp)Fa422LHea-<(4RqfJcn z!rEH<4QT5&$w=2*RsBC|qyOQjb%Vy2=fJ=1oPqx6rIcsn4etUKj6VOU^@b^!)7OJ6}xJBa4sRJSjk1q+ksL9j-f-DhOOGEc}`DAe_I78Do!mp!&_ZbHyi?~(3f z9^~2F3ZagW4k8`QH0jX6N}5_38If~{14W8gM=a7`SKuu4#9!b@1IyL;azCZ*-P{h`j> zUa~#86Lz^(}iuHmV19EwHO!3mo{PWuJ0< zp7X(M4yc~#!iOxqdkt7SyI&j(W7x1?GVW6vhGJR=>Pk$*w<+J9pR^b?nBs1Hy*Gh_ zN@klbu&a^bVAs1jqJP~@`dfK-jgx~W)Qhy6JTt#)@qsGky3iS0TCVzxsgDWADAJ#z zr$AX}xLjN#F0fNvxcuWchy(QK`nwP(B=Rlmy8?>HRQhndRFrb5YECo0Z<1^kKQlLb z(9B81Fgmwl{g_-qD z&t*X+RGlH^;E~ftHfm(gl2gaAK=ick?lsWEODR(m zw+7Qqr)C=uYmjv<{`0xUXRiIzgUc6T(Qo#M%!qx)G@aHfEK?7NW0uyI^NPWWEXI+n zlh`bHc-Yd#|798wlTe!|V@F6-Bkg5+b=hg$&lbtZ&CP4Nxwz1jB`qq_&M~o}9(Y?i zN<-1>b@38J$jL@#DA?Tp+Hj6wJ@@4}3$k;J(_ZvVV_ zdii&E5{y#+=2@CJy6>3jwAKVtim({8&DZXg|6m9m0LRTa!_0f=VPp*fO1IX z%g(_H4=@eSBlby($bOh>I?CgE2|^r>=={p@%++H>?M17R4f;z8gPSK!`TyG=hNXOt&rdq zrRm9gE~e;*aMz>@puXwsdgMH9%j>>+TEd+9rvN;hVfibUa8=R(|l#@bcbg;r|Iz)4lgH;WC5DJ+W1-dsFOV?et zfT{?Pq|;e#bP$_+dEltg=xX%Z3zI;Or|1@@RjcvtBaaaAv-5s1%hu8b=ZK%Nth3vv z2yM?f=Xq`~Kx!u54LhO0`}Pthy+)Vkt9VtI7`M6T7z^K2M1v1c(}g4%dI*XS3e#W^ z3OpFdgK`ywbV5?`7}{#|J&DN3FSpS&bFGO!P*Cb~%z*1Ds32j|UvniADPlj!429fO z)2z{IceV5!MTVRlI1MB~J38$;$XRdRJ=(>;_OgARsi<*ZXf3I+vXT`LU@a1=((WAJ zv*uPaFSDyO->ofadh&q5DDU~43sdrONZZ!a+IpNmk&=>K$5hM$9@txV7l1o95Hr$V_{`w9Wb1^=}?nqq@_vd_I9!&>xKb`(2now`+J{p zfaN(g^Gr4882FdNo&j&2+k2#nAaW?MyYi zydSoA4t%_~(Cu`=@Px0v`^ni7**V^pQ{%JuEzBpQ?}mkjgBx#(7m^+xX8HUkczAR* zdhw8cdc0p>z}AV|OGMsMBv&2*kiEiDpHEs_QFE!-toT3UW$+2ioO!o-vs#Q# zEC19or1(RuA&f#XmmM`l6BU6uF~q>ZmIQusx@k8OtuU<+(x^X`h@8_=|D*brif}Q$ zK4hvS8T}S4M6+QNf;t`e70K^A-c3ZAS?eMIxgxN+8dUxYAM_#p(Lw(!4g|Gu6g0EE z@D@Z*5MtPukP)x;hnMT6HOWHxjuz4cTi_C~-u(H8$14C(|9H}li#hKmE?}uA-<{|~ z7e`SwYMa+s)!Nvq3qS<1I3p3*{|%Yltoa>WiX8EEEhC+Elu z-p(y0oJb-wb6p3mknWxtUG}>c4#vPVhx0GAZ<3b#ykVjsgm;81i0p?v?z+8U$gK!Y zNG7}>DX1JQTvuGF-OWPamM-dnqVoC-^-H^~aOaaqgDh)}bdwRWV39U6eJ_krCipR6 zVnV>n1lFIiNYpr#dlbqj)PN}1K-+N}CenW&J2N#Q9F-dGDIGn9zxyOM>*EmYr{<>A zD7ndi$>{TQkpXQcCZ^@-=~%g<)z$Q{46!9-vO@)Fv7R*ImMvZ~v2^9qLNKIb(qoUj zM2~oZR#YDs5lFAQ-zsd*XWjnkY5!Yp04dcaQExF$A>CAZ8=M++gP5%oOv)n9k$K$# zCDs9^&seA?m|>8B;7NImk5iV7z4kns86t8_+eoVZX>+7EXP<=IH(h7xIf;#5x5*MA z1=o9VQWtzR8X&H?tMwpfv-$4;@4{6Rwp)wEuLtc~c;M}v$7}Wjss@<1@E`UyIRfNW zkvM^i5`TY1o#Xv@xTzM&#b!tRM;I2_NOH7XNNSNHGIM%Pv&^aZx0{S!$x9V>7!ikl z{pVl_86v!-L2P`Xa&hh*Yky8v+M$BM&!i7mT)$7v-!IHH{fUhtudq2joI0eNguq_z?DYQm?~mj$H4BoJZ5z z}4 zzO1ON$#-pmS-#hOIj@g<_X^9gN5QLPx7pq6(j2eHd^KB;vwZc};uN`7pHCl0j1FEF zdRh5j?&vwzr9Q@XZdIVga$Pm-kN4Pd{~Ox90;kqcaGy}V^Ag0=@dJYJd5vAW(L6Ls zqg)4>P9zb|lTpUyTp1ZA>d~@~jh>wq92tU~W!-WihJ7I=j4kd9I5IqdA5=eneIwVy zF@ijtUrEzNg-QihcGvy7iq13WWV#yvpi!9P{eI$mBfn{y+4ntywu(LO({E7Y8^4%+ zl6I6xj9Ar(NKTYUyZ`sE=~!JMVvOdRgf^@ZHjFG7Mx!`-=M zP;^jxsnMDz2{ieC8>bK^_){heKUMP{{YRHpuKV>kBIbRfee>RMvi9AOgwm)|fvVrI z_N1m;*XqACbnFn+@9snVH&0W(Z#OybFND)571}Al<&vGQ^C<@y3U#O^AN}Aq2+{M^ zV}Zxu*r5#fws8R5@;TLQ)okh_SLMC!t}>U zbZ;%r1%Aq1$3wZtMW^M)=4Qi#1oEfm{Zn)gG<#UxT%W7M<)6Bnn{#NJT6CwKk2c7@345jW;r(U$$u({e{gU4IE^=5f7fWT# zd_9-mSP02Yb7deX8j70=TP~f^J8X7?F2@EccncW*~ksLEf4% zaw)!ypJTr9m$vxRxW3%{kfD8lB&AY7hb13*WuMnij_V<_>OD-# zip)rYADv4fBfcE9=+rDGp5)JlY>5i?z(A&8jaRa(s6{f$|= zyr%L(w5@f0k@L5qWbwIpx!k8SmOKV%zx|~G^vAluBS69I16`l3m8GRsK=2P8f%e^A zOMXHb4)e*xMN3!dm>y0MBvD0L`VX9Dh+MxT9R5A!2(nPv>TtN8mk$#9{!5H`8M>Ef znq-3Qq_5pwfswPIZbR)Aa# zil~FL83bitFK-JwNB7Yxk8)}ou`fi}^>RLAZ8Hg2CYUbd9Ov|1&cijo$Hyr*JYdlL zRS^sH)j89RWdM|ryxCP2Ipf1;2-KD2qQ*}FM5EEM22@EqnHj}6(Ejn^2)4K(gwWE| zeM~_p-?yd6hQqz*=*LL%iQrLYYR?o#i4X^B#S_tlu|u|>edc*YZUg-qnrt!v-l^S4 zji#!``yV;1wi>3`qfCfF2H3)nKDjZvV_g^MaW-rA%Ik2eGU|-UXDT!+GtEyt0s6d)TCasVy(qEJ79-aV0yxwlnX*V8wMUdBsIe?96xHp^wl%emtH6;@u>{z)SWR)YoPt$v+s&EPh0wrQ zQ%r#^`vGs7?7uGGj&f!iHxR2abAqlRq4IGz$7i!BId~)$U)tBXWy?cXMCt2NEGE3l z6l2QAo4+o&MA9mZ@O_G9<{E#y-$Z2oq=57(guq`_ot^9{cv%eZ=W~vR-iYpndN87} z(4n$YN6*<7X=nKOt=5w_yrRaL%%XElq}kz4#Gu9|kojvxu^0h4zqo%}q*GPdxhJBB zgqMVjj1$#obZu%A+`+wh4Tl5NvGhRY}L@R$~3z zGt5hOYg!q*(OHa%sFG4)_53gOg^t+&kdV06TnC3J#U&)(XuEWVQfoN6pC$nNIXMoG z?35AX>;h$*|9SA)ZwWSPz6QGI78*i=x9n%H7!@CUyfXH}2@pF(ZDaA{_m4j8=>69R z9d=~k?TGRjB9!$$0B<@!X#$FLAx5Wt>)2`SLRa7_$Bj^TK1(58winZR5d4M1k`j7K z=Hhj$8{O0VT}1f8SSdP0t@t#>m2sQg`WB5_>WR1O;*Zp&-nG z$$xhKS12$Pp@^n1EMKz)k;#OIxvfK1xlahw5?`U%4(sEBhlgbxB zAks4!Y6o7^3X&*n$gW_S)+u8qqjR+UqAOjn6lj0lo@Q{QR1lL5ed{qXkI%wPiymxQ z!IAw`lTBC=JP8$J-IVFxsyj8{*>sVispmz3V|V{Dz+8+J+!GMH4tR^sYg(0MpfiiW z+`S~)s1K(l6El755jHfXu_~J2dv^0ka>&@O@o`uhH5t=nX)U{&L6R4M5^U9;tfLpC zQIn1~`cjM&0!AZ`QcuE=s8oC=4l;nBx(W4T7SCm;Ht<_~?5QFA2$>T~jwY!P#)ZGD zbO+V`i5vQGku%)v-d1{ubw3SqsdQv?N_lVxy~r`n@2Jhne8SJq$xs$ONK`PL6^#Fa z&r_9-Q~k|^!uAYB+|7-9*3J=W_CEJ`x`yfduxrI}LF;poP3p$awK0cg6e@wF?M=jK z;&6Vk*@^-9blH-nctf1VDB=SA9)I%Xb(;^l?1ID7XBW@=lA65@=DIuW_OUh^Z>MTb zpYO8*IcmPh4T*8vzUaQu;;@2+`d^&YzfA{^i=SOhe~@mEWSmxlp5kgQIO9Okh7G@6 zjN%at{s&IY4*N=DQS=oGghE(91&c{t;fP1Y(b_(^cdU(Z9Iq?i6XT2$@vR;{0p5K+ zy^fpC_hl#5N|S-3=J%1Zsfr?uubfEsn-cGi4~%a{6Knje7x`lcoi7VEl&^PZ7q8k1 zSrC8$j?jLy^(0Pe7zPmQ!;B_32_YDRx8Zg>#@`$duV+mjrr3MA-8p3v`!l>xWa^ER zpZhHn8ISw1QfhH4FEH?@&t^e#Duq~Sv@QMkF&3A^VB(?ywz!G*a4eJ>peWTV)_>k> z9h}Rbjxp+6?-1@B2NX7{DoRlcfo&TKj1Y!Q=JQYI)~jKCxMO&hPmr)f&+2T!bDIwi<|$Nnjw0y zx-JLgeXh}^%QnZnlg9Sd>Fx(Py1+AThHYD!ZjD*}3KL??Q-Ijx&z%oGOJSi9|Fu+_E}6-1{Wk zQZmi=YV|2^{(+xwW#+I$;co=$YI6`;-kZM1VU3uNMb3SdsMi%e_0% zT?_#>ZicJfQ~TV;!|D^*p~Z%O!=Orw3?oH%0XWT6`U=*HA-1|oDBClPo_|&V_S>t) zX^H>a)4kSnkqKX4Bz^J z7dCX*rWc=tz_MuO7qwh2yTl%ak?NsLQPG+H7F=VxSx}IH(Avm!p`|*hc&4DmW_3x6 zoks{0uBVlWe;qDs)KwoGHX(CRy^}ZwZ6h(qFnN}-*P4G?$H8@K>$H>Hm_4&?9DTs_ zl3(&KKQ;(Q8Fw%!qKpKqhYK}Vf7rcN+2YLl?9lE{gM);Zm5hiJ0_jEK{-57~#!WKx z4|tlmNVPE)SOb(&WfB9eZ>5Eh@PR!{h}20Ja7{M*j;)TZJ8c9;!`PHXIF53oD0I`a z6>2+S*avuxr`BgRE#->ovJ~~%j1On{{Jd=5mpz{h>Xhqd!dH$O>YWa%qrT)+E{^q! zaxd(Wq0!`XesEY^recYVknP5~4izfeOkC^euYo_cjs9_w-S_1IbHh>U;bihey`71* zhVSi+2bO(CxfjlFsN3_~Iwo@ltz6FA`8od2(TNo-1$T70d5%+tQ^INrJP1HVZPF?x zr;Ba~wV`-paaoB6A;tG)grlqDW~wIhq1jH)admcGqO0pq?c;?>dOGXkz5ncVq1V2K zjj!8oc38lBwc4F{eWH^pJOBI3J2YR{{!NByYtuo7vzT)F6PlBqg}L>=y%f7`Je_7} z8bSR0k9bP`bQQ{DUtqmnRn&^{P9y~Wg{gw!pxy;c{ZX6m4qje;9tXPWRFRv`bI4QN z;H+1k+hw!sPxBw2HI*8VyABT-S5QH{C4#K`{Lc!vF6zryo8@-1sXMzi0WktGA11pu5KHdWdZN;GMY!3r^&3I0TD{(DGkVRg`zGD0`t0QV$4=Q0p~vma zL&w3?j_Y-b+q!CnN_FR7B*bkk4(|furN@6uT@Ks=PiJER zHa6bufVTM6+7Jr4vv0Yp$d(B%2L0ifUcVwnor=`P_Xy`#?GJ$(yzAW^)c=! zEN-gfeIZ-xz1!tCS-nlp%g)3YmdTXPoW{qOjEjo-a*vvZ_i-hx%vMu_86@B9vFGbM zOA)KS9No8T;f3ezDrCtKS-VuS z{Q$Ol^npKKQ+`S}{-QVQCOQEouX@bS@|85ZuC^Dty{%7IyFIw^v7$$W%hqsDi4v6t z1g%ENCzNFoBNrfgSe7r&iA=+Oh%D&wvHJ|xh0so#lja8&G)_{OZQ7qro1aDQBOy^E z0Ir19d-1R$^M!h%j83Wl=kOe-=k%CkIA{uY+#em)j2g>q05BCfQ}v0mgk>V(@yCY_ zeem=DiWCTTXrb^p9MB@-g1NnJebzJy-zc=cB=m_u{W%iV;@<8*gQ?;I+%L;0LnKPD znMEopYTAVD?<*(?HXFT`Q6>=MOeOA7k%#<=p^QH&U1d(4&E!`=#t@t1V3S}3u-dXR z#14rR742Xi^kXw8IBbn3bCW6jcIIqOj0dO6N7>hPDtxVYB6P4qbQwPmM>=s#Gwbv1 z@)bVv+36}p*l@BkpPb*#Wmn9FTz?D}g;yo^}3%S!-t9bKTcBK3vj{$DaT+idtIAw}0pphipih zSAEL7kn1_?xkt4st@Z8mC@@G*nehP*5hHMo_4QkWh{yA`4&@?CJnAj^d;@jH*J9R3Gl-hkWjst&1Hro+R*f|8b%tl~aAaB!6V+Wp}f zT_m{uMyI00L>H-2eW22*@~uqUweVs2HEy?xt)gtH zHkRH*Eh1psXmz30fO+H61E;T_cQZHasi2poMQLVe9&EZ`B7SrcBsD#Du z`)~sPU)a=l_~2uSLs7X`WVg->qn+thdx|^^X5CMxz;4w$cXAB&Be-fPf_5=>jg?n} zS?mC|ThFDMf2P5x%A|O?Dn^JtOICM(lexvI35ivmH}=d|6j9lHsL^-xOVugMK@+%N zxiwV(p4}gZCdB!yhA0lLM%giLAN;%QZ&e)Q0yAF$i4yx2y8=ow*V;s?FiVsM5mfxXiSO zod7jAv&Vx4DZVsh|FN4rIj;ASysK4beSOw?dS*;}wQd|PZVhD`RXk}@|3;6AiTP$` z7EtwJ#V4M+YzY>CfAUY&Dm!3fgbxsHK&xGB%yg}XcUnD&?bj8p4nc5dIb5`P1l z?9fW#3-n*``tX|Se+H1oWXf zJ6CLcd3d+NQ*5L@3FI5p&KEuWs}LM%+AWMj1S@br)Q@^zYpy>qLj3hRRi7M?n5;(l zn&@wVihvVyn=!5VZ8${ezLOxIPQum3=ZM!P+iUUolh^9|pKG;H4)%7(%c=UllYyRp zSbn}{cIT}L3ZI+KihjNvZFXYeDvXrPD_PBGBEVTf-^G1;m!F{(Uy$WGgf`Z=s5CZk zHFnkNaka-Aom>pg@ke` z>QvSr&W&f?#0aekW#5kJE?y+dHqU<=(1xL5lk(*L-1TJO!*?o@kyt!eu<1E-Xukz$ z|GqFpR&&4XE-6itDn@l&$DiewLf`$^Z+pzne%ik+OJ8j2 zvAhXUek~7Vq8v8v@HE`|n^M=lxtpbhm7E{p>y?|!`TV!43ahQXgT_j*+9h8JZQ5ab z!~E3Aulir_Kk$ExO4!8PO>)l}`s(&HXBQ)rt2gcNK?L}ODA9}#Pg6%RLXK+F&qd0< z9IMmjDlcm_+;eb{%)A`gE3hx1d0`wCu7paFr+jF<7a#e_#%g@*%~+qrxz9-3T`7BV z0~gRK7W4V0XL=lPldEM_!dmHI%XV-*Cqoru#T-;Jr(_OCqc)!031thQxX;;Ao2rio zU+_W#jWs^rT@x>Dq_P>)BE%Bw5P?6%Qv8ZVlnL0AV^BzM#HNN5F4vg)2@EJIFrQh& z`E$YwEDqfj!O0OB+A54VDE>OQ_eBrl0&9Tn#QdV`Wr!8i7~DoFUK`bRE@_z{zzX&V zHn7rCc@HohhCbfxL_&$_O_%bg+7oqY$tv)4X5^$TwD8T9WGIX{$8BuwPSfYv!M=@6 zWmN@ki{+%dmlo2rQNh{U9z@gPec} z-rr_f#tKTUq#70~vhg0`&~;D1!OhjbU2ieEh8>YaDbm@v7w81PUSUy|Y0T&B(p!>7 ziy?{^>>~Ur7{LE+A8Szfj+{u8p6D$@ACdVe(Y&T4Z)}|2wd|{-KCJ3T@!eBj?P7eL zX7yb6xZLZko9lnaq=If{o5!luCkvp{<>xY?OqO&iCPQuor>~({4(=#90BAbh{LVHO zH%$wy<6M~h7s5s|{>T=&uiTf8ba;xe8{=ct|NQE`*IU4`>VCetu83=HX;t}Ql?wrY zasLWRc#(niRv*?3@Mg~*czk)gWZbgtGP`@X`NYx{vD=W@dPqYU8;`bi^N#|s;9Hm( z-4UEkt9j^Hk1~x7}yhvz&U!SVK*DcA(0cvQpTr9ZWdU0`nUj5@^i1+EmCfxW{zhpte;N8N4 z!T7aQMBHY$oTa)cx4`uDvQ`B|xf*7_@xF|w(Unh*Je#x8 zs$Q&3HZ(2vzS#H-WhEMRckyuF&|kdg;%nl3o=R}Lh-NoFw{d#vc|6)!D2;77y_B!=jCN5m!&_gX6 zw`xAv2<>ipt@(`}B&Vqobexn3A^8XB36UuN8Ltq0%{qp8?SFa09+JrYz_tT@v3 z{W?5h?LMW&?=mY($fww0GLqtm5;;e=qQ!+kfeBVzs2JRjC;U9uTUY`$q^N*<%h`a) z@$FNzbKPfA(6UTrHDU z``)(SRfXR@f!*KM{p5`-*9WAE=D!c!lJypv;RD#F1dhO0+%MMA280j`N*PMSOf(8m zH}$i1(n3uX7FoZTcH<4BuY`^UeWfP zqI)B~yR$N(yQ66Nm>(p(`lz`jeupKnyG&$7yY2psnP~NyR)f!D&fu3eQm;2;pFGrh z8$K*mdM!r>q#5QE)xm{h6Jd+0u1Cgor%T{t0;cLC*)@vR%b(t%V|~8+weOK{K@5SV ze#krzC@6X^`T7m3VAAx9eZRV90t03AIX9)AT$f?}-Nn3{_XBgJo|Efx%*(^h!L4s$ z$5Rc$Z1X>OpZj4=V&y#_Ma4IYEmzCiuSsW#zZ-jVyO!O%TJ{3p0IWP~7ge17pV_l- zeeVwzaQRKkc{{duzKp-TXt{x2c5>p*_7=`*=an5C+zso`{&vZ$a4I?=A2zx>N|Nbf z0T<6+G4{w&0SpV%Ef_4nQ(i6pfMpW&|3^Fe-z9`()S_{XlnqYPyu?i%;4f!^DTDKS zN)t6e21jbRI*64%8KZ|hP62~rY7rvNs9ru7mtzv{E-*%f5hy%}9bP+iFABT0p`qFm z&J@pXPR6BcSq+->6$~MGNwBAJeHo~ukYjVFXZiZkbSXMS#*!i2LCl_(RY@6v5)~5m zt6S+?D>*)QoJfumR~PP(jm@YU_2|eBd$@!#zSsnL9l8_(WxU}4sE^komRbZMHkvni z|4|Ovx2^CSpK$x{nsB&AnF8iW(&3VLU&R(DV|_}Azkyc@^*jRX&cO)>Rs&q7OKqO| zi>h%iF%{H>$>4~%4{ zl^Q?t8PCz*(B*o}H&`V~IHJ|PmHp3uA(Gr)UpjJIJgg0QP#N~9WBp+TFh1in0Btag z@<8!>?w$O@Z+o^0Ca{!5A0a`yzO%Qo6)b2tl9Hdyq$g0(_JI(xv!rI-%#$9*f67dx zJ~WutOx{I!^-P{M*k?3)ue|8O$vUCwAm&fohZA1Kq--zuN+~ON1r!pej`F_C%TtRA-kE!dCsv|rcnk^oy2QVJ`&$jB#4Rf3M zO&PJFJY4NNnaPrI>*rH4tmv7K-#of2kcIA=Ut-z~Huav4=ZXwFi4u?h8qI&wn8|W? zULJ`&WGC;vihWwlyQFMxw|r6%sPi1`GR{J`!z5wmzm_MXaO#m@!e}o-p5o z&rTe3@~-jJDFQ;mEG$WdG!`F?l#jSycW?l zP;6)SBcsszD3br)D54T$k3dGhf9uZenzL^q|cj`(7?d=nJ#q4Cq%qEDU%`nN)C+-=;XKg~a~)#sPiV0?EzPMr!WhR2Dn z!EQ+j5t*0Yt|@Bzv{R;(xion#2FBem^ts>O?j5A_qgFZ1wVL;Z7Q|9FpQ84_?z|(% z$Mc#2-qzIWGJ4r=)?D&2ToO+(ti&&BUn5U4`i_pW16u@vaTFjw%VX(a;uXv~vayq+ ze9!z185!$)evpiO)EFR4n~^#eb2IwW@35%=5Ei`P<9ol}eCMLPsP%I(#mf5m*zazS zS?J+rZd6gC@2Tu`wcw}EiH9XC%; zzBD<M)OOFJHx2XJs?q``BEjf9(JJ(%(_#w7n*3H71d% z1Ev6=2jXUqZZ%h{Eio%Mca8t;GLGo5-UwAujbAJ}vI2!3fAhYip>Qc=y$1Au(q69h z6lqq)fI$_uigfPJk~MbtZ(2{CARr1{ZpL!&arOE>n<}GUE6c=Gf!ae%s@<@8hz=kj zEOO=I7oV8kTDkhylaKYzuZ3Xjl7=SPFB{2#d3F-q{r?Wsq|O?(y0WPLKp|%UCA7{Y zLY43M+eaB($F;@9s&a%@XP9a|8cg{393Qcwm$~?@uD1@;46Vc*XMdkv7T;b_@%KwGiU$zY_`ic)2Zb{^ zuq~A*W1?_Xl;f3$ZnIPw@!fz_X})yk@4ryZ9StoFJ(;HGTumjl1mumpxTJP1XOb#E z3x3uvs*(J;vNMaGqU$~uSni6Lg20yX?jF=QDn3%lBSLSY?iH$hHZv1t!Ji&RL0=}F zK%F#{r?s@qWxJSeWjjJUjkVUXb{jnC#5P>McZ>ydZ}!ZHOV37}P3$NvzYP~JSF1ql zBSe@WmZFM9N5h7Tm6CjO8>gw7U^M=3)nd&I&Tk4!c_l>N?}Wr$`n7OaR{h{B>hbbP!>yFby|yR{N(YGa?lY z6y#4W+0PpFA9kYBT5BjJc~cOFUOrRAYOML*PN7b|54$A0^|Y!=vBTt2vO?z~=rI(g zcJ6#hyPD?^thU*^JY|O^d3%Y;GwZ77vj$zUQe(aAszGJe}bCXC%gaX$ltD1Zmm<5(iQa5`JKLW zZ(csYlrTY3g3K;iso>x!wy3`r?28;07U_f0^~tL(H`Lpg$$R;uV*HZ*1ujY`%EW{? zs#f1j`o3wTR5OV=J~t~PL5&OD%kt$i)Gc-E_7>UJS<4Q+2kTD_n_wQ51KDZ)>H~HN zBILMh#`%j?$jGO6$A3O0%i=*7?^JV$erT?``Fy)IW}?WyQprAt!_D*xrqnAnXGLPy zR)mOf#tnTt#+y$Nu(bk9^war#ki)2Hl7k+l47&j9w;yMl6P590v*&1IeH~^T^9iya z{)U!0j|~P?c8zwA>ov@<5WGFr?;|fv_PyR`8UL^_S$lDC9K_$O-nnPzV{~o9`S;7+LdL-eZ2fx9b)2ZGIoc zV}8FCQhWyBWD}E`#eJT2GjQ6rpZ8b*e|EdItt}Z5 z5uCpv%os>YnsxC{q5^DDuoURINuyPOD_c2e+34lemdln@`Sbn!@`QgAiM-k$zYZfA zi)#6`*fA!{4NVoXs2}P(H#we0e2a--7`()v0wNQ{x4BwRLF4-4ZWiJCY|k3}!)Vuj zf52(=(mJ7T7kq`QR6h7qK(qaD{tE;aL!iOnlS&{k_uU!{uy6!e!?PETrSUjSwiZiK zlj?~e@n>t=DE`rXr4&jQqWJ02C7=WJ5ezehG`I6OHT6T<=?Nid5KqXvq)-q>$ zlYF+&0fF&`%+$ovvxiF!F39)8faCkfg$eI2?m|1sy*<= z@%{)zfB<8)$d%de`?>o*^^$mY?6Z@Z{R z^7Ar&%$i*~R1xnPW^BRrsA!w?UGK1Yy!!a4>*p(J9OZaEo$&f^`&mYXl2)Pi#Lv41 zEwo^}PrOU7qXhsmZt?huPns)aIGarT9Om_D@VG(IRMTt8&tK$cV!=NfB#Vkl2FZpW z&mX3eJKRs7O2#Z+H;y0R$o1a%K1^J2+kW^5KfAai^@XJfhE&U$GhR|t)YF1$SM#PL zi;aP7SM0mT30Tto?r8{w>|cYD91TvF>O=`|S6=}N{_WCfv%ev*6^KBRT*YS#7pSJ^ z!913mbAyiaAyUlec8BhVYoBL97(T9TcW&9#)O3vRcm&dS5_Btcg8Y)UpZT?9mdxu! zx|>yj?>ccaC&y;Fo|Bt9%Vna%bdhHu>Oo~Ywtwk^ri<8bJUY|1B6qW5J< zRt04|ycyEZN98Rc3}d|KQQkR!ClIhWx$;=rcQTo^+*X~&pKY>iKB_aj_}I;l={!f` zXSyyOX~7DvRm-w{=Z32nXGq;vx_R~5Jx!Xl0VPCzR`(oGO;*5Wfom|Cv6qNd80Vjf zgq9|>aQq2$RqV5;#h^>tFiz2fvG#iQ*en#?G_sd}1a(9pl}9a<5{eB!)O#Y=}^ZQ^xUR8?(vR zXn#^vP@qka?pr906ZmS(1XV3$B}pq@eHJIJI}gpF)jN8am@puUwJFF86sO$uy8iI| zRBpL?e55PDtJ85waBF|)gvg#j2|ae9HTNMaKG@XG|Lp^+(s)AN65H>!GAU*Y%HA7`^5)-=XOa2TxUhtgR^@AeqFDzR)=RXa?GY`D4I68<3} zCe~@TMgpv1CBS{}?F1fAY9f2LA}fz&pvtfhpyK69=oJ@$#g6BJ&iCuit1Q0v!#-Gk zbvci!w!L|JUKVe%wE;x)+Rlo1&$!-w@aDY5@YDpw{Y9qlnE|Y!wUd~8$I51*=bK@# z?1rpMqVsiI-X0ucW;GVPV}QwWvq6brhFSP-P>Dr*!J{|Cs-U~ND{6Ya)O+J)RIRt=qI#Ncc(zhiv+-Ak7XJLv znVyi-#)cGA9Qo7=E607FHo)2xZyN%b4ncotHH`ff>flW)SC{>jXv7-*D%oE;EVdec(d9&PPL!ijZA00(TOsG?1zqt?xD~y_HsZ>l;7$|J3;Z(JM?JMKY zCd2>z#j?$#Kl@6Z>9fnMG{9QcpP@p8!WaGHKAw%;Qztd^rZ~x3%&AU0EuCn5?`=LS zQ+H9)k#F4?0GgIFtC^m@&+ogdHt`AVHv=z5ZBgRIcwEPy&okk38~)JeLe?m`_s|MZ zOGj&WzijpPT`9wBvH;)$k$W+x!I<5*f~-HRY2PD?)TxQV8`uM7cw$J}gyPz`4&BuH znKU3AJ98;_-8MBWe!hLUnAQ6U735;2!A^uACwMMrW8;mB&wqfX$;mah$uA|#>F*n` z6s#9&cX!P)(<9P)tNA%Ot1JhfQcqW0&XW-yZwsaw$jQlvvq|B#!XXE(4?j)1c!IR2 z?vBb3WIlM_Xrm0!Mv2n6pX%8inAc9D83~-r<3HU<&~0A8q?xl-$(QZ=uKZdHQ~}?& zDb6643A@*=uQx2V z4oqvSC)iyO!4MQ~Mb5mD`_s$yvnmP`XAVB!!VGrP*`%MZU27H3TS{)SAh7*5h@x!tcWir4y?oz7*Qd-f2uNcXe0xrV_2 z6{g3P>sG;>cFz|^^+wDm=k%&hw}KZNQ`t1K1a5LW30(*xdVUo~7ve+kIS&N?j1%e) zQM>o76m<_-TSEgiD671s?Pjsap02wOwH*(CN4rmXKNa<-)G;5LTQI80v=_5zRk5bj z;vQ-UoMw95SfCkT&ts0JeX~kVi^@?tw9fA+Xj>s(d);VT%&+ZA+r3b_AGKK=yEzHS z=U|(iT+JNjIn}WVQTDhm?tLRkcSgR|dbZL7f;a!*kFaA+4`JD9p4zA-ZJ`DL!z{^L zdIVMqqTMsb%9u7zx$F)s;;o@u+tbQ*h}>hQj&`tUTN-u^eK z!h84Q>*&=c)rb25-u+AjKwa;7sidO)0S0_|xmMS^?6Bmh$JmJT^LS~1N6SrmwSDw+ zW9Qks>PV^({{E-@mGrTxuI_qFZ&i@{;phJ`Z@`XEKh@N_Yf5-Th6imQL3wXSzDeK>n06S$hG zYdb9)gp_(Uc202lFu(gQZlMMEt7m{~Mg4QS!aZzsFqZh=BptbZZp4u@{(Us7t%8cm zE7q4bAu5hMApRDo6F@5^iwK?naM87odas1}!8aXFqiP|bz?FR%QS5^GF)d%S>8)%} zoc#Mj?$Qrjh{smusSZ|Hg3sb&d@YWXhSC0iNJxm!4y^5@dfmu~*XwO~GKTHR@FTt~ z!;cMAF9agVKi@KjzN^fIYeY`SUXo2~AVnLHmn@!6 zl3Yea92~L8S83?Vr{yBJ?5rvbo)Afnb*_(~jY#7$$|4=q{3SuF4fRpu93^~k00!L; zkQs$Blj(PW8tBhH)3WFO`}9TD+?*$$H=>1d7Xg2Tl>%>_o)qN6I=)5Te5e!@eM z>^HcoN5b$TUDm8>wECu1PHtt?O|@U4LkcfXkYCYgK5Aood0{>&ZGyqsQRU^k9@q;z zWTQ@7trnp_|1wTr2-y|}Y;a6CwMz1ITffH+*e|J`X>whg>y93!En~8P@4C4A*S%;J zPCh3klQ4MMmQqjsa~xF`SD=zjh-=%0(|*hpm))!(m>wFyE*_lC0R*tPEULREmsxKs zTlQVFrL_8+8le`MQUYCUOYWiMMTeGjR9R0?ur~nyc*E4h&6Y_-U8kBfh3{ywQ%y{98jY_ZT(UvmOCHhagaN2= z4hecqRTVD^02O6BTyW?{6@Uu1f2kCoYFU!?$5}!j9s?TdktnLCZ&HT-19*<)CYyBK@MTST;C zz>kP{(;$)GnnlO{G)9=<&h+CJTjsbOD%vo#QyB7oQ>Q-7ymW=r5N%6~5u{!OJ z*U>iP-pAOjxmZIK^bb@Xq7I1i7m+yhaCyYU+k?geO?f#`CsV^a$a`D&aj&)Mg#|+K zy)%{#V-Z+GKwsZ)ncuSoZ36QPUop{f%X#nx0kV$n;lCYtWUsGs+r6}UKrNp)0>s)0u*r>3iDM~H^rK{j<@sbB z%))+gNcW}|K7JB;D&;f-IyV-K`3SU{^6-GzrvNGhn>1%Tuj8)pKhbR{!89DP2CPaV zQXJol5juwzbj4tyrrDv88okcSM|CEi#@otcF9k-0x5Dhv18El_xoI1yzwi#R8))S+!5DDZk&6Dh8mOb-@i0cMZh&_Ac9imLV?Qz1@h#PdNZfNOW3^Av&4sg zw(_m4#guYxQ|*{d_J^X}`)Dd@X{7;FPJ0fViF#~d#?v>TA8B&mFXft!;&y0jhQH|= zwc;Z}D*t-;{bJ2l=&`mgoQD@H%rvneRaqjyC(s15pIXQhns*CpDQ(QTXgIA3@;A6J zANxF;?B}XR)D%T{{=JlsDr{@3YpA#lr<)r;)|;7JY`#o8Z8_K2Z>dw)afQ)|8mE(O z{)!zUi)_e-ia5!_)}ryM8$-er?OQ|KKF2>_JeNuRcIW>xmRb=#UFxX#7TP4Vfjps9 z*)h=H&sfnXg38i#Q3R7Ghp!D8&s1r+jw%|L&oSOv7~9|G{bnjvx<(SQ>#_0Qvc8kb zbYkZy6Q$|!dfiMZOIu%Cd>q-6f6sL`Qui;l%D8IFcHL_NJK2CwWg1DCOe$Hap`4DCPK9y!)Jr0m)iLc%u%%zU zg6VrWK3Y*3KZ@3pT7Z-yfkF3Pkga_G_75XmF~#!rrKJg^#H6LwTA!3oRoYe8g9(G- z#kJMdp9#5Du=^7h-TaO+l;aG(pFg*J@V!JxdEKECTCBC0UaGSSB6k;QpMgPj zCShxNCB~#BFM^J1s#neQw5hyQeN-u#2LnJ#xQFMhv@yMKtSNe;8U-bKSCVSnAwDHM zgT8-H5^Yqx;DtsLUMwy7MkbDhc$jw!_GE3T4Ak-U`Bt{9PR(Bm+hmIp9Mz6P890}0 zVp{WE9E1~s&0}?lib@SKNYCH*Q2cy9(=16gsfjTbPOpki1UB}Mt0RTvz=b|n(yv+TFdJjizCTJIkp>Xq)DbhU%nOAl;fdpwm0|kX_vFL- z@(-Sv@{6H<&l@Fr_m)88Ub@xVO?^XJ{^8>b2H!u3f&JJyjMc;9dl~uR^{$_#}s} z>3nzb)Lq@iip}$BX-j6Sjrb9RqX_9S3nwSOZlk8h`okIZgv>N+vQTiGk*%!FEUYZg z!Ath?j9&gTA;_LiklAZ{SiN2SA#j)qFU?4bzRddNN_=*mm1AW-)+K4F#mj9Zyw`?m zMkdl2oG_Cqj~78wf(qiGpx6$q0qxVm3K^iFTD5!zsp4j>3PO5NPErBXbRdnwn+A=I zwo9U147?=3T8FMloDpxjxA7vz#L8pTjnbFtKH}W-Z$VYdNwH3Y?866) zBsuEL_|lox^!*mlAgeVImZcHyVD0qetk=fI!A|0FPr|G)#k2vCKZpkhPN)?X-^ha_ zjLX#)rkT`NP&;PT9~z|HyXD9;ie^H(SHe>L$Q-_)Is7%~_YqQ1ly4+l&(EfY{ z{@cXq2V_EwUMPnQQ*?+GwYSIopI1%lxsE6FGU?bxyVHIM935 zf!otHy-z9KfCOZ?9y=)_7QX&u0XN1(p1;7k(riA@ghq+WquuXyJ2canb{YJ-p?WC))siSEfi3}6?xWwlZWU;i zExI$+nI@lOPBNIHGY-$3Wsmb$b8~mW`6qEQ2G->725DWLCx5fNiP(tAy%~madC&oJ zPz}_oN{tU>T;3Gw1P46cp09WKz4{eAYY1dnnr9I6N)2$}#EL@wQBeue$>H@80m4%q z#0~6Itv-D+Wu|JQ= zt+i~d2xalzsd8|oy&oIh?BFH2VN58RqbVtW+7i>_wd+oZK^Dklk%?D48+Uo> z3H`%M80Zu&KEP`P!uv%HiSk~j>I>7r4$iLxoefNswajiF!kS>$62p4OJr?gn-^36M z@7`qhiBp!tb4h~}#&j=_hG8q`l{zN8q#+l zYkRA;2pXMX0vD9>VZxZwT_Qt&lBSI?KwQ(JjL=LtFL6sa8C$uCRW;Co7Lj#EIKt_# zH;#-gs?deB)tJV{W4%qha3CRRj>O=KB7{Yr0;9E=#r`Ud!#nXM24=v-PLJv~=Q zPDK#oYVC@hbTt)vIC@oEO0MHn{?CHD_XYw<+LetJ#6vE(t88pwM1b_8F5a+f$wXGm z$=<~}3b)a0QIJu~8JSS4-Lu#&i>^!GNY8*ZrGz*g03RnBVU`h5?zeN;%_d77zdAj; zuA%lW)#gv2+J!GtcVwZ)OoNFlPWA)0F&x|t>?+nve6%DVr>A`91yPm0foI-b|OEi65V*E#@Ari<$jX!j;;g)(@+xble>xM~&#EG-Be@jbfX35geC=D)%d+nzERU>CC(6aFfMk zLa3XUB`IRP<*+}^S|(0ZU;f7r*yx5ToG?WUN4Slu!ARhUxv1HOZ#g?NbI_buSGheH z5Jcs5dj=oRo{+G<_Dm(#Lvu*#6=Fl^VEXO(D6kjf?P7jajs<$^HgyPGy1%U2$9d0| zWftD!TI83vKgJP(qe2AMnc+}}9n$4dgztc<5-15jlDDY38x8|AUY+6P)V&Wto~aEXy4rUGVJSw%D1JN6w%>9U;NtpvH=Dq( z=kNf=yy&bqgPrb%8c5(eQ zE56}pKCUI`-r9+@30o=@f9^ch9#UH=6h2$`zezh+u1&Cqd!=L+DbOI zs1y}+T)Vb{{rNg8e$u}~)}hO$xS+<;AvGVe&%n4Ct`oI#Dz510!c<)?uv|SqQgExS zhjc~JsJ#yNY<|8>Xcas zXx;352dc`(z4zEjNl9V0wM$;mi5TA_!@n9tt*x1ao`QEd3}@4hjJbqU)ep#GUK z8;NRN2BaYr3foPG={341d{6mHc+=tz1JYdg7Pi0xG zUF5Eth0)bCkr##W06+D*QvQKdl=oeY5kM2%NM#q$yk2fT$~AOXxSIHI@Y zW)V_Wy|E5mIN!1FAO_U9(q;dcp8H}Q0<>X0MyO#$)?==QAeSbdZ$pZXU7&8@hj_3Y zD=dHYm4OG2iW1*~lL%$QKhsGpAs)zy2WeP2(a|r|I1vEu*#wZys&tmVg^>{$-F6sO zRf&Rv5=6cs`ExZ4)}IzXxU7w}4H+EJt!LsS6P^q5)AdGF< zbtzwQU^%EU`u!t1GFsltBtkc*dOGs5Q)6|p&@)}i?Wa?D&vs8sw@sM5_6DRrt~Fh2 z+Es&qJXU!$)Lbzq$gXpn#E{)#TYfZ@ALY_ZWRr1A5F^*9Y{4=C^TK+Z9Z8f~^b6m& z|48Ugu=UEtgq2HdoaV})SBbJwVfiz0eg zpIPg%?&R$1){67G68#`HOVfKii{214iTL|%mRcEy|H;4Xu}b=mZbB7(;YL1h;Kbno zIM|frlvmK-?BklNX|-^j2&7VNF3WR{G#5u?@J=KJ+Kd*YmXr+8ImV1pZ1etl+)HYJ z*3bd?C-CzLR;3W(4J$aDZFWASEEA=T>V3ZYxyXNgk^3IR;o=|Ii`gw>nl*tXn^bJT zHv+EPNBK4%wHO*5g&ef4*~ea0`eqV^5-~JDB8-B={xcsZJ0FL&7FR57tp8Ce{+34d zhIJ-BC57PQSWSh9l>{-5#1#n9I9(Xw?Qggq5i7wG2VPQfj!sMZlClGp^jG1)dn*x+IZSrVHz@TZ&~%ngS!Lcrr!-`WZ9W%OU*u3eA#F|=$3UHopKth&m*S5 zf#7cQ(9Y)$@U=2_vAEUhQv>qze~6*I{}4mD@Djp+yuGyt$z_ut{eO(1Sl{(81@9an zC*M0B7n70tjoyjIPIj~^Ptr)86c=2A{!xF?%jXmkK@2pyM{OAX;+K~#hKf)T^!{gMKgd7z2c89gY_?C z8^Io>nnlDUsNF9iI`U{%ePttZ3fI>oTCJ7WzrU3MN(1Un-&?m%Y=KnQNM>eAW&r3z zPx6Ll4$-v!>XFAkV*PgvnKbNWt`JyR&1!l2jrWECq`$9f(Vv|&pVdYJ$1$<_6=!bt z_e)I4VqOji)>EaH(!r-#B^RBOw~cExkoWjbkWlqDarkkUmzD5kn9!ys0k1f6Gu>j&Cd0eJ+s|rzp2BD6I_nx zendx`g41$S?(I1KzWwn1+e&3_*Zsc?0=Ul2@Ma?i;KKX4CR75x$ZV)B)0;l6(XRp1! z+wb;S5Q7hw&fUIKmEkUOe#~z?$w#EnjjUYT@9X?Efs4++&Hj??(nmfbT)l^y-2#?j zkAQThJF`#Y|2>i4b(W6a!(qV3aofj<#{0QtvBPh}w%Q8>*;n8e23_Q0kH6uP4J~zV*_jkS_71St z(kfcO!F-%CPY-LMj*BGb&_SxdI5D{t*p%`pfRrOZ2w0?k3o*~5Z;!DMhNsrYK^ouz z#3?ioiEH8cjvgFmj6-py5gC+N`%G6AIqVfs1CsLwji0`kBuml3wxpoc9L!EY0|-tB zi6=PWAcjnj^VVqAwJw?S83fW6`J~R~A^NBDkfTZvi#VNrRgzQ!HW(-nx!gny-Jd@$v+MFV7l8CO|f$fA1q-$oJd2emT1#CvL zczZCBai^g|{mhMx=Wn{c$UZ0txs0~OoH36GZzO*mtQ&qjzHYYSl2aIKG^u--nLP|{ zbGm{Xt|e>UuTtfjon1Vf_i50U;V{*nZ@b;>kJu#!27Y}SS&$95Hw0bn0st}l9Gim- z^=Cc~HCevjExlifk^3y849pTR5!sVqY$Uc%MSDYgrAhMXGI3L?rI7kTFq&BLI`jjY zD9lDtlxojJ_EjnhRyv|A-)EB@fk8=_?i{W!Vn%|4(r2mr%S+QpoNlCU8`LJ8Vo3o= zLHUVEeO5v-yI?&A+89V?`CX3EVvJiLgd(h%`R(Wfi*UAJW#$zjZQ1@WT0x{fomkhK zm!3{@mUhkpdYDnOQ)syiM$%t8+Kyl73O-I@t-_{3qfEH{-`b@_kf2U94*i}{RAiD^ z4n|XzzXjq+gcb+eE06ZwDC}*jdpB9jbyqim?Ez@ll-I zA-ysO)Fi-i#T-$Xu%`?}bAJE&rmrcrI5|*>zkYf-A%kg@`Yl7o`^BgyiGn=Vu(S>d9OEeO;@I%eg~fM(Ux|F}+F@*J74y(U7{@FVfiLtv0gb;cxd%A7iho&gUXRIw0|B4r0TQ+uYAAsI{YO&+wqM%92R zMpP)a94bQC5Nv{S9Av%n^(rH>rNMiD%9)EV&Hao1}T@)TwBSE`~2 zE|AsyR99&*=JhV)r_V+7`9~T!@}$AGr-I-Q8UWdFTH9KfKR;>#C`#>Z$H? z_St)_YXR1x;^E!FnW9ag!^IN&^Z;#&v+xXg_oIq~%USh`xpCf|m_!xf7FD{m626LI zL3wM)zciyFB9uB3W*iwA_@|gh24DJdsYYh~t#9mO+b0aerJw8{=bXN(94iDiweSDp z2{fL8&^|_XQ*-98=M`Ef3|HL1JEpp*qw^f$`PHr0w7Go6e73RUUe4gq#?k>mozQV$oEfL71p9|N`?=b@|@Qp>6*D~;Zocid`RYsT2`|h|Ojq%G6 zqi<2shM3e|#oyoSyS~+@D}S1u?ksceVce&CMs3HfC#YgTLdei}(Om*nHO%)cA|j8m zD`;@!cg+JLS0!O1U{Fl{LB$~h30_T&{?DiBTo%#^aH`+!Rd|v$fh;G_ZO;GTLEdG( zhzr9C+|88Ytze*3<>N;@1Yd7+3~N>nwG^U4A*T@gQ7WYoSWyaU340yQ4ug;1$l_~% zx7gKbQ;AO_2T{5JvjmY8i5L!q@=xZ?nn`!xR5TdZ;q!PLyjCAp|MY%Iv70GN`pz*^ z$e6++Ph;h065j1mF_$wAU%^&y>SN?%qbC8$Fo2kE_dhLfCr6o(4|?i41Ci!LjMzWS z*&&mwC`mjitFcci6Dg8-8EoQ0x`Ei`JQki|W;&E?u`xjyrh|xLFwpEnMdD%q$TE1y z*weC60Q3Z!Dtz+)s7X|Cd3@h!Xy#6{;C{w2R)}pP;^d*N%JlaD=uG2v=kmrJ2K4Ep zH?|zG#$`+vX|SKVW%7Rx7g=_t%CIBrGZG^Nb$EC2-p;nrc2xQYSPHm72f+(f|N4qy zxVQubcsi_Ms_%_6BhVt;#}W~+e*Mli)*`|#1c~S4;bIg1O3w^Qti^W?r|CavY;c)O z&2Hu1E21f-X%enCHF1k+_|0oo(`2!fjZ5hUr`$}W4%Sq)s$zwN6g(u+Ysz6j(Lcks(?)7|^BDIMxXL}b zZj|dp@dXvfleX(6XOCyyb$83MB$FKnh&kwR)#B>Bn?A2u_pt)!|7N+HUf+c{cf5wT zk%5>oum8S_`y;l`KA=Fp!jJmUKFWWOMKkT&>*L@2m0Uc>A#It`Bv$?FouK%@36O4FpQGvFtI$RVzfSgYI0j~qbM8t@&!}Na z%=Eo{-?P;v1j$I-407R?*Anmws-@iRYckTw)_`CEOftzs#brv$d6s#h`+HlKp@qF$ zx3sff`3`A#+z;20P&;{sqXvv9f1d)u^Lvh78;2zkU7qVt9v=78w~XD$UUw)z(uYnV zR?EG?=P%Q$x#3zbGY85~^(rk-={@lQ3T^1vr0rRzZtI&9C0b|UW zkX?$v$3)@Rj8C74!Ak4##<|x6xC&P9vV}Cx-r!+0_JFI@aJP^FzE)xn*+`UcfCgi)s{~^XC*Q{qei@@x(f*QaNc?iX98Z&nkl#fW z&+7ngMY3gIn!-ZPeQEAvX@AQ2R0!tjVSOYgJ-x0@hE|QiSXku1PWY8zd;hN6I_Kl} zy^)Kyi%wQ#6bW=8g?x-4kok?I`d?ppl8+Xr0Ma zy@7SI{ZVDoO(6JS{zcsuod&-5K)7*U`Bl;A`4 z0K{TglWA6_Vn&0q;#r`}c@&*3SstR(w|{?o)zWkByZScZ)3H7~>o!bZDhS!}XtUiw zW*2u6sA{!(!-@m3RwAJZyuDrCU=zR#VwC7`HZ=Bjl*bUPb-sRsK=d-Q?WT#cJt&23 z-(}BU=3?zp?s*(Z@p4>n-Kp;`3ZoIpusJM-|K8=U{KNZvu1rEu@w(Ybxu7@cd|lwi z;6T>>>*+{!S|YPF<)PSw`+Yn+Vuu?NpF=rNy%A1&co=ox-Cy2o+NhN?#jk7v)ST+nlMy#dXGyUfI$?3pLRd1sW%q-WwY%?Z^B^j*qtfvjs+8G~AP9E0Q zU@bxXLUY@@yN{FO`T`StH!)jLAvgS{BhLoXKU04Vi)zU?DmpAZqoAFxc~MRAp+!d4 zL3(7ZHS6iBRAmdf>um&zMO`a*<}G;PZlf`P zA}J$tqU+J{M%{E-Z!H%R2GtsHW&h(xTX56ZSE(CF^x#tSeRjuhC_uWTgYcXHLO%(U ze;hi&>3rHgFE4oS1xN5&Dp}wBa$RQOtJ=$$5cW6weID`5jO+fs=l;56S@ud|U3lc!$<(zr+lAvq2xM^i@?;UV_d=5!G9aux4Vz*wp>d}5{Q1emISwFL>#e*f86>NEsy_*`y1!V{{ad5FsZ)~`4 z@zL6^@HO~e7(3v18s?;D=(V{leJ!nC6gqx?^xb&g7xBO5%WSs2c@BIz%=J4z>8efq zK*q0`9uX;T-LKuDxzb_z!+r$(8(mkTZZj$$PR{qCjOTrj* zRj=J5e|(#b5qnwvL^i+4j4*dz_;$9r4S|%@YZk)DgMxmdryDPqocSG@)`;Xh@rR@Q zSoJ+oG7KC*=;CxSqXVtZOl?7n?d}Z)71&t9<^4pALhr`F4+oumpP39E*L+mkhj>{l zb(^cz8LISJK#0zjf?NzpZQI`&`v(RfJz1ZAd`m&cbXz8zkiv1pK=d+i7pnpiW|A~` z4!G(w6_yJHdlB_z_dmZIXd%b}%NXE?n7&zZ^pTxD^$(A8lk&$#K?x0}{cxXfiL<@m9YKWdm9Yi4=rtv*^I-ikCBEB5_cif+25V;u3 zR1jl?A|82iQwdh;^S7xj7YkKJJL=#pE zC-KH-)B1LtF=hFmk?KW1u_6bWUr#-pN}%vQNx%^WC!vaEO0S|@!;{dB?3p{AxF5mQ@lCNsWXCy<3gnJ zvYfS3SmIB?>dH`CfduLXO*TS0N%pC$kGf50oaQqhSA68}hTlRY#-b!!K;X;QBw-K_XvzFnHEHi>m%QIM%+XO5J>K4lqt6wV`+fi+p`P*Lvu+c}R4G!LBZU(wBh{JbI0lqK9sSn61E!j$tSf~|< z*X_85zvFHU*Ap_0IzD@^#cA2{c-Y_>-vM$==O#GnV^!}kPNBT zcV5eljuWTP))1hqmj2U9+d1`>k*VF*OEJ5H)^X6MQ26jo4n!0qWYLgvOeR<)K*K1V z>1P0$el;lE7(~GY_CE576--nv5&HHA>R&!icTC`EB^zLrVSFNIS?nhr5M@TDu3e#S z+vo*2{jpQ}HHrktWH67YEPsXB>-|k4kje>VWEaj@gZdLX2qnUHhAYQzG@Zt?0%gdo z&^(-5B}KlHF1vOLp*sT_=C7I4)=&pI04UCm?dg97c%OFF0MVz(`4l*xtLJ=eHD7nX z!6B~fcb<*+slA$F?n#m~dfuCzjp`Uw7vqc}#bRW}8iU#wlnV$s^`h;7<-Nln9}xjP zpP$^Z{W3PNwfr?9@M&*-g^jMaT}#g}6K}aIf3*>@lJoRw52hzv8%CWrs3GpxjRCR- z3ee5(%RK%JY(9EK(q${FC@HP^Kdfo#yC?7PPF?I=J*F`079~NNM(K(W>*8Z)u6%J$ zeZ?QSs#l>^1q-kHm_2-;77qwAl~~3(OCKFhPF)Q+;7Gv;ypyu^CD;Kbz5BnVL(7GK zU1`16D81!rg6%%<6jrzoU+P z0lyT&r^Tb-2SKYEfgvJ}k_@Y=ohz>*4?zzmioZLMfo^6-M(0Dv1@)&WOnXqiYMDAi z&cUk~a$Qo1_t{mm!Sc+!5sc_q@`FQ`Vjskjxvqs&WO4d6qI2hUAH%rdu;x&K`9h&; zg{b^|eGL`}U}rsq?aEKUbLZWpbbX zZ(YaK2bJ!?J<$GmZ!*h^(}rQE^%k)q?`uUfiI3UJty&wBzLK(KVSObJJ+{UgD>g@* ze`?uuHXts=rQ(0mYdijR?y{Q2-rG+mVD823ar!H4=Ko)or}Z)&^%}X*y#G_nOkq9^ z^tqXzj;RC_K&T!w8P>35N{SF=-!cvkL5cbDpqMQ&wJeD~rF0&h8YF3cOystDck)cxwFRt&qf6`xF4;}|xzs6(VI!ininj6TJ zT2APkzu)Hw>tIU*gFj`;(3E=Ja`A`bOEEz>SdwsD#Y1D|I13dp?Ap>e`h36H0YfjWQq(;kPqR2{T3b5i53dny{J4u~5QTl_itW_dNOd|n)BR#y;Eu^6vzhE3o zZt{gy*!@oxYno144`#ltgc7hUmpo@ep=O!EX9yV_v-yrr`%iDFy_0fjJ=_;h`Qj6e zpN6hc7%pGma%hO34Oui^SR1Mqo4J$@J|e|P^3#s~G1jg=p|F_&s`Lwrr~+U8`|d_? zNmkj;=U-B@`5m1K^6Ujjnz^e9W|UXRD8~ z7da10xy8O@W#axwIGr}`1;r;#o>?AOsTU?OVL(MSI8F2Visj9B#RMG{fWn~CA+PKS z3G_a5e~23k>`PbiySX|Od7l2x6RN^TGk* zg1RC&KL%)NXi$lGMJ2DiUbNo|=lOA>$qSNxgUT!Q+vZtgVhMSqizNm&7mmByw+tH` zuet>q+UPUgqjB!I`;cqTWJot~;N|xh@L+Q;AVni#6VbKVaYO@$}m@NNoNhZ)HLJvBmZ8J8;9B^n$(Vu3Wv14jFXTyvrKAllt_~mnuD1qEU zMc+o<%14f!K<6>~_t%PqcVVc)BzBS?x!(KfKSbUh-(JCx4^*B(O{#pcI)i(A$LoQ} z`~M|J1=8)%EoedJklCF~o~K*W-gj$F)CJ^-mR-HKNQ_)hO(QllSxgT?gN{PF%NMgKI^`7?GFZA7Z;Ap;5kDgeoOO0)2nnBBm5WdG14dscvg5| zFQWlj+Ev={f4t``N+e{-ib{&gn5vr*b${T*;h>04g%) z{7ef?HBHb!BV?Nx4GT;5Ggvx2e)#7fNQ4I$^^gZ>(7rgy9zTavUP=*htB4P`?|bG5 zSNrwvRJ7PQ);QbGg3Z;3f87O|PM42c3=q+O@PQbr+|9ltzxPd1m?S;O%4ii_Ex8SD zXlD!ehf3Ay0YNgj(}8VMn9lYS3jd^RK7|wE!HS{78t13cCQEYiMlUIk$5DrnMRF~N zmuXbEkL#eMUG=%?BRH29)+hh@+SILCt#)XYOAoOREHSa?X{>&yPf*(vM=$a!o=q?& z|5uE~mN_S(PuEtGPGy14!Sor9zk7B5zKQEqDZ`EbynVl&<6iNP=X&Fpa=$k1U|BzbiIfbe&w| zssLCogk87iUq6oqG$Bdbj`69`Bz9iN$i)p5>V0PU`r8bG7>SZJIT+7|v>7vb9M`W% zT^W-}Uso&(7DQgMS1v;wg(g_Kt_)WKs>%)MKYR`V$LGmCb&^VfAagM0;uyV6Ia}y4 zJa&&;Im!Bm+%lh&M_N=2`f|aEM9`=Bc^%u>po~aah4N5i^rM}B@1NXcbzaYz9nZ2X z)8?Jq*QemJF{}28WPA~O%QO^bFblg70#?fP@{B8&Y2OQ=mSRYCRl!) zuI)sXwHKb!w_T3XEO4hxMCodrw9FA7lHLDG)_Q$0|ncOnw$VOC1J{lT&%h~VjhX<@2ZAtKJP=#KK z#`LL(pBo{3CT=rK6-RBABDf!Y3D&qhs$a^rAsj@%qHwCyx7X`lBzB_H z{>oFN)8nRd`{A6=RwRqk9W! z4GJApseVygUiSZUox)=G-271W($kjm_LS_u(dl}*?_PNHM|L1~L%>qDKSp;UHefg7 z%~Nk3oL^(_3FH4ft;cN~uzMm9S2c=2UW^G3KscX|HyW5r`;|}8pvjc_cgVQOF0o@T z;e18-{de1f%Bt5z{9PN8zkOZmX?_Ef@7z%;doMuNWne%0k3R$S35#rmx9$uKKTz6k zCsBAXC)9=I`ENN{fhg`SqqO0g%WjFyLPwLrA;NeQVcLU9t_(f(md1`kb@v}WrZ zpvA)AyYWTG&M94W!Pxe6;NbHD`Ax?s}Fru00s@ zyZ}uklPKATqNkI2#htS-d#+v?tp!>M%ACrv! zWazL^kThK*Q}4~N_#@~!M%C~Wqa6$bH8eaKG(9Z9!4-{xo%gdzPGH&Q15}hKqNzNj z-VYAQb=imW{x)ocL-Dw&@UNDbBFX%tRB@5%aTzpy5~dPTKDWpVEhJ`gOGC5W!45!O z17~twJY5^EgOi);PoK>#SFh%0XfT({pf9iSO9*MxJYmJ@0mK@UupsNphy??li|>si z?@uM>HU7Q77dqY7B5F47R#prmXK{f)*ubWx-nCA%-s1gZZdjn-JR#o@F@%lGpS|AU z>#485w%X!4A$T(?5rL|N_O=~fcRV_Rr!Dz?UwVzLQE};lfB{r}wAnZpAyLA{nM0z0 zi!Y`Y!DnyZ_j|$J43CbQiHy#Yi%Uvk{C*9=!*0n7bgclX%S7c|+`fqRYr8+?X%Nf7 z7Gpt!*z5iQV)A%^SmpfpBFqoZAd@@e9Hv*^Czj1w2UH63B7zV4u>^^r?SC~%=%VWE zmnK*L>XCi@)m9!HRt)HIL&~9O=WeAXVUbunvaUJ9qXDFUd3EOF5}vR3jJbZ%34viI zGn~w+Be5|tqq!o1^3WpbitPRT0s^J3mON{2GYBG&e;Ge_o#yy1bUbQdO3N)69pOIQ zGFhR(1XWMfj&VEzfU+(YB`{ae3W(CvYrtCmo5Uk$Wj%je5n%y>Sl;%@YG_)7aeqg0 zuqV0btE@lFaZsWLuD$#E@a~Q0VkaOK_jF@l)#gMV`kN-7h=6C;4EcAG;Obh&=Ef2* zBg-+MgH=PjvuFlzkDJkMQDU{;F5l+^w(o8N10b{dkfj-%ehF?;#g;KS1^P-H@7u6~ zBsy4$!oKfbV+T1thlG}EFGFhM;BM2W--x<;W1&G~M(`YIe~~Q)Yw%xGz9}Y)QjtlL z6+;_otYop-I0@xWY`kxlm{CxLF09Z0wwdbjc3t^#dkX`SZxM%;4^2p78^(iXP=^hM zQcq_I8^nWyje;T%W%~w_%cq+`SCz*{q)LJ^mzP&tDEeiys{kN{TIo@$JNL*}U$hDr zH-OSv|H6qg5v72_n5sIesEO)71R;j1Bhl_2=f~G? z=3Jzg6jQ;AiIz*#X@?&nh-rLJL;sbOR)QEluoR~z#Ou-aW<@R!otU1G1Ol4%=&=|G z(6HP)$Vwws`0!Owgd4FZ1kAUtanynpdhMU=EH2{Q0(lIljE|K8Pz6G(O~8!5?}J0Y zZ9d`Wwg&aj`O-VehY5{Uag@B5wUqLmv}DV8)1Ue3Up-GycRxxgHPc5Y6|wFN)fK!S zj>E_$KFXpJ^Ceu#Du7SNg<#h7Lz302{p2;9vs~(kq6Ra}&JMd}i?b{<1n-jeN{mle zTiUjLw#vrbHOtFH>BQwWKLXkho39R+XjiH~=lQ|75BGxpWUf2rRSUTb?LGl^9*H+Q z0zc^f)x{tHgq^-|rY4o_UrG8d5WLhG(Hy(x^>t;>_JqYy_x;WB z!p~_$n~URC3ZgH$im!RmbeUm3IHA}cHlHaPgNlo3GyTe}Z=wu)9dJ2>Fso^DP`6@;;6L6l~#o%M+h zR~j#cPYR;gtky~UEEc$d4!QeeT^v1yaa|l98{?G{@_6M52iDJmrsubBU?5N%At%(l zg4{SnD`?rr3F`h1XQ1^ODLvUquSWJ8(sO{4pf$B^>vQ|qk9m!62k&m=42ggD-=7ax zM+`&`dcvP-yqJO0r;F0#6hc5WeIJUb{REfM@ukQjI1^6bgT@r|a6T(yYy~b%cfPOV zJ~y86q#f_?fSFDa!@{M@=eF~X+mo&fAJvJ6&b)}OZD@4x&c@rGZ0^H&w9-b+3$sf4 zNo(j&le#|;-?dANA0&S&+jHH!qod<*L!C>(6Kqf`!QDnL=zD)n`)kO3>fiTOL3utM5+$oJ z&jlZB=)Z{=lq4?;1t4MPtIu$j7omS+ljhZkM8c-cLN`)`$9jB4{s+XUz{BKfWS0Hm0OMqqhGfCr+)2ik}FGx!)xn`^5R))*L{ch z_pA6|dIx9z0ok~|TTTyk`=WqP5FH8g-2(OXzp2)Rc~_xXaUL&EjTaN1ly z7fAJecXv1QUPN%Y2YwUzo{at5OD0i`nFtvO?mmlfeKk$y8_WNwwXoh4U?gU%El)Jz zT~CcfvO%8Iw>~Y3Q=YocmP`b8Z6My>rqW%bY`e zL|nx=mzFXv&2F$^MUBtVgR`-cO{&~>S?TPTgdS$Ybix=GRZCLm{h*2uAZJr_6BC9j z>*Bpn-rX30TgK$YX16HuS@7p9dH7fOXd$dY$iTv9-k)fDp7cn2X8<(-}RF4jzm3M>Y--3?44s#qF>)A~o2uHNIa z8k8bfae2s*;@MX=-=yb$-wCR~2HUS3`igBb1{aHqaa8`MFv7z_3Jc9F?M9>< zV51&(sr!elKO`!@#9z_UQdh0*R)2KM9N2EzH|l|0m5Ad7=M6usxS{eml$(cf=yOMdg7{;*i$h!E;jB*0>amZ+E# zk04o~I`zvG6F|ZHh~j56_(Bu4Z@Izjh~Kx=-^6&{Au?J+jCM zKkQ2}9!TY`CJLL%ZCBGqsPS!N(7hq*6+R^Zz`*uQFAhF6wU(xB?4CRn`9Jo>p83iB z*Ck)>*mWndo92zKmz4{{fakUaOC%N$1tvzl=}vMG*0t%+e=iBLp)NpK9v#+qnjk4x z)W^OrdMyp^f_lqcz7N|=#2yOF0G3O7hLfAu9}z7k{Kdrtbzij8QgJo(cmIuiR~&+` zVjkxSf9c!_mgq|t>g{q*qO=p}1{T}xodh-Lch$zYEc~m~FkP7#SEqp=7lX*e>yDtx zA36&AjgmV}iK>*;$;TI(Pat%gK?|2x7;ySu1!3DLaW&uu zcNN-7TEmlw8M-Y1+>y)^aNWZa@H)Q`zDG$dRy%ETdm&YZ!D?PKxZvt3wwYr_=0$arh@^lJx=_EOzx6j#&8 zGWGyLzoT}g`|%lO-Nq*GqEwaCHRcpUKSb4(YrxRvCsBnemk&}FSZ9C`hto=IM~h?F z=*=7HMs}l7q3IzA(M*wB(!$W{{75mjm-l zDQY#l;T)=#tCrGCWq>&zJb)LTfAY*xdiUts@ki{4P1iVFnX&VwIrkj=M63C}yHjCf z+F#;-6j`+fdE^kPvu|odmV7s63e&|e$1C`GDK{QpjTf{CXaf@idZ`4yx=0OfcfB@q z+2ZsEczCJ^R{9_Jhk^2O%fPuLLY`9Bmbu!mGi`B|8}XmSU%{EU`rgtK6S7a2s`MoM z&M0HOA;lA2?pIkf2Qr=>qy%(hnb^Gx7)e{e5v%dc^3mrftsgY=P4H7$AGH=VcoDyYpo{5CXC&i}8hWt9jR z+w2ny6eEL{y#CEGq0L%Kt*gjvWxox81q3iY6c(jT(AwJWH*q1+>n-dNjNmAkFEqNV z4Fv&G-?FZ{AFz>zo$UOIXfSNExkj3LTl|8ZD1X*^O|8mHIV?A^aHK@(Oo^%^J&l$h zzifwB{<|Hw2)8f^YDB-x0H5XF?-K+P)0i*tusL5x zuN;MlmFXfhzDE8^?ZxA8)7{e_rZT5Mr=Uwx$|ltLb20e1KR_yS7Sjm6k3~U?>pNaa zCKYTct?(>*pT$-%(JZJfW@Px3n(Zl#XSac^$YF;wlYguwsz%jrGS%acfJzlBHDt9K z6jWd)`N<7t!*va$6xwxaZiz`jnIdd(>j}YLSe}e8v>)#=lhdkQ-@rLutiuS&pdQGm z6?TN=tKv=8bCzMbNT#P)gmjOo*xOiFb#46`LG?uOfGgPb)x9S+L&gur^*+Td{)eXS zzSAn~Fgp+{)AS?onAW%JAs@T+bIe9l02#(Su!)iz$dAr|Gi^(uBNL)F7Ucg~9R?7U z=+T?RsZRLHU#js1Pc$zHxm0MyC@Qka#=uR>^KD0D(f@tHz~d-mIdkFe1w~kddp_;Q znIcp-A&YIMh9yil+6C{YABSpjzl!UFujD(KEatvuCD_rI#o`C4-kXul+Oj}$x%_32 z!le=VSHlS)(T_IeT!Bt958jN43t2O>Sq_3SvetG2k4y0fhA7u^roxTVXzl?Y|kcqHbn&so+>py_RdY?haC(g;gorZuDi(pW;fr@NcH8wpL*HLofJLp=%cEu4 z;o8MhY5v({-S4;Gj;m0Iu@@{>+^XXrOfR9^^^>54E4+lW%{-Gp5O?!&MU4m10eIv6 zZE;bX2}j_hvK}By9J0#r82yMRW<;jma*6{bUKLw6vpK z#mRkgSVC3HiXhbx{}3SqP{f%!R;#m9!tx54?>*mFGyg!nCoxkz(f(sHwgllPJ$uHX z-Z7HXQO{_C`~nn?opaMl(ud4Q{4T{7+)MGQ8Hg=1N|LVs%i*)A-*S2+o&5%ioQrNk zp`lg|6!GL-R5?|CJyE$2*bVnK^aF2~*O07pcRD*t?=;l)zx+Enfa$&dvgO^1Z>fSBucUjz`J7FIK32+$F^EyGFqzbr4AccQ<>w zNV~;mJ5kT@`P&%ohu>sEGXHFjdr8;4cT*zZahf9#2G)4|tw%~7^}NRTlpxQ%`l|=; zng5+97$r6%k*z0O8PoXe?7hYRwR!yc**E1(2qcU9ax6U(ZT}vMjuhI1Y{B|p;T!&v z@mGP6H#RyxDCyfysGipC9SQ?zopU{t8P~`6cL{#H1Gn7~1ltJ$&=bW=5n9_8MBdUa z7_mSU48_ofto=#o5H_@B=V{D*iu8)GSj)Lx%eB=8uTzM4p7XC02KBWb+pVDCx6U^kIOpC;sO0iYfUdagxKfa`1~Bp=mu3ILX22$n!W>f& zq%)T)F;n}cV9Zt>U9jD?sItUe8f z+~71YU!=9!(qSX@3+SxKF!Y6;-PtamKtThB*#2GHl66E0Lh0WfkB>7H#_iHLAT;%!7_Sl4bhVMM+5nljME~ zY30BNiVMQdNg&U(F$K9QisA;~=>qk(@LG-k-;`FI00v@r4`6(uOqU8rNf%G4I z=zc|*$cr{$!*gH21wn>z*zn!e*AwZWS~j zJfg=7+Xc7vHtH6jT`*-}6=GEYBebsn6I&j- zEKxHW$d-7$oN;(Ka?u0+^`=yEuSY>FIt3za< zy<4oN15Tgk$QnZs&~#GF@uazCqtUl{Q5F0BG!jW$w%V<#%4>^TI-eiW?qe)F=1qIu zKm45c0ehm|*KDu_{Qb4e^>K&N`XP=s{DFj?b0GsGGlJLxqKUilpRD_~ zJ`VKH$U{hL;>Wv@%7Uu((W&f48o2J_q9nA|8u~uc>KOU^Uq+FZtJA%q8%-LeY~fBu z_xBl7*gRolueT_eI-TQ6ca>PXC!_nGxkkt-(Y1Kb+c=mu3>CY6smA z;f*NgKZ0Wucph=oC>@;%r}+l)JlMf*PsZ>P3}6dJ%8kd9PG7dL;hWb|-dPG3B{u}pG8HTfQ^G(( z9sUb2503MSh?($EtnQzaR5*X;{6JJU^_o@Vk3$TJDu|mXn=NxbZ>=t|?mS?VCSIB1 ztWu@>llmP4^u40hDw?XhV4)t*}$5^DX;}l`LNKE7-b3nVwuqYxV7M60#{j)-8;J?_T|v zOq`CtEk0G)kglaK*D_*%w2q2I#pDwjNI*oY_(FVDixX*CpH|$%;A)1?lokVlOlI)I z01}0362)i+B0TtWfnd>h)nR+(jGC6(V#kK-oi3vCl<=36F{C~1(=O8EuJctI;PLo^ z{{x)lEH6}$w(Jeek|u;GzrLQzT;)9mH^#UxdA=q{ft^#wd-dC#OQ?4b?S0|1WX$r5 z$VTIBc8yh+?Tjqh<@d(BkGj1gZEcoLtBr0MF~^v1i_z9P#aMeT@dVvJkEsY;BKrq_ z6}!&t*>SHJ(Gmfd*mLw)*U8%K&c`E~Qh0hE$J0_(A3ZPD2SNd(AVe}gU_gNvN4hkiKala#mC53eONo~3$h+um{Z)Q1@UZ~~it+Ny6OUYL~ zS4J^9ENA96;aPUhX>gke>9p9+?C76@l0v?o${h{(cZ+|^#ybllCX$$%(0@K8bH2Pi z_ZbLd+CZ zu+aa;{AydjiDMRdNmbjpE0=|Y#p#kPUsaH%s{Kqu3I6EW`Krf~8rRr`-kg-hl$MHa zlCckAmTrOrI0@i_oOoAfW?WCInsZ!+=^@n;(b$aK>5cO-aOKGHaC1u+ehC}C5gO;E4n@~V ztQ?wO-=Hr9*MeO&rD`){Ui#7E$x5*w$_FLsaFkba|L%AU$7N2%X_bkI5Dq zj<+N3xGBnq{_|~0>BXs0pm{aLg+r}1GpN+Y2wc`~zpE20q$1(Q8AXL6PZA}&>LML+ zRnD|clqZK)6%SQsSEZfto>J(k+n;aNk9SGT89c$I{+va zX(}odj~&9mVg2(;w7G~r z_5Zrff03WKLIT~$&|!-(%?t1-0Hp6sqA(xW*B)Lomg(eyO++I4FEN@$oB4yPP1Eih ziHa?;?)Ur5gnrFL(NrCuY(}wyClQ^bwgDTyjWG2yB4I~FqAd_BzjtvB<+Mxf!loAcfBVtkwDuYGb^vRl6BgZyc_=`cW;p%E?Lj~cI zS}K@k&+43S2;!hbpc3OP)ty9|hly{&rlpi$s}sP9oL96DRj%;ZiMVfld-Z>9H?%*_8&`0?n2=q(?h^&Ooe2*JEY_QS{F?f3{1Ydafu z{2H%9L_H(63hzo*`3)(zm-@oc6Q5Ghh?$ zSnJ`=|7?D|cI*TBia~<#cfW1)5=?fttpax!^${7%c+gd#dgyn87`cKidI}jsrEmy$3!f_ebLFhwr6+ zDYxP!A{p9id)~|9YcM@;w}~uMMgcZUlBn?HpHyPqXi+*{loet zys%pCRHNH1TJAg;bF+u4i)!a%B13B_lT320W3uFYC{a=5woRW5Y)x?s1u6BEN&PW+ zdcLVb2>h>)&7_)2K2^BxC#@T$(W=yK1(cHbq}S|GTJc>n=o42S2I;GO{ve-a2AUo^ zG|?@(JvH7ZhDq`R6(MWI3jK$@n2}v28H8+h=)Li*kp)E~GQ$>S*%cZbO9L zU-Q&HN+YWSq2ewWk8q2RvAGV9!zxgs_2jdFVnmeJXQZJpRXq8PyJ|E_=$UTopnrC% zNSv7W8*gP6;(zdWT`?H75c%Oy097b-YB+$kKkg$gMJS{X@_1=H?oEf40AeUEm?}H) z{vrHq#f3AJsSScx&%yYJJ70RuC zIXXwlIiOBpqlcs0U!2l!Lp@)dqYV+|A?k^ZpNhAM#ex+rPD8@u5tRC%4EhL*{>zdU zd!eGD;v{Vibxr{I5k;N?be9mR+4{ zQ1Eo?Jz>!GX)e*Llf{gw@$@&3s{gIkeM!Oz4mH}@uT??XHghhIJdV zza)2YT+9b;Gh^8e7e;7t_}#u?0_FF^Tk^!1Tp&Oaj(51jSqlX~)*%#6e^dZMsrIjQ zbS-0PpHlLqn^00dOD=mL6335USDh^W&r*TbKThm%_}DldI*Xr$VIlBfjjKo_27nry zF@_i?j8ttsgyD~*VXfxTkNdbcVZvM@Ia;c*;odSSJs(|1qL}3{G-K?FLtygzde4$c zSfzuOvTW>ox4rG09=197Bq}OVj_02n-bdn!NL^VHe=oE^xiT?4mM2i*Yo=eNEZ@Gz zv_PzOzz^UT5JP*5lxJTZk{NaI-N&(j!8)>$Gcn1hB({$zrasAo+->VAek~!ElbWmn{9> z+Dc_ErLo~5%q6UU!Z4Yvx>J%cRvX@cnKktbTklsF-y7fHiW|`Ky*wPqpIB+QdtagP zl8wYlGx^DZcoMBT-I+Q{o8wU^4C53}1}H-26$B%Ri;)EcYsai0vLt+ENe#}On;ZaO z(H8VMe#rccSC|O4U%s>Wrfod_oR$8!0QSRN(ELJ{P$APhvHkeBEyYC?U-h_)?*8U1 z9WDnJiIg)uhZ?E5`kbbHo5iuOsJ-st3=s=SPz0kV$6dA;!=_7=H9oSPpB)24S%-{` z8%2YB3}-e6x8#WaQ7-*MRrZ%ICBCTb&U30uireK;uJEe66%{c=?JwO=z6*me7uaWI zh|{V2Io+R#44PGXq$cW7jmJ_Pqc4}2)zTjIQ}bAP*59-EVlzr#RgApGe;OO`a9)+W z;8E1NG$rh7`Q4~Is=-%feFEqF6n z(U9N$wn1(G?)cM`FA?Fmy_1D?#03yAzJZE(^Nyx~DqMCy0>Br;XNpMBHgS%`)m~Bm ziX7wp5aWn#&c|ddCHjjm7{JWa?pZ~et z+XWX#L*|d(IQn_JdwYI_=WF2d2?7qzyR+9Q_64E8sjK8sFFj}A&eMG>i zlE|rzQ!sNXv!_5KI+&{qX?CaLe zO3}rWWWJ!&%;non(e^7)?rB2czrw97x5t2y*JAy&vDf0eZ;R(gUVQiM7Wp0zo0cvK zzj7m}(q+F>t&BNkU2>Urn5{mf@-O`Qb(Ge8@DfJryJRhWGs|$hraP;B|Bz>&sH(7Z zl`0yrDVSU4Nc}%PhW|NPrjdi0uo8*6n|XcnNGKmgJ_;{@SZ2ir+cdo;M({T0h2-xk zogo-Ox*!h%dX+g(){H(~BPa$n34096T#VN5YLIWh3O`eHU+nOAt)1*SIFyRruuvIf z;Ug*~(qt#&Ch~2iY}k;e%eAusIY0#75Vwxl`fXD{BJaK>1ZfyPI8yFzK3o1ie(h|FpHV2qr(3IehGI)$rE23^Y_$XBf3D4fWt8A(wdQ9+I zYZ0-&Ui=21iRj=^h(}A=HeG=j3&sh{Axe2q79a-#C!aNOnqXtR@6clRsM4L~1rdB? zBn6RcsyTal3pt@{2=8Nq3&Il1S>wRuOmQT<0sUpqP}=O&f|#bxj?Rwk@-)IQllXL# zzSU2w$ETwv&I3uFc66!5*6$UHXIZ)#ZBGqgy2JL>+AaC33nQ(5IK4O+`Ka5(CfQ43#F+T*Wvjx(Zni`*a?i2ag^s}iMg_1sTmU#RSEl}^G0T%E>y+FHE@MLLluj_gFKq)c3x40D2)q3)U zLI|-yinJw@0{IIVIHZ9c2z{=-l>9b}*U1vf4(s>h^LsozhsEp>1!U=vIsAZvxr(sZ zv&E|A^BSAFKO%6t30U^Z9K&oa%QKPRsI`qVQu{Z?H3VIv~ z)39%7tzm{47#Q@)jJ^*}S}4bUr^fUsENi(Kvt!&)eDKFii+rs`DpPdh%@KU6l3HO_ zs6KBE#y9<%&U&FA@lAT`dfRY_G48Xt2dbYq_ygtO;-U}zNpN38j{;D_SyA-q+0h&{ zWcDp9d-0%21di447gp-3JY;!HrjwIuUC-WKQq)gU%raFC@kxQLA^D2Y*>7k03?4rW z#Tglb{mYPW3oP=^TpUJR;woivlHPdtiHUD01>eBy@!U3adE^Kh&A0k}$MehMt|J6< zQmMeop6|mnThFyhH=_SnBdQyT4?Yfgp`Aneic>$JR;4KHMYPhVV)7UWP4WI~BUo8J zo!#2Ls%n?m>x^j769%D>FqtLG((JOu;=heG8bP;dzWF3wawA_xU}fB&CT)WhYY zd-5%LFh(K*r|q-agz-J9zhSthQWsCa^egM}bxf3u@3!PkDF`*W?$ z4XP|7fWw%_H z74PrPZop;EnXXBI&*-I|#sdk5Ws?!X?3?iycuESN_H-8*`%*9!XvV+W&Xv^}PLgTj z3uiNjsESYle@=XsiOSuR%JxX_EKbdfld-{y8*T9%@@(N|aXS(G0^&y86f7U3EDZ1N zFeim*I4t#3X;5K_u*T1}v>16|q|m(bO+R$esz83<`_b(_q`x3WI{RZbIS2}+8ONI9!K2GSKjD+H>%nCBZ6 zX?FRlmgfZ_t6rRZ7x1OT>C4*tmF}ulmXeX&%&1=KJS&=7iCxK*#pQ3PXPS@XVb5?9 zZQ@+U*L13|qqne&DGKuB^1_gDM=I6+lle{oR$JM*Coo$YWXh4LZ$dH^yH-hwZ1b1P zXg$uq{?029Cnnn8If}K`x2>50(bU_jTM^?|+ZWVZx~=z5Q#CpM^xg?LRmGat6;$f= zjO>49?WG%y@eo31ZqftVmiTooTd54bMPA&`z23a2cx@_J60JUS`(i>6t8)^&-gZc5 z8NMX+8HTq_i|hTH<9;y%gaGa*EJu{Eck{w9{27ooz5B-f!(!& z9;nd5sNZPYMyjD><3=jgjDuEhlIZfk_d|M9L}9l2>})`_!Y>dz2vp$*j)H*SJV|8N zjuQxlDQUxOjC7+AWq5aru$LCSE^EP-1*9q|^%*m+Oihm3X*gB3VWc2A4s0y3b#$*9 zKKKR9V>FfQJbbe)mGD+fAhe~e>H65nM*}`OqzwZ1-I~?2ZHG#{Z+?xyIW>4YtqfczV%tN>yoIaXTzR!O7U!}rY z^z*=T^safu>dYlFI8&;ZtPq_me9Y#E5s_-_uycX7_D;oTNJAz&uzt^NJ|hW$TZ zXJht+g+$^LJ}_YvIPZEJkHa}w!!+@ul-LuagI2=6J{uS0E|c#37}X zmB$M%9GHw9)PjwxxKT0i&Ns}q;gVXF41ki(2mI#+bJQ=i^Zxvdmn@_OeZ*jr(+bAY z41xY*PZ;ZPolGZ-m#p94xzCr4pxODWu47qL+%OW4^KtSGzQc5zv8Kdsllev4h*D{M zo&q(7Tp2mr1QCc08FgE2LOmDuGO3Ba6kj7SoADKcZOsWf#;OKafY zI3)$}dRQ-a8D0TsXqvD8@QaMF;KP@w1iEe4<-oXX)se^Axr!1e#2f>V+Kh7 zqT^15idXDzVv@z8?5grzZIZAt3ybXt^#(F&c)lS#sfLYbpOU3`M9ymAY%oTPk2r=} z+ydh$l7{)dpR~u-$=C#Sy@P13^j3izk(bAoxS#JS>b$!$Ez1oi z54EfN1~2udAn`_ZKiZ--`yO1W6z_iF<#~>=9kvbb^I%Aff_Ot|i@O^YXtYA`k$F#Y zyB~aTxIF%G$>l-ZjT7WLnZd9PbVx;Tc@KVRp~I~9>iL%GVLWjsSakwJ3vH7}LoUok z5N%|VjSx4yHtTZ6yUK_ZLQyEbrmQ7tqx1Tz)aKDA($(jAFX;6PPjh1!VfS ze2o}P>3DaKchnjY61u^qOKafIPT??f^e;Qe*W1%@5#nSDC%tm$uHzY3E;vMNVuCQB zc=<@2HtsZ)HY!HWPPu$NbE9c@75o~zjgxnVIe!oLFoQR(s=usP3X~2>x(j-*nl%|e zk&vvr$obJ$^_;=may2Ga@CrlLx~mJ*c-`Fd>#9yQ;Z2lVa?Ra(qhGGriq5T^TBX9C zN2~X6y*&$ni)&s>o7A_>7jy?uSD_OA z51pNeF3kw6D=XV+gu%7Y8G=hq9((`Q2ag!j1)f%pE*|A*B>X#PW&|OgA+_1V5gnP=-TjKsQeR)+SF1tC*0ZVd z-CyXe0D#d*q6B%6tK@x)+rG}hhA>~&v$9)bXA;)raj=Kw_t-X2$62*biL0!;mn#*F z?3fMkyp~feR0GNxa{sQ7B@T|?XK9jx|I+brh0T@HKiUg{V+Hg77DV8M?b_6p#64Ar z=F@)A!zNi{suX#932ha6o!mLYB)9-5yx$|#mF_RmdXm>2kwd!ji2tHkLo_mvAY3N# zN7yCyWJeh;3qe#K)*oN9fdLkRzpT`n5IoiQFdP^Q@g7i)F%S(a31>Qb1YbNs5yY{F z(L(y$N8QFuL&6>d9RzMYOaG&t{^#38bp>cbWkR%JEaW6{Hi!ha7mSif%Y2)k4WqBD zJc2~pUAC$g=RFrLGwkPgcO{6<3`C3@>!L}&dh~|K-ZYyAj&Nf7_p4FH97qe~z^MMs z8B7dIS1;?2Bv3vo1AWnBEpRbO{yghdYVGbGw(A?f{rFX^ym@pwx+@4Lb))GBGtN&vNW9A}uC9H$MIzUwEWk;*Vm5zEp*Tzz)5c&Yw8d zxn(qYwubuy^03m^S9*BEd?c(^61NrxonC&|fdMXysbmCk!pg`=qkjt>G+A+AlXB}0 zKzNF8N`nEWFg4D;6+!c%^55z@%eZ(Lq3Diq5-^4g1OyKy0PB<{mfF2k*R~2p6lT@X zvJQI;*QT6M|ClNr*8k|;$rb%01Y_kVwzL6*bqx3$b4u*>A8)kF{3&t3sH}EV61Kmq;FDYm&FxdP3}yJS#3^7jzZZ?*=iUys zKU^BEk|yHVtP*B^TPtVt=oiWTG~c6jLuB<~Te8cub5#x{#JTaYD|>Oc-&;{@p;9|% zL1MEOhjBr%j_yM+^pikxPrB*N<@wr3KFmzXky*FMUWF=t8jGTp9Isn8LivmK>+Y7V zpU@o2a}OPv97aNU^T$-K{N|H0Z#q#E+@0CXgf2YRa}ikdSmkYHV`FqND43YOL=Mk8 z-vWEfy3dNk#l=18YQELupo zC1~4uMQH~`mYH~*-yNDT94YD)x`Zil@(IQ-(d0DvKGhc8zLOiRP#6#p5LdHxJ}0k= zLQokwha93q{$_gV+wLND+wLtEUcB#6)JFJ_asl*(lA5PJ$U zQX8{by=iV`3=}I?^G{p8wd2evSUJL8U^r30VDS-CSQNxH0?7}3ro@|0K!l0KR z;^?m2^3Q~La54f1#sOlK^AHUugM`O$3J37WVu4smtNHhdgSj_*Rl2!>q%zcI(W|=9 z9oW^lAr=;-M-2F0MThlcB8LnmlmeTap5`-Yx$OJMf-dLE{fQ{6^FCfvV*Tp2rQS0$ zp@ST>0)7b*0_dV1U^V6bmG;kAATUpirMlhwb-<8KDL-e0G&*y=(}Ld^m=e|?`Dy%S_|j4T@$HJK{5|98(* zXra$XGKTu;s&C}ws5P_c<@AIJtGqj)6`~{QvyQ4P9yvcJKY!96S(z545dssadQVxL z{D+GAu{T;`VhbYTjRrOn53r=LDwbWl&|Zm&t~a8Y^fPsD9`@TSEg@dP@P=!iYJB0k zh|Jyhu*6q&)u&a<8@}3~pK{Wa>i??w>#@bqv?UtYKhAxmk|+go<1-e2ps0>*@W_M9 z&gX0gZpSb6-q=y8xz6#`4Z_i4bJKZ{rtX{nAqdb@^fb;3>@Yz_cFcT1Sy2L8J6=+@ z6fUNu(teP`++5?u(GMe$Y$YKkeu|LpN0ht{Xe(t_Qhb%E=e=zSU$)fbpMr*_$Zu!G z0{SY`^4;S}{nzoSORGcY*|_GbFG~C+vjZpVb>Z*tHmZ7U8XUs6fBj+vY~;8oYg1s# zg{c;bt~)XMTbDTxGszkzu4D4m&6@&1B0xGRn{X)RTGUGv>N-38)(t5Df}o`Y<|hpF z@?aS`?QNV_R@&or<>+`a1Z;qs@iGtsP#40=TpQ&$P;~}viOL)tcM=jQT1mI~!6eX^ z6fguVoEU+J(iX`aSK~&4INXiB#KtjAL7dQN?eg+1C>{nBt_=Nz>?`XL!z+T9ilKB8 zVzlC6s!`dR@EGDwc49noP3i?!Qq_Y?ip#TV(TJ|5ci>SqQ~%Z7tEZKfm8-R*CNz)q zn~Et=-?ys#z82DCYAshY@>hIH2qWHjH0s8+@pWCSzP>-+$Bkq1G5t2o8b8&x($H(! z$?+Z=sH^soee*9*m-@z3L%Yl|y4HG#gY73(`5$~(l!ZVKm|6Q`vcz+&WFeRX9E}7D zu^wnQIM@VywatCYH}GEi0I;aUaySXFl79PrAn7?K+sbwMnuv)asWWbC@wH2JDA-BOgmyu@bv3<-CpFmIDe=t@#m)GIY%$CNt;PU{! zj*H-=PYcoDW^vGeJEg+)Nz`F0`gwE5g$GM1JKTU}n-Cd}(xwQNvj(9UZ4?_#k9vqw zsncFvBfD-Jy!Vna1ilF@xb!YA-7Qvr@DL`fkMun)E0~{qVqax{u>Yg!wY~c1exA%( z5`E2xYId_QtSsDtN64oF{>#hF4`wQ|{!4%L71FI>2FAwk-eGD!OT+&?yU;0LtJV+)&kMsOp3JYlm9!uOV?O~bNrZ5jc_1&9h`vf`m4_!sgkvAiuDnGEhTt$2ihBKq-> z{D$5@zObM^$K>g^p%#PIFXb4EK8LQDUYIN<}6bkkLp<~&VSS{ke@*W1Vb;!EG<}57rw36 ztlrCpCC2ihxhty~ z=UZhh($%w9X`(~8!Q+(OrWX%6G2$88>0Hqocc-B2@pegmZq~VH7I9CuxlDo_$J_76 zLBQp>h3tit@YgTGx1_T$ChdMe+c_z?hYEjyaS`uB33uC?#J7nd(@iR4QQbe{rtZVd zqgN9|f&RY6fgNhR?!4D0hZttJ@Z6`dGyU7Phj+hoog|&yM_2Q(2A7>zU*=xBj23!P zD)s=3%S2m22c{#JM^pggw8RLH$j#bx>)-X|R`imew5;do^**o#-2Xo+c6#c{j+X%& zKPD+SWTccMDhX;U{(504;06!;4}WKMqG$DFs?zUoX%)$^+Sa{)Z@~T|YaYjF3`64NMtGXtKlu zStzffB10Lu&MF@(Bb<1EJeaurFAfH0-jI+(Xa;_|McDUEtk4$&7+e{ZR4ovuxd`=e z(oS7fWwjSV)YHbR{A##zA*9GZaUe}Oezox!YA~~~9W@Mh+Bys_o4n#`3_($^-S3X? zJ$Zk{`Qr2TN#i>!fWAO|rr{-SF!g$M>n=sYtMhFw!-hx}{&H}5dBz7~nU2y7!Ec2| zt{z=Bhc=wATWUV6$7e_Vw38q@7$r9Xhyy?Eoj-`5%5x}h1pw&8mz__~z%#BD{lWg52eGc~sMP5~4jsfp$6%PO-WLlUkp3|2MY4yszDi=5B*# zI-DO6Yd2^EQhY!2W5uwxF?{ABdlxX%qAc6AE;_7T5EWM3!F~*y6f~-erBhQLJhQxj zbI|^_vxq==cTQ1cpDhG)K7_mtkB!x(Zc}k!ah*##+V>)QJ$o91r2vs6 z+KiQfhjs$oZTAINeCZ(WtiMgRSDdXY$~ zkMNhQ|8TR(Q^%ZlJ)NBCHk{L5HhT$yS?OpIa52T0=yqu^?bCf{jut2fol+a71?707 z(`oPfofuVT#QTWpb)|t0x(pKs$K99;YLn4m-W>yHx1?4`VWFd^M@vo(+_A(69h0pL z8R5)6#=eB_GL#gnpMRXXSEUguGH!`)lY>Ysb-HzYv)A~^0?1BKc}XWqDk|BV8=>YM z>Cb-IAEb|u*Kd8ic}SM!vF`~&HBTN7qoo|avME`exzm~g#Hd3BrBTlzW3LPnzH7(8BSI32ip6zCXy7rq}3L`)|Ps^xqGrdV}2UVlzL=puj*Wt5xEDh})~H z1Ho6f;az)<_k+z#ddirLb=iKq_3f<>DblSsTU!%vn|fqF2;NTrFMog*3B^V>Dh1?{ z71FV=pq&woP8WgLGGgSjwbikqCWd4#iwgzkJ>A1butP}D=yfDRP-pGy%2rpoZCsp- zYGY%s>(8h>nQp$lCPJXw6$0V@G>!2~Q%c3xp{26bcwI6h3SAH3Q~1e8{X&4y-Z{@t%E3lj@MD==WKDXaad(k?LAA7Hy2V5 zWklom`OZRVT$1y=7jhfjWIDc*_ZP{I>;+IJ%*6>zj`1CW0+SCfARI4+AX{c=zM8-q&SPPM7CAZ)TDeL*f6Mph>CJx)=6AkUSK7Z~pcJ!>s zcn$NQIxk$=~TPm!82@wMTue-#cW0{1zSUafdlQT0@9~ zuB1^8I=zVWQyjF?$oV2Xom|2l)q0pQyH6O84jG9UO#LbG;5PrGt#i^^xb=Q5b@bl< zL2txO_0q>GSz-!+c;F>JJ3=0WT^h^@Lb}Kk zmnIUIMi;#(NF*)Ld34N=p96M(Z2j4G?|_5ZrVTR9qdbj^ZbZV;!|4P^+Zbsk=>jRx zotm3m8$AJ2tRrj#RBmN4i2vv9pWxXnL_a%J?b^kw6K}L3Ily8t)mRZacZofy8?M?%>Ei5NR3k#}+8M^#-GdRGJ35-1 zCh6p|5GjV62Y7rSVAtV;xGG-3mAkMXjt}jZ?sg`Av~KV_4L4UyoITBb_`zxIu7Nrm zx~gvX%f&yz)bJ3^PRe>X5v{s8I?HTxGu+e9fAs2sj^;8vgyfaaAkm?>|DAP^JXrn~ZTap1uee{8}tnf`u*bG~4M*#?4hkLaJGMxHE8ZPBbxD7vetP*zNHsR@?wf zwFV&+0b!Afd zma;1RxmUNirmrTvyvs+ghGudDuj)gV-ZR`T49lSY-fY;KgT(gR|L8xDee$hC?Xg%{ zv5=>yr-{d;&N$7`@$oVGRUr7}@x$$wv?AIccBIe#kjCz=PDl1u>%&!HySwQZai0wu z!b*?xj~`F^6;@fhJ?=N3KT43k$<`o1JHxLSoQzw}jT5}@v7$xp(nI(!a2fQ=Tu#vJ z*VSZu4%C{H@OD2U61Q|^*K`2`9egF_G`b&|k&3w<48}b)2wFKm8}l^pc~<#)I7*M$ zHsXVHp!30ow86jfZ@uEsPgM&OS&c81c%4l=$AcOhCwQh?#$XV0`n<4KuUErMb(@pz zhmtqRrZ;zlq7l0oZ#QlJH(&vs8zz~YTZaFZ2}B%&Pm?b$>~9(JN6un^Y~aG4yTy4w z$wo})$$_my#^CmV)V!zk{m*s%iW;C%fEV;|4y4h)lC-p$T-S&dKiGK@2WkrTSL-VkpfZU~HzXvA15IfhVUWB772+8?A zd2Ea%H(BZD6iuy14h^k9dT}rgQl}^h>GylOgG+z}KDIO^NAZ-FTA7tB$6Px>NtZfR zpLcM1@mx>KA}sHpCH#c5?K#U>({s_od4iA#==J^hJdv}S=)PVfw<>-TGcg1kX&1=o z=X))-fjqv$ZK4sjTl>)VoEr1m+;@u|YdUSKQWX@ur!t>))Dbbhl!0C2)aEpc#(75= zn%&hOc9QfD+nOvEwF(;%&Odhll>4CfFnU6)k$0X;_c7{b z`_e+Knc6wq;>70AUqz#fl$>8hZ~%B|Uq)$-oyq6IvBrR|qm1yHof4Z_olCmah8|7--x+gI200}4~VJ!~}yrn0Kf z7(CcmIl9?Qw#<+n3wt*7L7d92)ul*fjub z%IY27H~omUpU(yI<#0R6F|c7Ia(Tg7jD+48rEr*{B^Gra6sg1-!U$(&j40MGYdHZ4 zxii1VSJJ>_vh7B_BF<%ezFpuf%qbb{J)_^^dwiZF!(vH4vpk8Y)f4q@eDZmD#;f1(qeJ|jK*mJcCGTf3jkZ4U}ID|n5wiB?2| z7P1B(KX-85*{~k9kHhSMsQe3wydb8Z{eGX58?Y|e>)|wg{=u|m=R@v&we-9?_^9+c z4Vi?X#7DQzeun?X3)fV}GDE(06G0(AIXJ#niCqs1Wn>I{&34ppiAM$n7o12XxS(@T zTxT74(<;i!G+`KpF!d-okQr}gY>#=35<$7q@1mii;++h~z#TMPKH{(T=9I;2>AaS& z_3FJT{lVVPLVKP1Ke3^O1FkxbSa?i|@;4-SNzOu!1|u#*y6ofz?STQjX@+h7FSnjN z7ru|}HW&Ex-?3d$LE-Iih7NDq&GmJ`7`ugo_=DBFh$?4LtI#k%2uV6<7SZF10^)}+ zZW%hNs&1t+72440B~DGB_anVLr@M!3Goy;Ei%!0xqII)okFHFgZqssNbf_d}Wwm{R z^ew9lEe}J+%RC6V_^9W;-mjQGuFu6Vq5q1R%!dsUs}#q`M>_v{vI021{rgFF|83rV z${=7iNFp=^N#|(cwrZP=$A_PZGpUyOk{-s50vTg1o2(kcq zeOA&G;NzD@@8i&?^PY#&&X-43B|aVOV!y+>BK;&`VoY+Po=+vgCfH!0URd_g?N@YI z%mtWDbsX%fp-s-CJ)r8%?I^Fy`^jmB0BATT_-St^8?VDlsc#`Wn+%~TKtvI2yZj+z z#^-pso>AObl{sLQR9|25`ty?~PlnzKq#*Dd<^_00-J~?&3V{T?b2 ziq9BK>H@uKGe~}f#}xMq5(5Ei54b#mk9G!pv}mj@#1&AWC?HQ_3`IN>^%x+b&VK^7 zdLmh!rTSCDRH8ba@7?lp8dqB98?K_gaP0_^245Yn>4^{%HvgCbDJ$jm7^~q@Jr3vb zoZKqp*0W?0maY{4nzfP4={NT7(@PUSsyaf_52*-QGyrIXabG;c_K4dDYVnjLarK}0 zvm53n-d4&f^v{A}9MLcgydwPa?m-|LiJxe*0LKqtq7fE$+;n4udW;A|O|;4JUch?X z0w?owGm?xryobYi0Ox*1`opJ{Vcho>H@aDKL=3{rac=pdB@vXyBVcCx*XH zRl-3&PKUSpOud#rluu^EM-L8^!_K_*r1kBYVs&TdF8tjT z(c%ip7)CJZ?6;#Ts?Svc!|l>%WkDui>R1Yhw_~~aqTK>s z8`i#s)EE_-F%!`cgF`3w$g!C*$l=(W0P?rltI~o$`9Ng{5iBp978-)}oHCR1AC

  • Zw)1c#%IWWNb z)FvDAefR6g(1E6n+lGVu{P-RPIx#>y6wH1F&Eaha6An&;I@V1uamMrC2*(|~nz;D+ zO_+}Mb?=b(ctdVrpffpT6$qHQKQ$Z{OCKXUy&A$=)re|(kTTW;0K_!8X8DeoI6zW3 zwmma5BXzOhHY_}?ny{PkU3{4@1=duRS*%a^O#IxBmN4=)cf7V*fjLlx+fU5uei}2b z9vyxX`Iv&Y*?hA6EeKG4N=r+M_vn5nr33>)pXXQfz2KGmdd1Yz`AYtw|7zj$zqgRl zABI8yy6KjW+jbt2Ze@T$uu`je!*B0u9R`1SFkunH@R*R4CyjdC^sd~fA_Q#OhZu{@ zkOvY>99~-V+g*)=4Hc(Qh$|tXsG#^{@G(*wpT;`t6j39`@y^MKk0KU5JFNHtUbQh_ zKJUHQ_g=H?x9q!2%t^Y+2WB)+9)Yd7b5*3?>%imJwQHZ2 zY=TInMw?ec9{~_>jqlm69CHo2Bwj631kHKv^e3P-b2P?Zb%Ut?iElNws5?aYJ|5?0 zw>ASXW8I^nyJ5O4!baWGF=^Ek;w7jy||Pcx;KZ zTXHD%0C@(Rbnv@Y1?f^q5xU~sed&(NF(fS#Zt<=cy959@2?oMHKGi5UTgyLk-Khb6 zr(;^eQE~ES0LUX_{O3k8l2%v^G0gmzDTi~|&%nS!Fq9U?5^y}LQigNFcJulFF%Vln zeAH;aWbq4Vro}J=7z6Adp)h%N(NM^)(M1?i<`Yab1z|O9X~K3$s1Wys^~qEp-Gj2qoZ72)2sT;V9dA zMZ?J&MvPWo<6P&_1A1o^B0o$lUaM~lQ~H-!Nt|XmfX9^QGJJ7|=Ax%k^~>BnEiD%= zFlDz?3j+rMs_vtjiuDxo4SKe^H(Wgn$JxBWb=S@!tAm!8p} zDPNNki~@BNpiOmWYR*?>lyp+RTm5+aPQr7;&4eRO;`E=ez3%8SU}rG# z{$f8a;|CiR9~RJ_<@9CUEf|1cpBMli<}}|6)3Q}n8@)ig-8e(A%I7^*ae3rqXlc(l zQy`=}u&%i62wAu*=jp6!nVtXvy*4KfmUDr-vO*IU zmypnYX`jy$TBQk;#%A8FAB5^N@xjqq9Z`ikw$Fg{Y0@No!S0oWgvV{CDNhIAMuz*3 z=)U%}DkheDVuBhPsLJ|B@VriUm#nx)N%6fb#|}+Z*gN$dWdS2%YBuo_fYsdIN`u#d zkYd1GY=1ZFm5TkOcJGvLEOC^$K}u%fpVHC?mu;ImC!f-X*!Gv-@8IWqhx*rRSwCF) zA0l%D(q8OhTR|&4lqeWqK-nztIb@)TKtU3H@Mx*__D%*ypRx4Hf>{O=`HLzQZ73%a zT8Kd^11}jM&S~agZQv`FaP|%+^&ME7a%c2%kTO!Ukm)`xOZM@ z6lcS28jSRfgz_6?mbwk5d?7n{N}Y%WIG;+@_zd?0-;2jUANDQB2Tb@JM2qq1#(|{zwM!fAA5&B z+aurF-@0G0GZEY44!p7SbMap;)^WPhUQSMU`hERXJD~m)O#mh6np$j6)K3hdRe3dE zuMH?0#qDCrU0ZN z=8NCOK}}7KjgWZugR9D{^zvSzW%>oacn?4oX1nSWY!pluq|GdQ$d zL9$FHZ|X4TnHU3{+!VoyUbVV;+iYiW@y5*e@O+crZrn&d3)&+iYT3P}a}Q8XVPZzY zkWnxWE9$0V`x!KOOpfCLjz!S79yEBVIjny5l1>M{;)Dqd^jGHu<$5g$J3JOQ zH#cW%t-U-wUtMn(Zn*kv45V1+26&cf&!MY;SxG;MpFA=}&q&*j!qdv7D8WX}V)v#D z#yWK4=rXvmUppm%Uq!XR<^6vj1fymo(@xuNGe`JZ0*)h1r7kd+XZ6__Ua~YdtNf>U z{e8Ce&UCSdMpy_Rz(3ZvwtG?8M5Y7Q%fI0k6T%oq7Sk8co)bF~t`q^)+ zUmKkaM2$5BOm|6K;6!hxl&M~|J2tvGVz%xko!Z$a0bed4%kPOk=SqJwPHFkq2l*K6 zB+ev1s@FO&^%D3qkV4AjGU$-L_($?(Iv?fJLA~ZptJtm4-5rJ{ua#djdXJHMZQf&6 z*6j|?3+?L~Ua$Tgv1}PT`-&v1%egyqL4&RIyAd3g_6=5yoG>u^XB^9?tuRpBi?Xo8 zn}*@1y(4dHjU$O98nm>u3T=ILlu!nqFg!dZG*HNy;`;8Lv21{pbq2wg_G)yNU13dW zB^sICaDB;vLJqhsrlxMrMX*{MO%GQcIKpOs>gNix0jufioMl2i?1hMOME-V4A|e!d ziLfn}2a7RTo0+YgOGH)&cF%orY!D6?dH00(?IV=YQcWr*MEUXqAvf(P-NY$q+01}9 zH-T;_#cpf$efQY0PHgJrR5)hvM^##&#XR~8QZAcc4ZR2*f92@nS(jBEn4`S4NGXJK zR51_99)4wRb&z##xiHu66x4fyZE^RWrBJrP!Z(MN9Lxs84=<{(^ceZ;IsWx)_=%RG zX9VF^=p&80^E8|8AWcEDH<=GEcfT6BlG<E!&a%ABZ^=u4wAdr)VznL$&>ZS6XMihz4;PNu=v+uWdF+=XDwX>YF+hzDTy!>0 zEc4NJdllWxD>8Ovq39Ip(je?asj zn0l{Vh^S=H0fe?0@%v&8g|@Ve2pG+JJXt{;b0`vDlcckp*%T0yGNG>MPfW&+A9&opJF;`+$TTuAbls{lWV%&q_kBos zd^`D5YWaeWj*i6T?)nT!RALozTo4PozeS6dZih>)<0bX^|9w_}<;!-PAET^4;Gqoc zDl10q+_@WSxi0o@ZVqN%NPe5$VW`OZt5JT+L6KB=O|jAz?D3k@!Os1_-+X&tx4s=6 zX0hC~f222MU(SDOH8y7UFi5vqJui6@>*t%yFQo+rI!-6`rZT7hI;*GnMt?C2IV20F z;L-(koIjPgYu9?hwXtH=i;?HpZ+mlpg;oDhDOF6Kb9W-e6?rB&) z!pqgsEshEo2M1(2F+GhILX4dcGNhV`hHc9~wSFJQ-q^VwQPUtIAQJgD;bI)LR`#^( zs`KSm)|8+&5-I@A$lRT(%IO7|AI(SN+$csw=&bN%wgv-2&(30br33t(SxKW?)=s#Z zB5~&n^O04JIZg(}dllyWY!G#GYqGpx(jx5;1~BCLpNXj{d?q}gz&ws@z<*g(D3-XB zh6NZ?sx#uG7n_-dFSE<%*&0Zac>RBzon=rQUD#lIaA$CLcMmQJ1Q|3y@Zj$5?iM7t z>tG2GJb@sA;O_435Q5vzyI<|@|NT2vT~jmN_x2F$>JQm9i1BEJ*^WLPiiilbD7x8D z9Dtb!EfA`dHi4@C9te&uyy`O1@M42r{yLtEyk3fA1Vs23L|0N;|E-{tD=xRTMAd~IVOK9BQ0?n%DNrXeg#d{;S3SpbI z1|Ojpx6=kEy*n5{*hxI|xwpMN$j9|Z^;%iDrW+77O-cPtH}fvBg##&gy_QNoyg>S` zC<_4q<7A>s+(#nzlda&|mGpJwcYiio`FncH^$JTtIvz?p>->jn1NHyzUQzPDqn?6OecjkQi+cHfRQ)P) zJ9W(Y{7`FYfb!=33_kf-EP@|grH$nC3hG5v2YMH`uYoyU@ z{cm**a)G-oQ#PsOck$G_N7b+UaDe3V)7KS<&10WO_ierlYQF|oYt3Uv5UgXKHoQxX z;{GYwGm<{4^Q=9FQl1{JHNb}_h3;aj|_o)bR)yT z0mIY4vB2YGuFxa!kFh}I($>GO5J_mr2ht+z!cyi6%QZG=X%b48@Vv)out=UfZU|L^ z#IpUYU{la7cH62t%f;%Ua3TtX#zZE0@NaJl{>neQzR2{1sF%OTwNJ-nX-{l|Otf0p zo#Ehg3!Xj{nvZj?5S-4~9LLr}SX*g>Aj8OWy#3hFw=5Idpif2WJ*?dfE6B6vZ^7&| z1iplmK@mD>cJAWPV|;)ZhK?6u{QB}OKZ7>I;rkb+*Jq-~pqH3gs-Gr~&0rX6|HotB z@REI4bvBi#|1g8bN7EX~&U=etyS3ZVyu(ncdPCa$_ndi_08GFD51786c6>SC_R>`& zK0*kFxi6-}3LYp0e~;pOKHsdlqm5YG^nMmpMm2L@UUa$2czyg_P+nl1F>btv+#4ii!9Pm7E-Y`O)bCdRcKSi}!6q?59$jLo+b!{9B zRG@^y;`*o_li_-QNn**VYJD;C*qPbcgwN1Y{BUakAy}e8Y>`igEB7x@np8M(z0y>k zr^TAqapS=1Ai8c9D^LH3mb8kO(mPVRs>D3-Qm!cl@VR5z7nV(;*?`H zd_JMkFYjj5Y-DHN*(xGzl>aYF4N-9j(6twkHTGgU`;l4e4=gK*XF!#eVzGDnE_gO* z;4__4+g7o=sy+U)l0#JeDfjN+)>F4H=BqeuFtlMQ^TkPW`Szl@4hHmY^LKcL*=N>Y zPxFqw;#=}c1!$7_-~dsq{h|CB62#zl!rUGrX<_$?(bly0A+@jRF3yUD@TnS=+J-tB zZeMoCkngt5NPZV5q}6$UKm*s<*~ObBQBWDxJFh(@MB-fq1D*cg?RALi#Vtd^jo%d$K%;K+z zQXsYH@eoL>_p99NZjIOLu+4e<)?cSpk3M9ofPa#KnVIOk!Q!t0uz~3{l7f;>kL@nI z*I$>zgGm_vLq4}*LEc+Ti43-k*=Pd6I4_T?c2GE}Z;1hy&6B^+ygu>u2O-^ibR#RH zyk$|Q#|=+{(d$QZ1PeUobnA3RZWCqgB8!{OpXUo|4KsBX;DC$%Pf3bzP0XLZpr@dx z06=Glml?}M56`xT6&EO2pX#VA?de*(1_(AkPF zr@W22hqd*ln)VHEvafH~T)Q2O?w4*^X*5d0J_ZbzXc90-3{>6sL<5D4bP9KTbr9}wi>5gzb%(L`Uu}qHol>V5h;s@ zBaSo4LKEJl$^Oyf*uwbN6rVvtln3CfROYs{Xe8!k@i!hC1H;L4+MpA$7N5l0joH8j z`?E|eT;af{qAio1V795}+*q!;`z(9UjT45+=p0jWRxgX`;my)vjpIKh4VENmRSo=3 zRRjhUS=tk(4rfl*6>Dc8U0oVsC2dr-`o42Vf(7lDa*4fUu!f!i8e=G1`DYqF_GN@N zB>7Jt(O>W8Mu_9j*5Cm)r(cJ4uXlX|D$&g$6s;Ra+-lHh`=sjWR0OVMK78D{gW|9Iw;QoWt|h&hPPkH)s=3o0dsE9%kbW~4HE+S znAV6BHqVl$PX+tsZ{%S=>;!h5)XzuXoo_U&L097w3G0Q#Pb?v=f^_P%Cg>A03q*P>7bgAa9i@!H% zAa&3t1y=TqPh%boX;pJGRYP{$4Ht%AH0}CSwJPXS!J!r{T|Zgi%8JTzl)#mTgymS6 zg~a$TjgEZV?An#7tdQt;%4WxV;+0Id;-KteOAUsJYU|>1V_Ep0U%A@$2QJ?4=R2wI zeH8=MnT{5(<=gXPBoz5hAEwU{lB3o4c9qiraPlCg)Q;rL0T0bssbqCV98`6d-xDZz zZymkAHT~4(gYmt#@WXMxL9QyPQCOj-frfI+_jcnzuwQ|cZl2NE46rzTGTsavVT&)SW7b@ac9-vX7+o+)`8NCj>8UA1S&k84p{hCaT}DQ(j& z)l``ut@1{+>oA&OQLInUO}=u8puxn`e}VALV@ARCnp~WPOZQyI<)gGo9DLVfN0S@m zqNB3UUOw|v7&K^wC>xhoK!xmXEXmRm)`GabYoT5QM6!?R1I*yMpvoX z+t33WwO~yOQAu^?BW3xfBfRVC2lRdDFGxCljN&y5)eEh|owP?$!e@aIeTAbDke`1= z`242+sN!aFD8fVycl49C9|sj_gn9X((v*=h@go}a*D=ThF2HyfcgGzpHI!By=LQMlT%J63^_q`;cx-^5$v(N{HzF^<5Uhp< z7)pE^RO&b;Cf+owc-h~Jg#(OfM73t0XoJEEK5=9+L;nS=^DD!gE;7bV>06Ula?x~h zH}jFlgv}$@1X>S6@KI5CIME~mz)shN{QAaQ(+DvOG@W;#dg-Vb6hMnPSkp+Arhsoj z7vN51F}kS|TzM=vDlqo``O@05uF0|of=!&8iIygzeVFE#N_xi(tui2BMGV)RzWM5t zAe7as`W>RF!q3}FPQ!{;N;(T=#3TE_(oIYwGc7RhMgRxnnjY|H`9L*kG6Ij~Iwan# zw0J#6ZfDh!tpywkI8mI4hC`I;4OCJ|Gf~7e@Diu!soo^-N~UGUyt{+sWhxXHrsCmn z43mAJX)FdxZul)9tY)XKWX-G-N!7B(5=Ck%0aKb$dkH=NSr^fWbx zuEo^TeDg)Y@9EXf6_XkWYP}rtvRCMQic0L;_y4v(=~oZmj?Q_aSv- zgq&G2aIDN0Sr?UfF*$HcQqp-(uJc;;cKvpsTr!7h!J_Y56P5QB3Dt|~!$iX8^#>pK zIgBfT|5pJufuWFC;U|IOBHpBOa4;Kv7mToqfIQJx-*Pd=M$&6HLR_oMIctKY6Da$R3P+=`g^+Mghhw57XTCs?LIgtG&$OJH8i_? zcxa7`+zWfWEmt=a!x!|zp`v<9I?&v*w_d$rCFc$_)%2HvZDj3l)5wA@aFb6Y-kTECe+v(;W@O&5K$(1-b5nWx?B9UKikeNlKgeG$By@z11u~t1-d#`vmrtW@+3a zduFtWLkFe)6#p*_eIj%A^4A!1<#Hj90Nh(%G(>PR?G!G+5%!M0GMQ{hTP8Rbwa@i1 zTpM$Lf4C!czZxW+&IX-m1eqXE5^^W4`QuCwQHue`vUhm+g0Olt*F;37N7pE*?|h1-qAtdQ?T zNSLKO`T+n$^iMpV2ct)L5s!x!C))>zRfwK4d-c`(NbIH(u|C4UHLIO>JJSULD$CkI zZ(RS%3HN8Sp{IT4v$}2)Wm`HKe=$GE($_a~|KR1+=C)sRPf%UgpMP4=(IE*bCO?QJ zfurm31EOO;yckN3%P{lvP;*)oqW+SKfVll0hAJ=&HnLlyaE7yl$GCo1yxA8LTA>4v zY|>T>*u?gdORnPna7CLiLu38O$(^M{AHf3a-MWc;jny%PRJLdLi8w1FmGzWjkrv6= zJTgPI4wB={^<@>T9pACeK;SQ>5bn{eei<_Jaq>G$^?fKtQwUQHy=+A^c+{mT9T&rh zNZO!drjOHz?XAxZqvI0x0s}YXD zU5({rW>#o@Rm7YTun~<^nSujC`w+{g%R=m%#pkkQus2?iv9eM(Kwg>AXMamKRYo(s zPRW+*i*AR|TgC|Y$??iQT~E~H)xLyz(RL~KUl~+Ab zG#EYH5#abuGdFCUI`!P)CXdd2v-OwX^AbNFi5&DKbzlS z7j%B%W1lx4*~>!ogYUyb|0LgIHzOI=>Epd`if3TcHBj<14=*g4Wu=}r7PK%5N5Gh% z=8XwwbWEAcPtUh)X!T*=`)MfbUYWflnz1}(nFR-jVpdjneUcwTs**dG!932Vnz}|&e92_LsWWOaI zQe%a#L@qB*!d&x)U+1t=vAt{TM6qy~VK7DH!mLFSSYtFY(!{;7#cfP+x88&l!cxtG zqgpzBXj-IJnNAWbL%VA2Z9=?N+iI?7D>;%R?@W1T=%r1xT~~CuIuc(ACM8JZAN1{U zLyspgRe?ZIX}y0(5UD&|7p%A&X^%(VoBkxkH=WX(U(RrvVeR#Yd1#JDzBC?tjA!sq zbbb-uO9;ERr=3mb-3#y<|6r6DY;oN9@p1+kNI_8?}oe_Oa0 zYY`M=78uxZ#y4z#nO0AJ+<|;+zwWWnyp4wII56z-`hx5=mUg85>GIo44Z-18QDyj* zSLH?4NaLDXtoT`1mTB-ol<#rXKQ_+OpNAn?a*1=8zZ=V}?8iV|la;MzSJvkN9;ybc zuI!ZW*;S4G@I%!96{ELNit8sf{MA%0ghvfrDrbXO1D9 z#3+kU2!Lbw>AItTO+f5^C%cTL(bB&!P5F0M0jVi-4FCXIq#iaTGPwknSrwqNUt zS|kKfqq&~X&Y-nHr+*D8x~FU{Iz@`VuXr4H?B|JCGxTs1{>1HD`19vanR1Td^N}`{ zu&ep$Qd2`i0}N%R!A__@)XN>2ZbN{ckMv!~zYw_)87SQN=-9FUyDnx^sg(~!X4Rqt zE-s8|60_R@``p29w8lvE2PM)f_mAT6vJDnD?-)>ar_eqn(p z*~g(Oljj=?19FL8UGo>hu`OqT_oAbh&cYS0| z@vXd+iF|YXEYg3x#X5fY;WJ30vAv|~#xPLyp#SreXtV0rxfPe)T%I*OC#&q(Z}2NN zxJGefkj&sUd(#=@w264$`8sqZ@&>bPsOiFL+46k#(}8uJIu8!3dO34%G)lnfSkOBE z!?s@;W`cvwRX7s0l-JiQC|P8p(%k;A#Qu*)a){khE~oGL{Ym~}aZ5ej&5SOd*;STz zyjLtaGRXjw>8%QzwJzqg=xxyPJ<)A$i_1nI0@z z=PwDqd|jWd+Hzmxe`h(4-jBO)tL+)z>VTc1^w(a!+B&Z)e-GE52|bI|YKq;ZIDKAe z8XuEtC7Qw899IAs!w#466c`=N7z14a$NZ2cK+fk6zW<%ZiS zLJJ2Ghf*7kjprydSxW0y-5!#{~^w+j+SO6l3*6+TkXBQ z+J>1>v=0~jTbXkZzsx49Y$Gx$7?kvG6oey%V@oZI5MJ1X8){e0mgd@o!#!r2&{SM5 z=iEm;zJJyGE(T;bXeqp}cP!hU5FJSacJcL$q;a#KZq7SAtb{aWD=|1M^Ms>()L~6C z<7pF2LG!N^Kngs7N$F4KKjz@sD3b~ZC!}!USFNSiic7QX+HpDK7?4$$7qp0M58Q(p znb2n;FZs`jnpHj&g!32+4ipD$<#dclkGTOU*F8N==wlSbjSoN}7We*7HhVeX+Ul!V z>GXq06|YBs$JFm+Up;4CFM%a=T?PDmHaaj^8h?qtM!|Uy+?a}HQK?Qw%GR>us>ORc zS501lt83YNEzM8myYrpJTx^n8LgIFm%JBRTo?$iZ>->onZphRh68C1E^~a5$ z&4a|IqbZUden(D5oiD4kI&P<2eUPS$6OSy#G3z!r?U#JHtE_OGNvY(r%^$np%9y?A zbNDHZgrWQ-p`c*1OJ^EJRD$ME(1w& zhXzT)UcK*wDuG)LIWGr3Y);F`^*na8ISn3#UmgJgOo{-n0Z&bgl89PL z_bLVIf;zlkuSqQ+8*0KtDFUM$=+yI4*FzcZ_=}Ka`jQ&w-hzoE2Z_K9jlF%l z_I%$U(%f-*%~o)q8-u)0b-z?DcP5sqkIjnF>3PpLXVC6*Ot#je?6S_(*>R362q)zz z5dQhd!zrLFZ3t~y?_UY(QJM_Vj8#9(W=5dJ8u#m-7~_b5&|>!W4TYa=76a{4a{x>X zfc!Q|r5r?^EU%=bCX&O?2m6-qYZuYW2{$2}UHvvJqX$ z6Z^WFds+rIr>Zo6+0z4Y|2VYrV%?ozpvF-iO;sV`G|@@&Y}Ne9`>ka*6N9m} z&NTkxdBhUNnOcf|*f?o_`5+21=80V74-EKlG-k;Tiy`oj9K$dve`wF4-W`{s-ptFzFJ+)QNND9Jq01yZ`; zE?mqgFOw-6#V;3^FfgSViYC?Y?=-b!^yI|Fn@r&xBefMz7N;S33{j3i|E!^Qdj6Q4 z&;RU#vKxar@Mi-18g_9)uc(_aG~qK+R1wiZPP5>bx)lDqTTgK%&-&GBr7*z`p#q-e zFNOyS2cE{=h%d`_UHImHZxu6x%*X$T`^kg&x>TeUC8^}#-R{wTdY-eTRZyeA~!^w;e2B4!)C^Z%hs=C`DDzHKPg%1HEJbT0=Hj_ zz7kvUIR4qvBKB2>V3C7SSsG@r96cWGu65l%dANmi zn0KJ5xo$n&A|j%tsaI}SWhP6_r+%Ly(9}`O?HC!cWT`)q^VXWA7(Z=Xd!qU9VR@rt z&vs|>Fgu7QbWQ}L$tJV9^6o{t!NAUVBQsL}R-~<7b$J09AaGXIU;N0qgT9;W{dF>* z@<9GF|Ax>+8hruqm3HzAvTB~@mPN;N*e6cmBk3)1dpE)lzF8!{w?%1@8js;Vlvbv6#1 zS>y$IRFpZt{7(x_bZ(ve{=`_o6KH*{VU!T(Avx;(aq!9~Bt2}r-e$Hn(zF=Y(#%T1 zaJV7pMCrF1)%<*IH~;+GO&czl>ZtbB|0HEyI5i$sX2qw%{6c=;ja(@#BG!|f^;ASp zp_fWEbUX8xI<^-%tMYz(>9m;g)TSFlP=C>s((hx(ruF;7Pfned8x|S=WOAM)m)|^I zI#H-+>;Srq*aLbbpBz^#j;C`2km7AT&{4)=K z|DwlSjS~gz3^sQ10u&R;fV~~44>YI_3;D3-L z!yo%WjuM;KJ7~sC4Gu31eE1=Q#dT1Q>3Gwh6(}3(v=}&;JwmQK(O}wSd1h$iT&3pF z?Q`3ChrZpYFzoE=WFHc{buB=R0WVFg!tQZ#5T9PycoT|51a{M_uhasn8%^K2lqDpn z3~|dt>*GmORw@O4wVnCN5F2NY)NP0A7iosZ9mdpIhP<1Rp_g}YlsU|ftGSi|qMNs6 z&aSQUJS@eMsVSaIESO35%WTF*MR%B zx>PH^jv51}tNz3lN)BO3Yjn_0G2EEEK)6wh48aKN?W7bGcz&26qJ3~IX@ixGJWu?0 zz8XCrMGzUnqNYIjwi-=Vj~+}x|NCJhq~QM!w*;Wc*Dg+sa0m3p<-d+P1zw>`UvykgScKim)cKQ7 zv8lKWg#OEp5IyHXkCj29b~~XT{U}2wwVdLrY)bwYWFU$LIA1r^>R@I6w~Ml;7!BV& z%eirkqL6^#XOd?*ONg1P%^QiTag^1Nh$1U+{W2HYOENwW_D&d8oi-9p#{3 z+?pSX!TPq+Qy7$pM7ez9x5~Uzhm8~qS8ff4C1b>cYR%^Q%dV&7lWt@m%+plLm8ae9 zqj(sh7*HAW{9~H!_&Nye&K~*&>g$tje=}eiA0M}Da40irWv8aKo+~LgYDdAfG0vq? zgE@#scN+(Vi4q(XlXxr0;?2SMUqi|&^_r&E8|t8Ty;9n^g`gxr9v301zghIuj^Zm+ zy~477Q0kb>>Bs2T`F`1|HmuK`Pd_uN$qqQBqrYs$!}st4eC$T7dp;dA=?-L}@b7wV zlU!&W789@navEn~SZd!fI=9ut6 z{m=As|69h-OS}%l{K_Ri-?ZTVjIdaJ6(o%3gVlUrU&3ghiJYG=>QPH{Fb$tVkp1*d z-Mce6y&K+lw#_OCiKTGAJeEzqK3qEMFYzOAeue>T(gXwCaHF30R^e>e&p(4zt` zMSKTQ=&?RBLqQ>t?yK6(o>#9sK|n+7Lcu{1g0uQ=yrc|dOW93eppd|WWJm`Qa4|@z zLH7_OU`MBHFkk{W+3ESAz?sAdB;=|gn@pJIbp6=*re!w4&j`C1K8hGhx?Ly7%USK9 zN*`fn-iV7WCggvi7MilP!e9L-q}>nXkJts$vuBRzJPaVvkN!a!_U9e%#$_oNJ+kT~ z8DXhb0c*$8^@9Kn0Q^M&zR(CaV*;Neb0AQm{aITN7G*q(K92WdlukA_!pUmWt*~ab zyE{H0uQ;4w_gRY8sc8)j z6Jj(P+9>a8o1$-Ywi5{sj~$XCZ_-Db7n-M?k4s}{&=qW|!D@CKLV_4(j-F%J2G+3y z3wS?#qwl`;&lR#~?9JPYU8Ok%thY$Kju$-4luKM!sy?Sv-DCvaXApgI#{qurFmMG9 zDjNQ6KMy!>4l+vUqr&zBojuK`P@&N)X=T(-3{EaC`oNe0?p@L zT;jNx(fC@kyZsK29#P3h4eJtyO?!#Le(w3Z04tfM*C_>ZY_oLvQz)*&q;9f>m_6nl zn{#%+5)*74Suj9$kpv@B!$1y)$R5-a@r7h_-5j)R% z9md92mk{@+n#7(bg#sY$LJD5?I>D)*o1I;%Zx&B$Y!?58DZ~U+k`z(*0Zm8|Qlr$V zKr&CHIt1FS_Anr$@7IR*tXF;X^BU$8ziA%p_BU}i#~|SyOP%6P?KK^ATh$cV6-v-% zx2ZnH?9^ekDIOaeQ(}~sxt4`-=G}=1DcM35uI6iyyocBaDnq zbwl9M=)uZ+9FnNi<}vOf!)tT4A~9Q`dV6b+1O$V-;+tQ=7@c~|mn`LNFd}wvr9JK1 z`f_`HOKrK|`>JbW6GkHl(~14ahI~F!*cSQL)cAMXHv|n0Y><2ZB2C=L#q`1E#!}M! z+;MHG$Sffjx^%8K8k_eEOS8VeVSfSj!p+7_@*rI~CYFIu?=C5|rb&~YUYdMj+F$7s zX)6b@hR)jCi%GiNOH+xUxkanofU!kv2S01u@y}$nPjc)||+TFd50MJ*kbEFi zI7^-*t|N%NF<@G`u7OfTxGb5_B%C*F3pmV$!KwYkuK2__M9ke%Hi)4lr6~Ly&L|I= z?%%R5n=XV9qo>Rxz`L%43H<@%ao;+!RabgnWmVBk`>&BH{X_<&meWT$KERgQl}{fm z@G#a2dd(thG5lqtlM`!~>heWs(eX-0!x;Gk`zbL9 z3a>H0J{``q39DyCu1+uSyv2)=QB_U!vYl_%@X&&)$8f&W*I!omE&h2s(SeklqV+u< z&)X8|ZADbOh5RM?2v%H?pD_Cn{o zU$OtG77cURWJmh+4Uq$P2-XV;$#BB(Bg%S^ka6nBB+x)8($vhwvv?HNT=!o(6p+=8SdczOKK`PFSzYmrE0VoGHh|VC=ko2Y_f5q0vr)fcZJ@HHx5u~oO zce@6UC$ddDARs%Ok*7>27#vEde2-i|5Fuyt86}ci*sO@^E?-q|IRQSK^jgG>97Joh zMt$+Msfs&%-|sBmE}PLtY64|rp?UxDU9>r^a6!Rsz&Q7xqqC1D2SjMQ5GW-=$ei!x z)XR}yc%%ssOchyXQmVnyw=L@R8AluB8(Ce71vl8ow7OX*tQg+F$EO}Sh=3nA?NVO7 zS?^m?F?etUJLKE_wDYfMNFc+|WtWfZvr6FMqCNnW+CH2KF$NO?mO>3xDMp^Xdp{g9 zLdS|OFKyfF0@egEC5)n$0KjjV%AwU-32*(GE`0O57t!ZEbB zuIsGMVgsxfXFsnDJNFu!ny?eeQQ#zdQqXZUY1cb$d}C&Kb9L^)2<$H4B%(+q;thl)FkezF|xC2S^x!B91k!E9{-A z@VEN>VI^w)^_M6k)+*2K%*mE{>-FjR^LW>-@^E1P`qQo4`eU(E(Bty@%S}tr@N~_A z(QTjPvw0;qmx3+5DQ*{{Iw^iqXfGy>?a>_omV!U3STC7G9%c0zO>XS6o~E6CSWo~! z7&P>gMbc3g#BkEpF)n>z0g#PyDakg3jXE#7fBKMr()1dhFpq%5bu46{`4om3Dv?W| zwhL@cz-1#6wt=yJ9bluqk#3X{A!~8UlSH}3P^xE!WJeO=)>}L(Z*<_-(=JyKtg2?6 zU3UK1ImbjC`CIsPaXjz_hRy%?-&=iF+o;`TyAPJnJ+8k#uSaAFZND!#5Pn9Sa++{e zZnJnwUm+^y#``?A(6b(}e{lg1yOzLf#f1s@wUKRi*7^D(fa?7Wv2LQCxCrXM5&!%1 zbxml6_v_l#+HLz20hd?q!e2Gr|NF*&7Y2fpH6e?&tzKGpG`RU!o$kP7Cfl2_+qyja zWyJ63V8@V&Z$`6D(=<0HQdmM_&G|U;_o}Sg*IDxl zVWNpfwYZ!8ASk7pUW(ScXtjqXJ&qJ5jp$hX26<^~Vc8C~j^q5i&w4$LGoK|p8#1}P zym~nl)6o1#KRTAzl_dJy%PHrJ@+LdU2fWfD^SRKgTO=y)u3jJerjTs^ZjZ7rz%I*c zZvJDfOjWH_@Y4A5!vPzcLT_^2ug}rMfA47;yXYMR4a;Fz==^d^U&c*WPC*iCrPRQC6>@5i8t4ssuHk!tRdJx|qgof{Diu}{G7sd_$BDOEUoptcLOyzuC^L!EBqmr{!=2C2p60p z-_rO`NtqH<783=8i=dVgKOoQ~Ax)qodWM#YM+`l)#a+(zvT%&b!#xXAJSgG zX>Q@bPW?aavB26QKu06E5cLBqL(B)-wZ zlkWM&j=}lRU3KR+apZF*y~jZ)5wF>bidVXAZ{6YHXBhR4p8V$x4DWXtK~&JO`7aai zSW!>1{jkWJ*8(IoCD5drIzx?s82{c;1TB-Xl2EMTRCYCoYlkeb$*VWk{*598Rw9}| zzFvH?7b6r@8uK@euFD)1`eRxr12eA$wr@f>9_O*BxuH;XQW7=-#aY>=>S~VUct6K5 zKBaPv4kGW-(NpFyG%Bt_20{gyVrJUdnkT7V4H8ST5!5tBnUqq7%nNDfV~^nxM8ltY z)jN73dcLi(z3P(%4PWYF&v$Rr|1a?PX@5TQulZ^3)5v|EfWeYDde1fexmaXbj+ z1neYlrt3W9eul?hZ86(V49Zo=DR)}pU07L))g%4=h^+RxR2Bcbc_ zBqyh$$XRK#;sXBJ2O6?3m%sD6ztQh=!glPlb(1T*S>qR|zkSg^(`mW3ahtY~!GeTp zrCS7pYFI%x6^=v`+*!;EGMeyp2s=q7WjPM*d!yYC?6_`0AkM(<(FY( zqt{KYwPB&h1*6Vih8%e?r8F#44O(1X{Z#wvYq=j^r^DVH@OYCLaAFp9BX)N^{(3$9 zdf>#=cf$uRL?yv-R_6H(dox#*q*uhym~{i@GT{3uK67etbSf4JF9K3&;sgJcDA&9NiD9N-Q9$eg)oftw^3Vz}Gikq6bKf;NYs??Fu04a*RO|<}sh8l;a zhnd4CSpuF~89&RL6-t6@ro>gRA%)}izEKE22yy7^{Ts~SaM^z}aq2>1p18_|G5mo2 zQll_RsCwle!XruEK7;vdF&IBaAP$`lPO9AyJ2W_&*zD4Kg0*((fMFta`*cGh4u4= zh=0+GbrkI^^u&xRtCwAuj9I6Fg`alk9RVfj6nJ{DiQ7KRfKOepOj{^pxEF5_DL8cq zmj{Ix2sV#qMgmYVK$r-4)Cdz@{%Qa)P@3xDN=6Hw&F;7VZ7RX{t9xZd8CwevRa8=+ zSmYndw26K*d`MMq37qGny6E6Yfaq;%Ra!?HnZ{u56j~!czli4w=3CI8AB6aDW?f39 z#xxc2>N5P&NYRi=2(;`iW(}o0({z)q@_!R2hPAUtpOLl;n^KGVBSJ95=Bu@iVRU#f z$F%JorYh3UbOQ+(oL<|eO29VK<`3Rwx_vW>UL8_Hacg@}WK)bZ zB*}}I%a@Q4sX>U@o3uj|D6Kq zgKPMszSHYDa`q#^nx8Whw@f|9rq1QbDfY<%Uu(aE6fKDtYHjCkbB0lXvYq~8qT`Rl zeczU+G21!yWep`(M*8;9IW=G#tw`?2*e$ExF(=r;ImFe16?4JuF#=adAU6QZ`
    6|MA7b>L8{(%zNmC4^g*8+Q~3P=LA!-*~HZOXAq{ z2?O5wM3I}tdvQGJ~lIuGf(XFYCEF%?^iwt^ec6g@ zby}3rzAa_R*5)-r&Hvft@jl0G`*~WXOBk-Ja_vcn{n=xFzIkx%A~$f_xYOI)vu3BI zR0&!!nZ)(;hbRf2;F@HQu+o0Jo1l4Zpx%D0rC95SK_~1nOZLR!JsPD~MX6Z*+R2C4P*%^Ud3RePk@T5Q*b!PO4Th-&jNpM$=qc zow^ONs~sSG06zIP8jdsfwg0S_DJlK^g@8<-ON@-EPy2rz60@u)y}t8+ogYGnbkb0I zYNAj-LwI0?oBCr=pU-i9OleAdUV|?;5Mb>4^gy+`GPid1YhRMDF;^|ylU-4X8NfoK z0lh(~Lii|!T?pBrCB_gp$C=ziPd++3&Cm7H_N?KYSsCnZENZeJp%_e&{f(g^sxRud z#Mx=y)A3B#M!D9@;z%7F(*jGUn{J1Q48<3wahi0wfBpL#cS}Wb3HU=j=*x|PKT-l2 z+cI50fv&DwOd654wry1XDrZ~MDg#dB4yw3bktNZo!A29}Ygvwbc>z+#b}g%TZU4N9IM{1wUNu=y8*y4D`yuTB6JGBNnD}x$wi{wyQ3#y?IH{7Foum(XDt6~y59TOb}%{n)>sRBZK2&OL6U-CJ| zCH-&r_n0F8PG5C5rs_}AXMqR2bICXce?M=xn07bIbW&+Lq%}h zmLsIXW~&T2tjrzl0p0g_8xa&)5~wpI5Djd^*t{RWd&yf+-^IsbqcR(aqL6PEjMK>5 z4*%2Bob56{I`Qy+yUp9iCRFMdEwdxm7xHud7_!@ zha?3t9luIG@$vhT^)V{nu5b)u0qltHr5#SmdvFx#fb2_!d)@0VR;XF0$F0QqpAJl5 zK5MHrv`yeiDaDaaoexYdv>`Y{L;h=AN`%OuA7N5dMFk8wS+%8qwwA0+;O0`^!6mTA zFi_YPg2dS3HWKF&U-999e@Blt@ph$b4hO^)9C!E$goOn)AjqF|HXQ#*C8ny!DJ4}@ zXN8uR{)&PIpm@E790{bUH{cQb*rt9{nitv39(k$gJJ&UWR&TrGEZur9 zVPIQZTl@L?8a;2}BXle*=n_PzZH|3l)HVPp&kz0()lc@T&2`1a(0)`D-(*3$?|_+jL&k|D>bAiS%q}Q6-i|+(Iw> zx1(-at=ZVFjYn|w-d26fFmmcHOr_H*luu4vC$bF{Zh(!@F$33s9 zz;13?1DRLf6q*d@AxEXI-_v{l9}^4#UX23nN}1#d(`|mx$~2 z{;9`>(rVK%YoKq?qi*Rv7F&{B`zE+5Gbcx>H+xgw((G22Hr?h(&Ka~t7OG@oK3z{3 zq9}&w8oWg$(Z>~x%$8tYsIJ$*eu6MW$cFR}2(lTHpqvl2hr+~}GB{_zK87ih=L(tc= z^9?t;aN1_M1`6GyrKQ!cS&X~&geQ$qFK@<@*3rSoCXC?b=H6}2fi`uStgZ?NV@3BK z)-CPt6F`~v_;R4V=GB_kMU&^m=qk7qRV2Yw@HkZPPy^dS?gz;F{QRhg0S`%coDEJy z_Rk;K??%}WEjh@f*i+$dK=fr;GCc%z?+Cx5BcfqNp~%9)Lo?`WK3I#P5m;y8-WOTA zq>>Rjx)+6M3aO#F+~vtcMoO!!H$Gg4q!diMnRicv!KHHsOo?L_TiFkb*)9d6*xLK_ z8-tv@PCRh_p{EsYu+-6qseu(m)0abokz+mKzZVmUVW#_qTRe4Ei90Wt_N?#aK@?#T zZ00I_SG|P3AuO|@y^STOa-CnCS|p{iOnXxTe*=Mp_hl||fD_W-*9)mKi)G{wX=|du z5*^Pzg6>auQqK1Gwq|31`9`u7>CNr%Z3Dl|yhci&3nj1QSGjc5J;7qB>!y#KEZFGo zefCMejy3_uy7TdwGl8V&%!6wOUKBN}s=S)9bquEzXk2GDVx&-UOcj?f^aDeu;^f)a z>SjHAc!F#jO`@8rRTn0fpzvOMce&`$}q_lX`{I5nC~UKsutC!LxWSC?t5zWy%MDhYSm^mpw~=Mga3H=7bM zIi*tfq4#DlkM3>AA0^$2#PI0t-@gS(;el*TP-Hpz zPcb2p)?q*8p#vwA(0;S)5j_EMQF)s&)7Jf-QF=7^};xnD-UHihaBm%rMX3Jn?@SN{X-&6ews3wg60_7w9h zbk*L7HYl~;c4OXn_2hU3&eOemPea$+ZoUM$s5IgELf}D4J*oE8QiO4%T0LFEq1$rn z|6Ofwz6MbxEWJPOVs0wWne#ciZGyz5ZSWbtYu0y=a#l=LNS}xK9~Z0(aPcfR?qAQ5 zzfMn!0QO+u(tGk|h=-qPdp^4r2$cmBo|heYS|altzfSUEzFzAyBzzys;as~PV-$hi z}#z87aWe~Tu+j>TkM=h59P1Zm|V5ZiO^}g&`cKM$e(*J7e zny3rmH(YEH17ZhQQR_kDa4us+f}6)eLVBei(y^N6>QBe!z_jA zlhQG^(8+P|D8zzQ-+cP$4J!LWfcoKgWCGj<=*HjWdLplY@zGarS^WtEofGR1X<_(G zEN278S81&;n1`_awG#ugxtON4tWAP!#w7*Iw6ydU&p*3aS^<92(h+eake#XFcx7m$ zO#j%y(Wb2W!?&IUIA`!zc9)M!a=d7g5w7m;?hrQef!`sq06JE%UYMJI5Q`sL=vt`C z^iBNJH|!4{(tVc?4=@<8A^ooUaNs_4_iSM2=n3eS>VtpIo*W!b5cSI0@S=OOdj5!> zJix_sU}33jy@^q1jmJ&|B?bq(RVD(PY&QG;OGZTgk;^BGIWB*T;X$LAlfEY~8oQpQ9lSfo>92Ky2 zlIg2=Vn3xyM7E%RzebwWASBdM!zsdZ1i9)BgI0{g9)gbNGDxDyGfJEcvja~)w6S_i z>$pdRnb)xbF%8j%*t>ec)K32Xk4YYg-cQ^JtUxGa8L}lB_#(#QAPkAHsoZfoR%tu3 zEcabDkBBNk;}iH21TFS@8&}jx7HLz~9Z!k%W#FKQj#_L4*G^AAUR>lISZ`-?5>g)YG6xg<5F&*he)w=NId8fM07*%Kl zox_!`fzF}$`&yqJl$FU`$BIiBO~MI z=a)o(+j?^}&sYe#?`7@Vz9_^r4}05}CJpynHWQ7X;bc}mAYd`}NaY`{9Cd+SsgCVz zz?+DxE4Mg@`NNwOgaCb>3W;xd)uqCI7zpA{>xa^9u+di-5cOQ+;S_d6F&}-LW^H~h zLuG;ZdiwWW#mR+yFHtYestva zjZhNNWPrfDPS4}B%Gtk4Z*3K?2Fov98>lgy=i#_M;=1LtJQc?6L9ejMYBhzl$a%I` zg#aJM1NdB^qOT9pgxNq5*zNPPKNcbYr#4_g2zFT3dtHCGuhiMBcYK=l@^BQ%BK+^> z@JjG$$-J8rU4SOnd+2Fbr}^K0k4pRL+5uo~hE3}$3!XVNJc%bsMaSLN^@}_uZORKD zJJ$K>>7T9|5@eKNdiGDdsFkv25=j}hYN1oY|zSLdIs() ztXfxbnT;q0&%Fa-te)RQR<2sX30>aAzh12M0S)YRZR=0XI>CR{1YN}2|2M{@OWU66 zBL5g2N8eFm1E2qM(4r8>|Ft<15fu?LF#eMLuwW%p|&VD=fCP#<${kx(>9;s%d zq>)QkW?Q@0-yruq*X7ZF+fUGN)7(0r-PGxMx~=a8;X`*-?WXl%pi?+_L_F9>+@A9Iq5lZ zn(#5~G&gzOk)afT3YP z;RC183)W}$cTpg?KWK21Twt@hpRAgX0?p$=ePwfVbD9jRKcjJLWFn)VfPGdDPDnUW z-I)!dBwNHjlF`0hUkCWRE>kBz19N%Z(&H@S+>Iw<;KEPY2r=A$oFiDfwpvM^hIi+Q zdB}Rjxq*6AsdFaYmBi7Rsg`{wV2Hl{>~i8?G!WlX-Cn;aDcq?*+-G^4SOIr5P9EdR zM{w+xBG^(110?pLo5HwCQ(y2A6eT8@I!K5d;)F7}IQvkZ+V&i)dZ%f(B;aSbHz4)0 zwi@m(VGyYORA^n_c7rQ;wwle#yEDg~&N*jMOG`zN?U414f2SluuB}d-ehs#)O= z4`9VT;YZ6-0m#fhnpa@hydBlKdCG%r8fvK93|rtkI==KQ^R(R~U>*w@hy-XoUjADm z%6S}Y({Pb72((%jZTB#jnS)KCcUM*cwp8Hp(8A&;R6ZEVpC6z|+jql_T(6>hdYCXva`Sr3ImJJlx{{XU7y{XjZ zTfk_17BspP%b0Zbx@DyCcW!K9YqGvJMUIHI{SS2a*Y)#m&?)2;Qks(O;;VjQN=5D@ zK_8OXcpi#Q%H9Y#XI~5#>kt^_XKhuC_1iXM#}56-Mp`HewcaAEb0AX4QoWVpp#+&y zYiuKC(Q$=PMSO@24AZ|rM-SW0PGS0Ii7Wxdc6j+fxv#QWR;;0bJrMHiS@LEnjrd1}(+5X&cem ze!%4X>$d*t}iPNpxP=;8E~zHh6r<)93N$jd_T(vhjWh;TuNu^uP%f z0}t`BZ>Cvk-Lqf0rVg%w>#KxR#r#pCj_;0b(6U3r=b7(NpCTK`F0<2Oo1fQp#I{O| zEECQk4p9UfoX|S>`le!~(f9L}upxVJJ@ZkEQ{%&_u-|QkVas0cc#w4Rew~fdFUx)m z@{IFUz_>C>9)m{yb!`$PNY?DuFX^SMqS$u)A;|TwK=nol=6^YsKXX}E5+Xt86M3nr z6?1wFy;x0H5-96e!@HMD+~wb}^z0}RnO#0a&!|hF4A+FRaiMKKb#8u?Q6HqH_zHfT z$Qg$suhFD_czDdAq+m0;P|c-psvBVwbS+$^JLJ#2)wvvW*~S`huM~89aZvpcv7hNV zzMAnpx<;tE$Q|Mz{1N0&>0?XE&xm`BiLyYWGAY2(2BsW|sC_jon+wOL-BeW8*7Fs& z@$BquVQ7|{m9;e{37>Q5F(Y1dXXw?uhMRaq2Y~=y1Da7~BuK1XpA#$-ukGk-vM0Lk z@kpFI{n~UCvGnhDD^Kk3wgL%+d$p!G+3xJ-_*c-V1X06BG}GVqW&nN{n0esOsRf2- zt@B>HU=ZupuluQI!q4G?I$3W~et)1q_|SFzvAY`2MEV~R&@7a?QlF~D)`luC>8C%R zYe}2i@#gq{+-(12(-(cCx6nIFl>8U{jf46Ko{K3#$2Z0=G&@#8rVPbut@+#jjhtjORf4SF2RyNeKi)$_-B=K{%ek%2>+5;MgnX~R3gMj{ z9%}!mw||cIqEw{smM=6z$xCCviONqOYfUo<%n zinQyR!Y-}0MKZbV(8nC>oSEAHsnB8oL3E_3qC7VMF1UJvdqEX8ljV2NYyFE(_+9At zbRP;sr<2d5=-do2R4i>YHx z2Wl2_eb`uqXm&T!`ZCZ5XzoWe3|LZc(A&7g_4$5C!17bp1}{)4)USW6~%- zWLtSkvjkD?tD2i7gO&fyi#2?Q)>+$GXFzY`C^6)*xQhJ#A~N?j4j&z6zkEEQxr%kn zdA2fjK1&SqdBR^0h#)^KETxH`Mw6rf{Wpdo!yJ z+o6-sMg3GZ5HVrnCTbt~c`3HS&Km0K1%Y>gil}F2i&67o-&65j ztR}HqSu`zp@M^X$C_p?bVil8I9a5yRfr1r&8TLdzr#swvtqWIysv~?vnEIY`hL8LtuaK zOE~APAU6$Ae_&w2h?$eNBF<)>OTt%n?i61D;>_qfGgC`TnW6RgwbGiOi-h@&2n;8u z@D;k_B=>m~or$bQaD6*lPzpvytez6Ee%+n#7p3)v8+F#aOWc{gJLKVB$z|c zrafzK;f){P*$=aQgF{oLI+*wcchK?UOOXSYtp~7C;#b$mmv7XCLrBGgAp~z5%;QB+ zZO5?R_7G1=wYXG8F&20Nzg`X0dAZxit>w!xPMH+L@%PA^^x%kD zSc`j`^nmz<^2$gpRxG}X)E5GhprhkyQKqBsWVF!^-`LiX8dyxKV%GMTp(i1g$n}II zwx8>BFgyQtXe5zVNh2+-YEO1rClUy4G;Zx>LmA$0btnbup!d`YH9GX0g&O%J#yUTR zE%(#Wu?FoSjW?SJhb=6xKR5>u3>3+hmt+0_ zheKIo3$|U>h8`4SqQ`!svB?vl22oElKan?Czm7dAd-OWBz>daS54rQs20M(MuSHMW zqd5uSLHk8F8=-ZC=B;`xLJ?XM7vBEt%Pto!3-xVo&@lijX&m^ln*&{}513ZsO_49G ztgIZEV+M@E724%$dBMfhW$64|+JGM+WNd+Zd>=VD{ihRQdrM4LN_KU8z}1G#n(xw+ zQQIvsZ+wc~=F}Ib<_$v^&nJLlRG86v*c#{O30;3N_9KuS-q92F@XvG3gO?P^jl{Lf z13H0^CVU=gK<5K>xqqiAn4F`r=J3gVf)zvr>}OVl6jM6}#cg|SLFbGesbNk3v%LQA z_I$C-W{eud^;U;%Ysung2+#YsW?fPIWVlnGJME{{;E8)5{F_t{59Ebk4xomvYc{yk z&fPunwGQ9DbvaIlFncITyv?T2j4@ZBTKj9H`^V;lL3^BCutB0Mquu0rpF zrv4aK5rrt?BGVl~Z;iZdL=MzapJUM=8u|cq5*QFToSev)Z{|@-aiLK*5+Y7vjVVc-*f3kd>OzuV~^xS7AvyxuGG10^*4oeY`(;iHh{mG_Y+kP6Y66H zO|mu}yJwY1(gSEF*QN?Y+Kg~M%5$M|GnH>LI$}fXd zR~($KW-b^sa@nphVwdm0!fb!v+j3Y;UUtGf1fTPSCp0*ZcE%=0_O22M^fP$mqc$ZQ z93MjWysfWU*+1}b4GD-jkLctg(zI=Jd^n>1i(-0R;&&XSH@m)kJnS_NcW^XXE8lxb z{5(C?*;GTOh=kV>b_#6+#@7Ol2lXXbzL|k07R{>k(~pm$PWUuMgw*s`lLiV$FZjJK z9IjF}QhrskAw8|AL;#BbJ}*fg4kW+pue;s((T<8C# zkRJL))O4c%0!cyShYhV>JZt!at zjbLlS{RMYj#JhLV!6^VXbaa-BK_fiZ7ifdVv+zOGOY+uDl&~2XEstIwo`=V5pQd6z z+G&$y3QoD0J6pO27+bm@12L(4FV^O;@k66~* zz%%GwpBEK*yu+sGPbiq7=K5YTMIM6ulL!IBAOVbxFX;x5MF~K^e{Phk+I>b-rHD?N zVo)9WB_G13w2Y^?plpDI^AHv1r5Om)D z#0t4=%54d_S60hbRgmP^=|E(I8{iYWf?CN+tE_-ynR4vQH5r{-@c_c(_{a!}h<{qt z)`fR6OM`4Fg&9EvaP|V_1v2qu9)@0tr1}JGLg|j%S%j6~koPvqJ(BZgWz6$PAVmvu511;wpbTpSP6E3h$PDw=C_OZe8#8?J^cqzeHT|T`<6`u$%U@Y*4uWugT|Ok zVpJ5*TA^b>&l*h~*Gr3Y$A_soZeya*6DJPb1Hso#uo3pRHj>u=OTJo=h%aP-3_{HPJUSVqp$U=@3LJ`j91ReRE-61=pULJ(I z5=h-b+o?c@n3h5xCqnkiszU(gT06p|ZhK|>zb8e?g>!cY|JIRg?=}PUcUu3ffD6jf zViMDP1rN5NGMt9vr?UXA_O(psXk|1vH{%X^m#S08rYkCd^;mTnM{4oRMTHUx zI?eY!CAz5m3Kk#UBO@8CRar@ec4`XxvlU%eRLPnsu~+r z#IUP6Est3L6qbaAmHbXCOpU`7o1E>)%eXx2YT4}AjyFa$s2P(^11F@E8&Pi8-HAJQ=s*|Z&Aez@8V zMyV%fBF!j4ckkujD*f>}^=Olm2ddcV*c{ZXiK=Xz!ZO=6=&9?rJ$#>P{>!D(vcBfoK#&*(k(sQcRD6ENmQ&8&WDI*o0U%h3rFZju8)k zz9*PYw|tL#p#ow3-6pQCrJ?h&;$-=Q7EHu`Bxli%CWtrO*-+(k;J3G*BHDa6eKgK_ zyl&Imrc3hM==F-%QH=o+H0+srNt<PefTn6YeIQay~r0K^(VQ;A=8a|6#oY?mARtbY%&Y0sf3H^?*!yNejF?~ zeE1OhLXzdJtjwZ8L>>9JW7r9lsFo;FSr_JJj3Da!!Z^L`wsN%YDS4hZ+tnu|@LlG& zDQcF3+jb)FOy}%&9~Li+Ga8{!fhsEF04_G@aXOa_kl|9~U$*eu2?z)pk86e@52H>? zx7GI$0Nn+UFwQr->-0`a8&Hz;s=m5a`bg`nY_`OuR=xBtsGO!7t>PLt`&^}AuVs0i zr^SEr?sQ^l(#&XX*_vM!yqSYmJ%>RnpBv6d(-|LDo2>BBJo~F&9`@T`H=@ihu6Qg& zuZoLb_jAYm2G>RSxD1{6J-!UCi{f#hU~OE3^$U|*yw0>$^lT}q?g6NxZmGR_Wrxz& z5fv@kxJS$Vmh;fL8|&4ZO;IhluY~X&mao^~Vc1)cSTjtRJm4(g^)cL?{C#9<*suS4 z+hmO7t|+~dK+i~<`W+P&0_y$4fo_r&LdA@oW>dnpKcov}?HRiHgp6`CaZntZ=6Z$< zmgbFI95ur)uhGM*clst6{Z6>2#EU*hGN5$N`8JuKbdiNOJ=F@W%8&!Hc+( z-OJ)cJUi;&`HDB<-jJsVU8j@B6FWG7E3i|GY(+_zu@|hEaCy_<$KXIp2n5&ebq+v} z@9Bx({B>O>*3q%i2YE0B7B#=F0M#`}^HYc$P4`@Cs(Lk7?zkHZLD%sN7Ze#EUU!2# zREps(MYu{1l$2DU)v>#|SwA-`Ju4%lMsb)cT(dUctMJUH&{Yco#|2&91}g$g%|nC^ z0%{M?Itiu~%r=oQb7fKSw9vXgH8A{W49+0p7DEp2GN{v4>@{mx^F>JX?zGf_F8%XJ z@I&3yjKvi25Y0l8jU89iIb3>gQ%ao=TrP(&MgEqnL93<8HY0b{KRWhm$u3RJ)?_N8 zY>;svfBm{XpT}w@5qiy}@iQevnb?{*oY1Bv#7ULFH4IlC)Ga;Cqrm;0G<}6X>;*KD z>Ct{!z|J_!!ofWi>JC4tnc>!baD14ana(pJ^#NxDCB0HZ^~aZdwc+`r&NCC>Ia~q7 z%qZot8(KL+8v~qH{5tQLuF^2wyRhy2wz0J8^|r*?352*>KZW4v{j_Q#J2a+~9Oqfw zk6AvS1qO59#F(d+*R|Jz7P=PK;+1@K8p|YF(8`7E8qb5~nxLD*E%~~C29|E=@CEUru|KZ8C#0}JN5p*n})FrMSBX}w2~#|@p^poai!SnsgTud>ab zIaI?I+033;hpcOCW<<}%Fz;#Noawt;72!)ws`jC9_%{pH<}&WZb4Mz3Z`k2*mjkO0 zQi7VdS{bF!p2vP%ZB9BFJxxF>v$MnI1GbHM0D|qPUkVT=x`_=Ugq(=~zNmgWEmfBA z1f@OvQ7-hKnf5Y#rF%V8!=;d=Rst2fIgg@w4iy&bnr5K-+eLkjnneu>!0G5O=9 z`M?_~pb-8mfpn=R(eY8h{4$ILU!8aQ>-EhJS-{s?HLu9X;oa+rdnxRW3rRL~J8z3h zJ+O*WJYwE?q34yBBaJ_~JPoU6-^_K^ZLB*jstL}yYLd;D2-BgC&(rFGx?2@Y-qGfX zXQsL-7J8aWpB&h5`D8(3e8naf-rmM40vKBxiTwRPBPf1Sg0MnOYd%KaVv>qbBoLA) zMr+-W$l179WmHe_MqhD{2LYGGlqt}8ypzbu+4^5fj^)P-VU3{Il$WMje#3J-cqzS# z<|sN$^-eAV^LA6RFV@ik&J~YCn2zRX#1Oq%(x-EB`{zhrCxUbvA=f`EIs8o`ktkHs z^zXEuRr^T;_m*n$xzHn=d`*fr=|Rp2H(k-q{p0r0MnU^x2dl4j`p#Ux(z>t`+{((X zP($9E%U&iMS8JlyqZMg;^uJ!oNG@Y0D<-`Tdtr#4g^ocDCmvMh$)fDfzEfCT)yH_8 zJ~Dxx`!THp-S2ij>+3;>VD$N~G~3T|ZqGw?9iXhtza3YTLZq6KB?{Ig zuqAXJL+YQnOV*Y`86d!odQPi2zR$<1rQneiLstmh2&a=1N~a%Fd3hl>{^CWfayVK@ zQ=5w%?|%a0)(PCQ3A%NHX6oUgZSsb z#&jWm`*~SNWn4@B$-=`xCF)p^9!n;Me{KT!?Cb>=+wOfbY~)>*s+*cURy)KdrK`8> zHaO8)!iD-3U8)1f{PLOizYBuBGsc7a(o_1mg&}GnZ6oGwU&6FKRi3|IqpYd@v z0en9(F+%V#Yx}d``qSF;^Yc&&<9`R9(>jC=?Uyz*2GLj?t3%^`YNwvMmBNrkRMg+E ze?Hjw(e14!9!S?};50PRFXUY;_3G=7ug_f0=Egc6t7sc8p6^7n93ltH3v&g2R5!5E zTO@1&cGd`f(N3*3L6G;!wv-^0xCeRFI}2J?5Xv)56!{&4F>qOUHaeou>rTnIC}CCd8{ zSx2XM3yhk*O|bezoMJL}6`W{FA2j;|0?t(CWU}i5zboZ+G;s5VXnxX0Ue+IWOXB35 z2Cv%jO>?+&Q@UcH(k#?X4WyY8q&n!cbEY~U-bnk&lyCQNEY=?RIu>*i$d=|SnEn^p z&T^xa5+b_`3uf=*)6lcar$FJdu^8DAN>}_;$dRt-=l3)-Qw#N>+xtmjmfR+xW--O| z^D|u@861e;T7uxg%%g^Ha&e6`nMjyXfUYdXFkaECcJH}s$MEj-*N@~snpA{^wJT2@ zj@=y-UOqx}6&Bz_cGkb6x*VG%q!~G6!tQ-VDIj_fR^vH8TKFK!z-B&$CLs}Ng8D%{ zqAT)UkmLe|7?J0SRDM&yy@98SnI5MQyXDkL)xddnf&7+-q&ly5#OM?WJkj*4; zenU+^7)8gAN!XQS`<>Wuc{06kAV$9|9H6+lt>;MS_sRG6Xa;U}_Ww1&#EhHcsZ@O1 z8LHYXL6uxobeD0Yz^Zz1?{t}95k>U1Y~%AG1VG7EY?f9%&wc@-B~NkLSH)EA-#X-( z5ra`x#sjufs{z$p5F?cZHr*0gL=<%Wobvh{NnC(qGWh^ifQ^0JW&5*Cp5E@zPh!20 zUKgiKT7ZCP{ie?rl<@a6Fr5|zl-8MFDs~})O7}G}>|-|V>AeBj14!WhxDR@Hm`fYg z0GN&AZNINLMfs>`K*0_*XY1@28SMeizeOKnF|%Gm=D~jVVh8)0tNiJg3bqb}#|tu| zHr0sMtzZu%0_{~dxH=O=aRxCT6Lo97r^Z1t0X7Gs4z|;|B;gmk4lyj#{Wm1uQh}5R zQuSE9uRk+B5N*!v=t%vwo$29^2!Z-lb~ajm38qe&dWS{Gh8&DIO5~3g7j4Cb&GVgQ z26Zkts$UU4>40P#E%;!4(0#Ios50oOMaSrJi+43}{XXmt`DWI2o+%B;WI(#sG$uG!t?~5TR@0za;Sl#!5d)yopb~H9%;Z|q{`@`IHh*b5@Oc@Kg z*1bpuh#apT{j+^DQx{V9pQODis|Ja*bcY*6!r{R+s zyZENwDn;=DuETBoEQ9sQMIY2*Fc@TFe6eI4)4topDEczSEM9UKrzxm+-0pkRT-Wyf z=ro%w$Hi}%jMX|9PkP|(cn~}r(l*H}jPc3waRCWT=*Dn{Ek2r(>%ClrU|vUjmRs3; znSt6O@)$`Bx6#s|B9vlb+&^kCX@B>Ja_wExauM0fUO&)Fu*&*$itp$PUAFd$WIzN{ zPPXBI5_sF#P4bq^%ZmA_{|EW@zii_iEO<%=+eon(Y{?0+9)8y`;#e_fB&jDljARBQ zV?X2St$903g)|pNWf0IQ8HH^c_FHUbq71R(ou0yg+qHxZYG;&*V2R(#OU2@$x~-IGIG^8fe^bXvgz}iN>klR*WTk!iw3DK4 ziiJptU?%nnkyj}?%3vNh0w8Bosme1J9^N!>^Eo&;)aikZoKQ6WsMC*M0Z1&;emQC! zl!GOXhzZ${AID z-YNy!HV2?VLanx+g*KyLaRg?Z_PPeA#3YzXGjJP(`mVY6w|Q|DG#}o^6->vX@AL zAYJ4Z%cqqi(ME^Aq6fOI%;xFHsPXMJ$kvGir!}1VuSaw;1(XB)Wa;j_U`5NMzWSHm z^w9SFmmL>1tV6{W??uiQI1@|j$_)qg)lV7|(XSP-HK1_s|Ic1`QT=dZ}sK0M) zuM1p<1qq$~=Pmj`zG9WR_x4%9yfLWuG0RP$Ms7Q2HGI&=3HUREW^%Qad&T1C(y9p3 zQBiuo3K7BR-E;5dwU8*l2Hg`yAMH|V_Q>W*X5oi>LO3yqxNP95VvA|pzm`r4k-hTR zP9Z8SiP?cuu4U&5t-C0c5C_74%T`Cx(Y2=vQDZ&i&-2?-fj@w#x_#SfOFDea#DWLX z*p%U>KlOS(#vNC?fNBdHpyU@({z zl?y;CCXR4XAEZpIoF9(KgKELO7pH(zMFy~5y5(?UF<)4{Pd`MpuDXCW;!avHNkn}2 zzF0d4bMiQ_F@?d|FN@aPbxdMDUj@DFlD9oqle>%o@C~2QqsT}yh}3cOyD%u%Sm8-kd1DA7GFFHu zHn2p){!ut|-&1SJKk|c9j*@MdE^Gp>d(TV*Ab`W>+Hj`@>(6e6%LMV;_ZC%D=$dK7 zoSdR{s_sp{Qng%Pb84hp09LSQpJ@(*U<)coK_1Cu4m35YbEFew3dxYrDF1b0a$?lv z$dYijw>FNRsq9unMYQiE$vc3<5s^Aymx(N%&VqmzXOJzq&i6A4XSyOhHb<>}`Q+Dc z;tS4(h|XEMOnYe&gc+1qVFQIUuA-f|k|{|`y0{=)aGiFMDX@ZVEcff}#W!4;Uv^R; zdo(px80KUhT7g{!FzR>~yH(dQ3dYBc3qS6kx)!F%_RWI@nX{uB>gq_-vQWe-2@OQ~ zMeeTuJvugBt=aRu3<1c%msn9zfA{AY=FD5=I5NI#6Jx*Svb8nR7L2&jT_}<6oxGmb zt+?LYi9G{cgdhidn{D@!ziP|th6wt^>?+U1Y=wVqjkV>)bKecGk?|+((X>J}Y#a1% zY7TJJ)Pw1IlZkcN3#T&iGXdk@iMyuIHsyBP1mg`K8~%*wH;lJIQ|w?n zpTmHx+{*^UjK>DhAez^D-mKTcfgnD^-qz7He99w!xL1w@wjh*0A{=T?qiJD=E@Ky( zr~zRGczis|2^qCT53cuP_+a?p7BXg`xL!X77L1sAk)YLc{oIDjb(qDlzG$_1{Z20v zJC~MI-=KGlrCfh(2yDm1%Rl6lznX?wZX41TZpPfYGg1%DUcc#XpByq0;fi#I+zqU#vqN_L%DF!_|WWxP-_`d&lza44Oe!`}T zlP*pARSB`9DNpSa_~XHQ=vxX2yS5k9sm)No@zPTucYI95Py8Y8MkPm|`HpiY5n0mC<5|*-(uH`xG0sTVZ${qs z1Pa#@^2 zQ-WxEs64$?>qaOlXam^!f0x?Yaix@U%!v7@LmMnHnh0vN>DQB?D@Q;uqOzhfe~W6; zs_Ez4pM&6cmtOR+dbaL7tEE$MX2bln85U30c(=JKUh+m8{M4f2|IqyUa5)xvswNLF zrla!egKy|ES`PDe43tjVic++4ublXW#PCYm(ZSm_76eQ?#N8~3tg+P+7r zU7F8)SGz3uyQPvIt~05AdP!|7Q9)M>&vaUR)l9G{J%mi-QX-9uUqIG#cr+-xOc5wp^>9ta>1tvIR)iCVb0q%$%F7Md91 zb;!ytusk_Vay zf*1b={yv};;SzqCh>M)FUm`#lc!K===_1`zYoy2Hke5S51#<3m=#Kb|3OEb^^FZPk zE41K;KoH23pbz&#m+908_Utc$0zb^QD&2i0Jj@obF4OlXxyW+vhQ=K}&Z_gEPuq!u$2u`f4QwrBv-^z%E1$y=|G~_(Qn#%_AB*?nVq06AT)*<(BctWN zmKMw5A`e`*Ucvg+A&u!Qgayp0%WQfD|nyjy$Kkl;*!AkucKN~x4_mQPurAOp0R z?tZ2_$x?lFD|dEIm+b+ImoVeSS1%{spm}SSXCUYkZkrHC>;!2h2f-du{jO4eH;mHH zcFl{FH!z!9ZXtv0_Z47Af;?_<>rEc5r}V>oa<36T%FrKD+6DeCC18?%;`aA=EW6&d zt~((s^FO=&KDM&`VdrRUF&73)1O_p{KC^r^JKXN~)MGz+=HO-IDTfJagiJN3Gp8vL z<;}#u?8_ST5iH>`&hF@oLbhATh3*)GJoc_~*Z6skI3j>Y6ScZ{g}Cg%+QK1m3vvY? zs7jg+xyKKYf&q^4+@hIv5;Athq1-W98K~pGba!OcNj%y>ekwuetndT5sLhXLesB(7ZKIO7K#kmaHcrjjRv2Ls>6RgZpj0F*8p<2n}tzIDp z$GpwtoIxg#`bLDFgq<&~g!X;0Y6o|kqY1)=-wkK6Y0Dq7*9~UR;oR9S~ z3eNccSIBSk>T&p%*^wv_%LG72|0yDGN3nsnfJ=Ju$Jb&%Pf|uqbgM)uJB|9*xdvvb}qib&*7t6;Ii>}cxn=k+F-B%4ZtM=}WZ8$ZUG-OGA z7amF&ovCbZ@9sVO$b1Hy2qIm&8@L8w@@6l6oUW^eZvWgM%Rr3Z z*)QJ;1^N0))Au47r@hIcfo0$g+>6_bMVHx_{U)5zZyCu_(Hroc_h#+`GQl16;GyCzu>wxM>_7~ z);H>YBqObch6WWy#g5Q78Y<)O7H$)CvgFHm@+5&lS3lXT)}Nz{7rNm|eSmmA;v9FhWl=1%#r#Nf8| z*N4;Bho()?|HIT-Ma9_#Yr2sjp$Q(`9Rf5KJXqrrf?IHRcXtR9f&?dcaCdiicY?dS z&i9|0wdUNgxT0^Gy=zz1`#fwvNhC=(OVKUiK!0T;-?o`-BWi`SQLZmG%bi$`pD%w0 zKK`D5nJjt<*U`Y}adSkU&E(&E`~Nd+KHByTJjQ7-at%W7$KQi1NZQByRyclWsN=Kz z-lubAg=;G>cVE;?XM-DIK`^izunMptAQlH;@Q?KZ13 zE3%@4bO=A*>#_FqlsJ2oi93(;>~tFY$#=$MV_2pZaP zNHoTY5F2-9TAtIKKVpWp8^c({+&zM}8)#m}_J8+=PCGO}SwziZ^ zOV-o}#-H!k_-OX{94k*VB4b(QK->+k<0~^gLv0BXCMJNE!E<@pDo`=}zHC)Oxz&KJ z$o$ZJ5LT%1Uzt{O2wV_>Ra~h~V^e!O4v5ASj&d!u@^&Tol1DX) zzwd-m{X`ZaWAmXwfqYo-ld8)^{@h1Jn5svj_mgQc5u`6ADT$;2<*|;guIA(8aRCB3 zMQ!bZHsi-7HH<$HWBZ*l#M|&v}+nSW_lvh!nHbV#4QoUa&Y4`qvCzislJ$Z1-t?e;vn$hqW z@mkD$9O--G|8MB-4F9P<6MR?03;s$1pC6vWZZd`OmFUxluk+0*Ck@Z+sgMwwBf#{u z0esve--;K#wpsYx%=96@```PXP6L^~W2?F&|0df#E7R(iy5F}H26Q(uBxxG^E zbR`E;ZGW(+<*yKv${I_KEP&3u2t_2U07FT4114jwLgDDImIg~n*wh|fh zCW@C3vz?WhM^MpF zA*V@+SaXG%Q6&f_&e%_b3$T(Hn?aXNW%N4K^auIE)o|RbnC-Awp~sM%XYg zY(iG&)lpUJZmVIGKi_A2px+PB>+}6J&Qn-#aX&fJt{4&l`4QUsj#T#I{7yfG%UKZMw4@ z9Uj|FWDH#Y#pC|t-JdKD*F&|hM6&T${d~XY#3CJA;XyxHLJP`=T8<*x;%uxP#@>d| zV(fxG{+N~NH8mG{ZcTVNlLk?J%r(a>3SQ5cgcxcU?{5gaA?2!->++3bcXMm+po!(xS`K5)F3iLV zs1MV>`jBS|Q0qWyAfvb>ZykZEpCT4(weqY_uAEXp8RLlRp;GWr&_S3HOtZOPZ@Y$@s_<@(>htjdGz zQp{>&ZhrjFoSta>b(ThNJ=C_`T96MQlECoALL8=(874p3dlEA-y&xaJ(`=R#j%62X)Av;|%|{A5-x3J|XTpNFlg= z|FF3dIlZ!EDrszz}b(-CcfBl;@l&CJWQYu3RJwK+M zn4n*8AD2zfwR3|Sz3;a6Ii5Zr{Iv;I{KLwJBdcoUC=I&5nZk2)1pnG^vf(XKmOgND zX;Q~=VTTXteEzF5rQKs#wxAyMGfaVDki+qQ$U{tgh|GimR-f~cXnM2--S`_Yt>@ip zJ-S*30MP@BUvrW-AERwo*k)2#g~?#cjvdb2j4ta!X__^Ut)}Qyb7IZM*%neVs9@~< z>PjBM&ra1WI;}tA!BQB-nT)Ch4@pI);fnxAP68>(!zCKR6R);X#lJ$2MrN&QRm-JM z^V`bg+&rs#6=P|u@oYpte4pmBd><|<%S`xEIcTn4wRxaD#?~M9_Cp`PXRh0%T4|(G ze$^g78(54Xrdzq0k#$^>`rl41?3M1}uHUnLBG_APQ zdUn=n+x46S<-q|w7I^HiK|bFl$ogiiziQL7*f!5Rxbk3>WB+&fU}j$A2AyPq%TJL?{bM?D>kcXAvK$p#KZ?9hdoPX>q#4W z-OKEV6vj&^{N=>bW}v2&3d_ge`ye{9J~}pXyjWk}-d?WGEFKFBUy4me@n`BifW;S{ z2>n1jrAZhf4CstCM6>(we$n&+G(SGK^FQWEe%t~As7SnR)2vivc{P@NBIlx^9}*(O zy3tV>Y_;t$_`c&)>MX z)KIB85iIB1-co!aIm7T9H^*Tbp)WD^@4>1F;q+8Bw+AY39h$Iaz%vT zfQ#+Qi{#U?xdY9c*g(%mUeDqiGbg*;@|^x!EXQAQCZ8_BN@N;jTl5BoG=yRph9i)m zctxx#b#S0$%EzN}Jkh*(^JGP7sBFQswq5&aLbrnD_u_f}^?!~!;pMyEcpMf~U>V~d$Hohg`8n025g6{dRIG|+4vVpufC1`g zQYC{GRNj$X=5f(P6a>T&8ywTqxtfaRN)=o>iy8dM8Z9*U4_NM$qzg2~4@2`atK(1H za6v`1YIi_g&Ez>%hs)s9pQDtP)m>Q#htz?ltZ}G~d#2t;z|R1S-oVtex!rI2EF__H z+e6w=h3`l9vx$iAbYtm;|5b1HckyolEkhemx2o_gH)Ke z^c(8{BSxmB*a^FHAOC#RFq=AOqkc4)4wqETC6A+COwG@*uyoM4tWwGM#Aej^hW2Ur z0U&e`4M-xAp7ygXCK4FN(2z~>$1?G}L_aZ#XlDm#2Gtwi5}gnpz9a0ug>@d85e)kC zZ4_4xR+wmj>y6?vu2jCqIriHYKUr>445&n{XmyyYl;`AGw3*~_4x_a#3D;eCzH2>mQJqWvEKsY3rrzb_OCEQcos2G7p+y z#pP_}B9l=l3lY>nr(_W$2aIGbbg{NZ5@Vq=X@QAsKQT;U!!TlDQmA0ewIx3%>$+k@ zNGLj}b|MX_#Knsv2m$dCn!f(_$Jz3feEI}!Y@dM2=0rt?HW~L?f<1szT&@#SPeLeV zk%vwmD;Y17=le46%L%TotPusAb2MAw250PjNs+nA4C(R`{{1`>Aq(oIWL_zSu{7L1 zhhs-s`0#8KybCSvH$0}-1i9ahcq6>>lPj9{7eha7rk#Gx(_n@ZcX54A43%L5i`3iPT1eu)10(M+*mn7Ol zL4y!p{!$O}^W)o5p#DaP64CNIP@Q$TMl}Hl{UU_0Oz`FU#q;j;rOW@#^)AYIk!!{7 zMQR=>vVy2T>4!gScG;pMk-Okf%d&ef4g`oGh6N)6W6K#ADRotY~ zm7aDnU#k9%UiD6vShCTkRJ-wJt~rG*n@Nq!9q-+z2odPJ+#q91BcE+44W&!E0ZH){ zwrL-^C6}(+=>&AJ4J(t#jsvCA74{y6VQe3r5j@RSNa5iKq%=&gzq5OI{+5CMr7>c$ zubWKKNAhMMMuAF8b{L02&kqBFKtSLl(8JA+Z&6yK8VO?>5Eic*$=a8sqns_O*^d?D z(5TIvV708ODHdBLD-#%z9me{1wpf~;p0XQVT1@y)Zak|!f?q5+7`@-L`YTI>^Q`fr zQkaBFDaM@2=uPoL(4R*f)u0%!`?uzTy0iu~nzkOp&Fz zL>C6B&-`_of%b44g2OrXvHl({m?6U;A$^0?z)%ap(}iPqcKN2ohNJL8a+9LXu33NZ z62X7|DnJIBU`K5s5Oa|9i!wNC00Ok2Uvo&$FKEBAaD0d^FFWRWi9_g2yGhvh3MK>ufABWKXkS;juZJ;@cZWC#cuLK760OxDQen_ zgJM5SZb#Bl5VL-4wvmF3?r4bi^c47ttAD&Ij-+i*mKpvldcEHYZHlli9}RFhhJzRsF{$DW#f(~Aa`lA_-kZoPc|uqn1Y;Of;FJ##WrA6se~ zGMx;J>?iz)=v>mKqult^iNa<2HRkWVkqD4^;M+1~*hLX^zN;KM1|p*ATxb6V3C+oI zaJf3UnABT&9Hg}EOg~VtFVt}!**0vAt+!sj2f0nBu3eA0woP-4lHKp9Gjv0^_gvT9 z_x{8Cpn30$s(p8!z1$flb=&YS_dc=glFfKcVR5qdc!yz*54@Moi|us7y5v<%W31l( zg@%NXcrOm?-p29yz=A}=07QltQ1Pr+TB^x*y$ZhTuTv{ie>L^JHGO$`k)a*@pOfIy z61g4K^;ryU6Gvo@TeJoa8iwhI=dfLJIG=b^ZXrYKA~#F&+}ozDG{rXZhnWIR)-DjD zlKzR9u*h*DEQQieTo{icu0z2>49ww|$Y5@YiF_p3=32k&LJ`NV!6+(+MR6(}4!8?80;@%|OQBy3%F^aVb&6WV-0vY*H`$IplKo0_aTy+@5pf(e=Z zW%-fZ*3w4hC$yW~pa*p<$vg{!;mCba*Vffh zGwU+YW7PJ@Y!LA>*1Ny2--z>iI`IJA!^a3^>v*(S%?Q3`kEov$T9w4z6yXT)s=4~nE3vZB1C?{BOCQHJ@top7~`h}c@c2!7CE-dV8t-WT1p1mDV zq6yaAjkUi-wV8YYn$A}taUONg23*gZbGpAX&n;(@_E3iB(;tfJ+mH7BFCG{p@gNA$ zT<%|rRXI7en&qDU>$9^Obcx7@G9q>RlhH^gc zu-Gq&VLY-3fhr(ScTH1bDa@2mQ*F-gt3Hs)$x>~5$uB_91t?UAU_e~4HWmlT_=MXl zRz0(d+`7j@$OcN>$~`a~@1_30aP+24@)t;fG*RH|ekN3KJ4O8jcN>_^Qwsk20wVh} zCruwUpg0(Bo{|-5_OBXUZAH-vX3%hz7GsZJ72AQCU`o^orHE^~3Q%uOY0~2`rVu97 zhCy>{H${T<@oeiS+d^#d($uf+M^aU`N{sxFB&E^7M2l5bj_a|V0->(f0;Wf~xbo3o zOIKSQMwhqj>o3fp5?};AuYHMFEkQ!dm|n5${dmDzjs3y=0k1J`hBVZf3-(Z7HrPL`wwJ7Q=qhEmtP!k zpeS)IOVx_=DHM595=JXugUs&6RQY+!Hb|3X_FUGSVgP~++#Z1tq>LLe1OXyeLLp40 z7$J0sBv~kAsgDk_Q)Dpc;O0WdhEP-6AFyrb8q1VfZ#}9Y_cJH_NOt=CK4+P9sqt-~ zvTp3dbmisr^sL8dtu<;#u%7}(4>j>$DR&)#&tfzb(;VHB1R)@byz9-RI&P&HM`myt zrxblK`l773bT4H<7ky!?>dJY{I{+)e4R z`Nx&>=ktFjq5b@IJ1aM(rO8d7l9x2L>JV*`KuU*Z%q3+SizuM|Lq?Vrr~YoyKsZBx zU0iJ{Oq)t&65@3JYFp7to%TIkGzR=xl{jlIQf_V@PF_VuT%zuwy{l>V>BU4UELhGl z+JIhZ_-g^dYdAR$0Upandi{c2c$!G7vV(S?^Ox0TR~VtYe8yGn@`&d=Mmywo-i8BJ zQv}-ERaQ=q``G4FUEs)YREq1Diy~0Q0CdU88#FOBRYd)%8N%3L`d!3b6cWN610(|U z`1l1$d+jh7b95P0XR!DcvAL*W!~jeX0*E>*>v$&Wj;P#rCaP^CXyr#ktcSfIj9#x+-K+KE8X^&{j8H=tn&-!i5q`_4`)UcbYN|=tb2p4w<4H?^PXbJll|To&mw11&>`;>8PQDh#)$)lJkq9=1~$;v2t-FtJOXZp{ZlHHYqt6cxUS4 zar;*1APnA)U|uLqD@dCtj$L1`WMvJ?c3F(?1odRDT~z1vo77q9)EgVVE!^*C2t0u| zfSK?>Qo%@WD!iXu>gQm6^-mx14WU$d0IY-6kv2#&Pq7kc@aTSo7k=hWkVYmCqeBWP zEGz^tAHHXv>sx7X9e~3ghHSQ}Dv{KhE-bS=C>YnQW7NJ0eMY`S$ZAxRK2#zCPqgq_ z`3n*SDhNmrR41B4O6gy%3TgI+vgU$7QW7dM?(!!Y~wfYgs`14cwA zg5W#w3H1N?jdW7>qFO$Eu1hY~b&P(u0YikB#%YBkP7aq3?=T$;!+;D9vc{JVQIw(q z>uH-)^!e-Ki3d<};nOPcRxo9CoO_vQ@c;5RPXePdsf-p&smSHUB`BC;o<<}ThalXI zB^DjTm*Pp5?nNY6FdZJAlnke^P_oZ?nV0&Z3z5M9_89XJ$*`~vJVrGJMNw=qwqLT4 zT5d8_@(g(>8yo60ha8j!{I5YiOTj2oBM~Pg%UrWjTM0W@7`-Pi9;m%T;sN$cJgiq) z;xu-FtFZQcmtT&eB9e`I2rhKTLSS_!8)s{T{`X6hnytCb7caNXNG4)|WLaJqtZtpG zl&>^tGGiL1BcuZdLo2a{ST>FXAYhYbujH58g{96%X@?*autOsl1pX=)jWbL*UskC6 zo+!zL*8n3_GO2Gt`StZBbZDn>ve8_NJMR)I;6P*gLMKvYk#)B7rRzcq94ldjK$I!ROlzwim+UW7J1!|JmtR1T84>9D1DKi@r75XXQcOZ#vRuv(T zPzuOSN4wV_gH=8EnL@0?j}@vH27l~!3WEuU4ub6jO$hztSIZ}?!DVt=ACJ=|FpwGz zs8oB#PUEgj|Lw!-hvmi%vco#4G=#fbe-QX^K3QAA4yFOvC&nERRodj z);`O^ksC@&Lrw5Plrh7CAb5s}=3~AXOl!2DpXL^ot5oR9(hr)oH41jif0WS^R5R3c zr`KoaV}~1Vn`Rk>v95yDA`s9>1=u{eXH@hZq>lHM&gDq=9S|@m zEHhphb)PG6x75R7>(WWBI$a(Q#nWd`&)jNmoGNm#zLJY3q>jNEfLdtyWuLkqlmUG)*(TWA4o64t< z?Z-m2mvBV>;QsX%O`!eo(NohJsy%nA?WB1X-JR#fGeQM6f~X*UE|)CVO7t?)%~Jj` zqI+iHF%^M=6^V^P%F<$@oB!(k{O@X;L@APt-?XDc?CZ&*qBTJ*!qzHP;n zaPM*Ob_ZsTXPg$hJ-FiJll+>HYD>D)>)8 z=MYvhlC_Y73*K;H<=1Au#vPo&XS$HIx?R%3#Sf~CNF*-JGE7H}%>GQhJd z`wJ^QZiDFZg{PuZ-%@yxQ9ykmp@^0`o@!rh9Y27A$gTPzAj!c2l;eCORywuTB4gSy zLD!>@GAR5{Zp0P{FYo8(t@Fuh`Q?_ZR=I$=*rqdoS_Fg;3VI$xMX^Y7wh&2eJAA1; z4#SexoYn$Q4Z53&fk@?Vywm*rUPgCHF)%`J8n%V9r0*Hn$I~giPQ$wK4bR0Pnua7r zz}vO+>xKtR)@iYVMMzQw&))sWAU1`Y|9?V}>2d3_UUbny%Qh$opp13weUT7_ms7aP zoicjAtL{~))H2miTN>Hfh5gPjDxAiCGYttNK*2LhL}-4uU0LR%Z;o4^vZcKYx<9+u zY5AA&?|28$vht@IHDaHQ)M~6i$LZ=W2iW$dKe-&77Vna!QgY|u&D296vLJ+kEqU9F z_&-~_azY(2esDzP>exTbs9`NCs8Iyy?8sm`V$CMESyksbW-^daE@Btx+(Lq9B%y!Y z?H8vLh$HbUX@2h_vk5`cj39g;G^s&sG?6p@8*0?>4KO$SGp1SQJV+=l6w3XbHUUP6 zpZ>Fj40WTsPgH$)FCjelwJO~Rcfnj?vV10q6>Z6SAA|?1zZ7m}g9!k+0g=q@diXmi zE0%Jhvh1`hP25G?j|6DtF;}u|pC@oKaL_2k&-rX5=jYTQDCFY&6sLklfmgL-^kCEfG5+@2 zH#}~o<#sRtJ&9>+DOQg%k?9;Bvw0iJ%;>`hR_s+>sMjQhSv=lebpB``ZP(cL{$NAi zLpfV^n~!5ZyI+ZGta*4UKYW`Y#O>1fcc(M;^y<6BqXJfpMxuDh6I{6-x*|A$IrDN~ zKZ%j`z9;nLb-XQ1$_#Juea$~@_dE;f{pz!KYpDBFPU);$dLrj@D?s=mT3P2Z3)tc) zpN&_%W+7d*c<(Ysx?*bg%$ey$##Tx(F=^ZX*!jPXN_yKzJN`>#7<6PpjQucuY`m^I zFhB)=p`a}=KAkJPFW}$3cG%PgKh>lw$T0vTh;x`{23991NLXvr9dyn=fW(Pf(;VKV51sCz(~f zEu~Y2gA2ZH@hDxIUQS>eZHW|;qS6Zke?gN32Uy&|4Ws3%mxmc zA5fuFj2}WD6ebX2h~F}oBFUH+#sNYi&xTx5pkWZwFr;-lPU}pFM3M&l!Vs;ns@A-b z-l;M#KwX}pO0vVw>Xq$Acm7WQ`$ty1NLX%ap)xE;=T3A37BpOYIYNZe8gDM1zX|%Y zG7>VXg|0UpFup@!N}gqjNtJ;XT<7P=-hfNzho(OME(W;_RFZPa!>M z`~2JQHFX@Af=A1_Lu*>PYvpu`#3X1B0}OSWyYUcWGZ=$WoJvU<^2{_sftd1xf6 zDOTFk|J1ye_=jacL2D6*ia@ed*e%VIMv=2m-a!PIq8tnoQJ-jde*teniZrniBBU7f z9>hUT0cYR`_QTrXFNa>Wg|cGw$%h3B*f3!qHH?T8=zQ9>xaJ|)3OMTJIC}m;o2&aN zy94rJ9j;9%j>+SztV?)9Ihg@u+?O7f`P0Vd!lD8{$^l*XJCAo}%MDG|(~WR~ZDqXg zMvI$2w;mYh#H{c%I)0i)smw0>f?BL=A3nWO`6Ot=edK*MbX{tUaR2b@cP8*#I8O^4 zm$#?Gynexfngv)CX;V`HyGs^nItsZQ0>HO(mutA8UT*Vo%OY-(E30JFJB|I6Wp!Ip@Wz zM&V$<{+%lV`lM%WPs@&@Jj<0BLKYE@-;jkYF~O8lZEBv+7yZEUQ6O1Z`WV3hVhWNF z(zoLI)YR1V=X|bm?biy>&0KMV&-pQBveCpm&B{?a zH@{=ncgV5zP$+kAF@$lE@w_!70a$qdg6 zHtZ}YzX<5A*t?Z@JQj_ltQk$=*e7h#p9#Z*6wt6K-j6X0R-de$RO>#j2{qCPUiYb} zeV=(~TwlL$e|-%1TJ(IgRBO3xiMCzky}n6}p|ZLNE!SC_w4%0ZTL?PErxcR~Rx;OK z7irO=RDZkDe7O!uP;1XFSNyHpelReenbvrdHOM%A=C(yGP%pst@8R)mt+^BX_~osp zSONLBD-{P*o(%K|Pz=K=H(J56xDVVb|Yd4$Crwsjo zCP&JM_&U<_?On2LU0hCvuJ^F<5tL)-Dx`4#fAjaIq zp~BKpbn*83yfVj;a6V3ge{`Iam19J2Q?=<&ax9&Eaw60aJy(I9Y= zLEnrVZI*$Dlwj-A#p&G2GNbv>J4kz4K9{0-OUx)) z+gjV&&0M*QGwjajYq$RIqOx+dp!CG*43BP}A%Sd%<{N`(s5xIAwSdo?(v!z89q$&( zWF6O?Vs2oUYGX3gl~8M*Y(KfM(TO5KGXL457afI@A6upj&rrG$g2b>hg|(c?&Cdar zUCs}WoJx-GBv%&FY(q~e_o66O>NRT&X3RH;BNw6p59xo0z9khv3SY1uiMUy zW192VS>xlXZwpctI z>CD{Rzjm3H@hzlPW_<643MmC|L^$$&dCpf6u>JrdN-gr;2@MqV_G&6#bpJ@{FjjFm zIOuggRlT(4EVhnsS#@y*ytdZt?)C$l_wYpLH+|nEQh&QP2{1*^a6mL$|D8^f3P2_^ zs(;Mx_bzMto?T{q{qCrjk8KpUJ7LsHZRm5DezfM`Zu_Z)AoJ$!sw~#G)sXuj3=pHd zrQb;lC4n3~~R{hKA5 z63#tc`{FqahlO@FT7D?sGcs_s=GrUE!4?uZs^y(-=Z|Fv56#EG7Ct`g0lkBvAV2~u zz?oa+vNC^Y=kvi~kv25K!xalaPzDy8$NBzXu^B}OZ&jJt+hXGmVSIAY=yKO}h@=*P zhXo-abHKoo!Tni4kN61(A$x~sImH1o*9&@nSWTEk=H6;8=ROmCbK9>wiV&@Mj9_AU z>~XbFqSV!B9bxoKhXKSd{_McFBz;-u`uw-e{(^_peDZHe(y7+;$RCH>NajKa{iko= zl%G@v%X?p(a`Ce+z(Ywu8-T*2ml1dbPP0QGb{if3i2%#1aAtAQ9jG#Ke)IxWrq$=C z2(^~YHP16*JUmG%OxiRaS+hQ*Z*bEq@S8}&`zp(+m})J@ksot9UFT}o8lTRi)8Tfe zwCf7Zf%Qx+E+=HaL4yMcK~Dz@2a}yc&OC#QrMIi#0+Lo+3xgR*HC^H~eMx?ST0^E7-)bv#AF;3bzscg5(0^xFt(p0VHY{-2onl>k^ zgHe|GPS>&A{7d)!c?aU#WAnESM1RudFtKkPc%vgD{Ed$DmvzTje3=Y6Of~=1EX$06 zOU)dlSIAAv-H4zx8^F&R-Qm0{l64+P`us<+@cQOPg&_gBh=9u=6i9z8nrqB`1rT;n ziddlCBOw9gX6vk%UC!3z+8>;xR#+s(x|MX4r2DqjhA@Et5VUg5wvyGCM>&ZvucfV) z9!ddQ?W;V`asBz4)vCPuUWdd$6c9Dj%_<+eY+pKZ)D38Hq>t8ZytRg?Sz;1tvF(!j zxbJDx+kMjcE1vl@4h%PE+?R%&)PB?&R(QSU6M?)go1apr5Dd?`=1FSg<^b<`F*bL5 z3FPx0uH~e_vS|p71=`EikG0#WHA`Q%lCam?ra-lf^^5v5jkomW&^czAm$jW%#1KZV z7Xz>Fx)$LN|GQ!8Y|uvV4g)^u4`v5P1(Fng+LI5b^3LwZqe%97p*DpfQt|H^YxCsC zAN6;|`-ZC@94uv&P!D&c{Vf+46I8!JI9Zcr8yHo~{?(lqm`GFRfTiqqiy_M^DN>w# z`QL}s0zLlSlz(aG*^&PH^IEG}lW!@t)uZ*}#Di(s*RTxe(04O4GUvkc+&Ht;bow&w zMMN6;1QQJZc#hysKmVEcVfJn4f7~JlXQWM=@a!#Z8Y?dOmacVjtqCDUJz3omN1Q7~}Z)3j?>S%DNQJ=1+G?x@Q4tO#ZYv zSHiJDntjAAQOaevM^`OADlOJR{QFkS6MWT@){~C?9z(b|rnv9=WkD|km(=APK2{F= z%Tt?T{C|bmCJ7M4W_+*%EKSFjtK47ebQsOI@wh!(K(-tz;HyMBpiBbx%gGa?Mu$;a8FOuCPOa^+9O z60Std)*8v~gZQP+y1$UK?>Wmg5(gmhH$nw085GU7X~*w`uwL-Ep0WFeD}SH?e|x?S@8t(CbFgj_vcd zAu$glO|K^VoQRBK8MmqTDa%@wiJ|Wy%d2rFy}C?j^SOm(-%x%e*Y~BDe3@p!=W$OP zZ@27r%8P(86AW~X?pq7iWyv55@(DO$EOLh_GH@35ToJjb)AusMQ%&gAPQ;Jpp`Spr zUmaVjoCIRRn$Lt1tdBXkz}>EY8oU`a_Rc@7mw+|E{XxCtgP~BzPY@pFId}F)bxQYN zbav}q?Y#HPWAW?XBXC&e z-EMw>1Nq{+`t~<#p`U^XxZc~fzr7xpY%^;=U3SX)CHPyBn%z7TtM_s8w|*?vDF^aM z^DVoaVSppapxlX`dha=*+{?I8yA3E(H?s$d%bE^<$uQ&NhFM!K!N?yi}{PIi|%WQoN`QZTs~*f#;wESOA{&|0tXuxIn!6mJ#UO%SKI3q z27GsO)M8?YthFb8h-?EWZ~jGdFHyf|8Px7i-Lw{%dN|$4a6Dsbn}fxaB%)89+yR)O(FT!sq)U9!M@8 zv6NaO=ynqUnObkNHZ%0)O-G0Rl*0qgWz&{v)!h2h!L_TIk*((4&t;=y2?2_7btZD1 z7mbpxgr?y%V}Gi9cfqKE)Yc^BZ|`Dvk#eTLSoz(xdOXbA@Md#d*YSk?$!Mk(7+ppL z&3NxfG&T3G32HxVqQa57UmeB(TX7+;93Zca;^tKL-Rh@(mj73d|IhOOJ5oQG#Ve0; zNl~0IN=P;vL@W6h9R>I&D*}Q$Z>f?sIqF)wp@qxekJ4i!&@b%|C8wef;VJYU^HybO z;}*=d*L;|Bgt}f!qFU2|`_`5odsAt46NMcBG7U5m4{RxPUgg|ZL0mg%X?O^){1L=#WM9i zK;r^d^hi?6T(?VbtuTWE2#Mr+x0d?UyYoOC*>HGtIoT5m-{y?Utnc-$qZx#0iq)*0 z7&AD(b$DRof6~tx6)`7dmz0hrMlOxDhkU9co0*lSj-3%GmNnonNsWukQY=fbladfu zmXGZR6?OQVi^$1xKDPs_?T7!pDNNT@HY0X)J`oJw~*;8dYn-;z9F5tU{# zLH!NMKP%ZLmadVX3h$=~%)J*OJJuxs*2ZVoZA4enrV~EgmtNN~ud_SX*C+3j zR6=iRb1{NrFd1S!Qb;O1MKf{`S_sO3uwW*Jmr>Qg_GL2Ds1X~`dT<3?N0z!zn*H`5 zGI00YoGN)wH=3Xop16#ROr!Q%qvIZc?yFur9vK-yP8HjXgxM3)JQA`PZNPXxCW-8q zlE3WpYBU@3IkWMwcQ387tQl}@&Q7twc8n1}k@`GWy6U{lsV*OAExuj+emjM|s>S2- z*&9AmySXV@C9oe9VkrsfafKs~gtEn2n#&geuqL*(a|LKf-4@92m%|t0Uoas0sN7gT z4twZqLoCP;Fl9m6CYPoT9{>=qUl)2cJG-MhTr(g-?bGQPai(4d7n{cnW$ujPKhG<+z0ZZX4T++ zG09uGOf&M=DaQomrom@~t{x>tTEO=acdR+5jb%_qMGk~6|DQJ)3ogrNAN z%QK)e6ZPALme_tc5Q}RKfWYr@nU3t?rAmTA^e(#AoXFMN^WK3@eJo7aNUwn|Ps7fB zZ~>?9CGk;R$;is}kBiXT-D%{>HKTr<(*hB%@2>R=Kzsa5=Hv!@bSwQE>>t>w(aL9~f+?@UrYeFct1v|K2H)@xFq8 zMnpC5;tLy9dg8%={ls7hu3JU7pKd;=-OuwW`f@M$9_ zMqorCm;v1394B8D{DT8dpEtxful73rwo%_cgzeHYPeUs#_rz@nlj6O;RMh*V3L=|k z0!Ubx+b3;D{4SIf2NJYWK8M?-*O@98*C(Nab9HAG*D4;v=rK1G+f=5|c2A8M`UNgu zr|W;M57qNrz4?00G4XG^OM&YT`*W_klXqFZYtz$*UO<-WY?XC7?Q^C~l+>1y5Zx>V zXy2mp$Lz#sbdR?W1_G*l679=q>G9rc&H7I_8ySsSq$ys2a&(vuTc z%d%>>f61=3{#5k3Op+W)6|O`RBAmyp=0r25vwl5FO56&x2A99MuKgG{dl@_FSG^-@ zcLhYP5w9~%#)ZupDegwL`p#e3b_f(_Kh`@Ms{u|tK85>@d6&0giTYRIozQZ1^_5=i zIJmOIG%}Bkp1k9(Bv=UubkffcU&JQymRSsmG z2Xn#+{eiSSV&Gu}hzsdSzOOZ=Qu=hH{AcNXhCjBb4z=eN`f_;;w{ErEs# z+wsmcv(0NZLUkF&FZ2}HSM6K{+$E^%4@-!GZ%jt#HAn&!Q}!w4)&+`%9Y~w!x*$pF zIKRJNYjT6IM3q0<$};x;OitWsLMDf{v~<67C8}2~4b~sPm+0F(-00Ip2lo6t6IXRo zQXk{EtlddMQbj(k{bRjyz6EsJo~Mn7ABfzpCne$rx7oj+Z25jUNgnsP{cmH_)E7%a zv!CY3wA9jL!xEQ;-*N72oe&KsK#~d1nW^n}&-Gj}%W>KWB3zD2|E8_)LDlc{)z>0H z-`riP{zvM=be`@-#V}B&&z=Y9KGhN}A1j;M5>;+){dSS|^*E}yyy@>%(GOl*cfHN4 zc{Ewv08`p|X-;I&+wGp|C;qEb7OQsG{^O$4ps9G5C{fBReH`W@v61I0 z`TYBTG8_LdSLRFjLxSorxl5X`2sIvEDXK`k=6kGU81`@W50{C?B)OuE&&Sn?w*02^ zEIwAh|L9ao$izu~3@}I1d$4dfVIKOtx8%t5@T`mu1))E3eBr<_AIZb4Rpg00-K=iQ5LOMkBq_fCGpuzp6XByfURM?iei!41&qi=;Uv&g}}P;>;z z{E}?28U9U&7FN3bFhEAIjQoe&cM{dmh%h~S&jB}qGOH0~ZIPE?l){&&b<^r)PqX?f z;n#zYS#lX}e4Lcya>)N->#f47>cY0|NeDJr#@B5GA-S~H5dyX~6eP7pkx&x&)-5k6fX5+|+p+`NN`6g8-Byv`qDVI#i z<2N~7_WZ!FrTz1ngcRXX<5U;*?%LyD>rDdfc3y*jYcD&M$K)m*$CYNdMN8kt9hkaQ z>3>hiRkBc%i8Or6N%>6-HbFIEBXtiENVapPMWI=iDVetKR28R^EgWeaa!$&J4Hrt- z&5NWe;S=UegmPZUX2>uSX5QE)@meqk@>Mu}w1aUou=|?*CJ>~kG zxr5nQiEUL7hfi4ZZ~5UWrCEQq(%s2z0uo+-YG%z}ged2}fVbdb4CrP&&l$f?*XcyU z1n$#}OVs|K@$q6q4Cex5q&1G8}}T@t4_ z2eU!KYT&-A9D!%!CD*t=^w+r-H@lnRZZ7SXQE{;Na55_q^Y@ZjS$0T~^VghihWS}r z#Dv!(bNfk>u*Il&=-O_J<1rt_5{AB$m|`CA-9`RWxki;a=RjRGvsEGmJIs)4siAcn zF2WMmn}bMz%h8xH2;*Uh801i3?nrfag`TScmHjG zQ?!ihvuuX)uqE3DEnGDNs@e}l0TLDl*1}l`d{}yVwm6HjS&Wb)4$9~3v4KyR`rrw5MQ)7G& z|6ANI%Lu>U*y(JoQ@6>sOpOslu$N@JFSYLF=+?|zSXgXNx8mJMzu1glR~H-abqgel5`}o3YWCme%D+;Zhu}P}}Wr^mIJeUdqkQWKmGg zTtQW8f~Zmdq*s+=pn*}bc>~BDg<~BaJbOzBb?`m0`Ddy!BKNYPQqsVfXi#(IAta__0w%4q=L!U77Y zH}qy0i~bz{6F0%*G}y}xcmiwF!gt7)~!Z<(e&7 zZ+ulubAw*7NT+$UOuESewYwF=ARtb3wXSmYVXP5x(4td)by()`72TtJqkB(&nN_6f z0nS0|<`6}2{;ov-PBT6{0qZ%rg8OYIT+iO?l}bL=OAX$gt#%3~F&@~@%dwsK0lwVi zfM2M$`MlMS%SY$~&4pl_?SGQ<|Fh4__-s_T`+y%NR+#Xe!h|r0T`=^Y+WWbMR?bPS zq&3gop3{iIL8j~z3p7uLO?uJN%prb!n8&E%WO0p}Gz$w_4+IzOFUqm#@$sLU7RP!> ziK?GB;(P>GT&?871+c#xd4Fyl!uoXg`)_*oO{c8jhCpuJQNJqRR|2hOd#_>!ei3e} zl;IfHyzAdVCOHN+vI}`gLCm9Iyj%kmRaPW%ibbLN^B(>drdrRwzY zS;uEH+I_YVid#$`^{iO{m=xsAF|<)0H}I|@J)yXh;s3h-dA;T%4rM*yiZ3%kY@hyF zGB{nQOsuFp#1?awVk z@cIP*@;_{s*CuYo+*a=p46W+nzXWI9r(}1*pPZ`6%A@$ZJBJ^qjx_aKTD=@Qc(~LJ zh<#Y!-?+70Q1@{yh+pxW1jShASdRy)T{EwQP4{4|zt))nYy#Wk>IHl~Hi{0`zH{3` z8ch3XS%2M^MfHa;!0?UFp~?lgox7HBQw_>w0HYk>n5=@O3aP)jN z369t-#=wYp7zXG=5|0FB=D&ac*84zLq}OPzUZff&yoxLG3feP|;mGcLnDk%k1pS@& z9Oj0~tA;;l>`d%g6T&-Oh6=p)rU6y=Z12(w> z9)_Al+it)|tAVrk5x8U$$W(6CF-b=S>|gu)CVo%H-o*(&oXA5bbF=-yd!cT%RC-}8 zRO{rW=4-2)+pP)IV)9#4Q`cU~3oq2z*t2bI_Lx~+teQ~#?F7r(x+tFErgRzX^)@f~}~FB^^a4g4%C6--1b0(S6d z+BLtbvf9Ld%y|mP7g8;FQ?K|TO5o5$3ObaKZ$30rU)36Syu;MqV9J@)ASy?fhGJB| zSeD>jlx(eDVlZQ)?OvwFQzd|itq9hSAZ5F8O>xsR6eK}xkuuBniA3(DTx?unModUQ z0Rq6XiUtlHKTS9oK57VGx(Em6?S%0l3+%7&396xag>h&h@mI!?eQzVbvztZ&plq@S z6DGm&Hv}c#C+L7r6rF;{2_`Rm>SsQggqj#yFd9GRcZ~28axr-LVlxaERD!_G# z*h9}r?CRVXetc45m+=@j)j-v73fR4|?>H2^Z$ju}{;;uvCQ%GnaE~b;uve)*ejrHZ z`CNpT)408GuN>g4%xD)FH&h< z*4`#IH(<-~f@*z%iZ27f;F@ZP{h_So#7mxp+G`|Nt1`T#N~Y#m?)hq5I#)o8OY!yH zx}fjQ1IhpFJ)!<=O`UYG^Sf2rN5^i8!1+yPvAw_H^A<$MTn;A7c<{s6ssUf`lb+4I zf$xja#5mhIu+@MZJxUry{7)`qYYEL}AswZ!H)j z)1WqsuwrzQVXA>5RdjFGcEiQGIGixTR#@^WAO?mL$?D9~6T0oiie#HawDLubVk3|^ z&;~7wJ#iXK2+2&H10oZ|LjX5GV@&hupmWI$Kv|m?C(i+{*@_YUpxulN~ z0Tb^|4@CAZq3J$qjj+I}=<5CX%FK`f^e>ZN&Fi{9^{r$#^z|v%_fnPuq($g!SInZ5 ziL8K*iTi7LUN_&%ie|?NxNcJ2acMaUiFi zej722;YiMb?>1ACef)bd6qlbE=|9>cb^-a-HQ(AnLJ`fVSP@ZSw1x(in4e}uITsSoO{f4Ylr4JU!; zR8^1E(v^Pd$IEH=Sl{UK>n&6JW>uu7;v!jA!UBP02n5-H!Wa7$PjIM+ii#@qxc?Ir z&2yrLL#6o`Z^dR7@mBH!IM$ z7+xZLyW*Nw>+=GJairHe9^dJAJ{#B*J@(AI*BmR&z@<390b&&933}tQI@<)j?&8wP zRs>(`>X(-@m_RYHg)s#=?xzBUj0=lw z#E3II;TW59lTqx%9HSg&p=PznOOKkDq32xf3IH^U!G;sV)6Wbo7UAW(t!1D~UZig-Rg(4}?D;=Kn$^?tB!q?t7I#`=Aum{=eZz~vbc z;gPsiCA5FTt0=$&xpQ8 z+n{sU@4AfCr!fPdoxQ?U6SC4!al=+Z zm>wzJ4Cr=s!mRM{Nkla3BLp!{X=eSd{B`o>^^;^I3K7AUE&BqyRy1Xs$KEk`N#N^^ zqdgkxa}p49bayO724r z=(Y47I3KwZ?0Pf^ani`Kt0Mz9Q>n^AO&zQ^WFWcKpwsRo3Go}4GM*Ubl2Nr8H3TUo zZ!%%oCtOZO;UmaZ=n@SQhhVAUWB-*3T)RuzF~E_(|HjU`)?|O-4jO@l**O?V($cxb zsu&31+k{hATH21(4E#>L@zWJ1cbRBW0(CK!;gG~&$di-9H%h6x^}jA=3Y$oz*#*S_ zmXSn;s2?)1tR~Q2)g)PrsGoVaAMsq5waIf^3@z+njlbp+;J)-rR4nw;pVDA zdt~7C=oWAxFe64Y2x073 z_Igfb%vHfsH_)y#R)a2Lz}wq4-1uQWTX z*P0B1#iqR9*Dx;{NReP-)fDQwz40c~*#O#uTXnETQvaVH_GYiU+5FD%0jyAL_c-o! z#I~aFaIZfssJRS0PZ4Rt^t?MIu@P}|JcroJCcS|Q*BnEy)^%M#0#R@(ia9+=4m*36+B!#+=E+rub-svww&qRp` zvM;(X@>x(XB%mEW>K>Ovo+sdn*T7q2nTYk|^q{Ax-Irh3)1v*)#^+>}%Oo63L=cW_$@$A`P%@1@~W!C%{f4rWD zg_m=e(iNB?-x=_zq{6YK@XO`2G<9Gc2ULzc(X!-W%cwEZQ-=vqetwt1#-y-f#Th~y zMry!NU?MpqiNj$euMZW(@>n<7<(3L=ZqMNzDXpYFe&}n znnO_n>z#x!hbq3nZKx(YGh`MvxaUejG<%FOy)0{qLe0 zz0%Bc8-hxXRZDckWys@chz~4o1_Am89AA{M&(I8IcX-iakh?NW{xthh;AWx-~wtqko3L!zz_cA^CHwjvU>JagqK6 zUY6RDlPVzrCI%ZNo5DAOT@+!_`2H^j4>i9XRyi8#=n`Bd4cdv)zBA`Y5)uEWopRi? z8aHS&%Ih3XjD{cF90s%MAkTkduo!PSRM?}B_TtRv=JF@@P50x(E9ac~sM`ZL2oJbi zPU`@lQqWfjrl=a-O`9A)WpTv^fhzt?wgHQm+pKg(E>`WP(SrV$lq))Qe;E8wLd=goMlAFtrbwL# zlJv4x|AOI6aMZ*khg=}rW+yveA-5rk5ttZnU}naDDUC=esUeI9(s7YT0D0)&Not0i zy@H)M3mEsO-YEty7{}lTquLM`=yWy})R=i4L3B}if>|jAs-16xKddS{2vcW&K7EaYB4u8Gzew$ zQk2ibO_=Q?Mg|rJ%fJrmjK{aq0iJ&knDEy8pI+jHukXJUd7q5nW&8ZLBHCy`0~}3@ zn_MOn;sb7pavyti3w^z>dlvEZU(0zrPBzYw*!0*!aKvS*Fs2$Rom&;y5CC8L8Ef9t&573V@eA>#|flm$9cC z_ZBI7N}R{h)%58$BnyM`X6xJJc+slZ;UMYyT5_GU9f;C-*B`-9X+GC^}KLSM=pKb+@^5}JhnaOv@O8@g6pRL>J|sCk8j>bzrJH{hFAS8U;Ocf z^_h@z-@egY(2m=TlqNFf!%K>T1`KS zK)TGUWjvG09r&61UomBPsn{p@zQ6|6JTGdA6TlKMPc0dh&AfAWogg6!*x2_h9&NBR zeuz%acD=>3*_KeJSNBsVAsT&jUzkajX;tdf&&=69{*dU0Af3eSxvIYtSsNK|}lmiL(C(g?NETDB(~WGGRdzT{yz@2UYL z92nSiq(DMGlOVb2c8)k&3zW;j`Id31l|jN>WLius6ky=>+bhnk)H=8Fh* zfR;*3QPrHYaojx>;DYd5q7;SsCq%BD0r@u^0*DBnPKV#ke4ak->9q5jFX@m9@@+i4 z4#ga6*E-t`+@yEmc&I)-q;n)G~cn9k#~`uM5vO@2Ng!}uG1`?Fe2W_6}~;lOTm zbWnaMm2Hlbs>UaVByn2Q8F<3)_|}x2^k1&RGEKy?ZWq!Hvn||n_#H-y#@ll<9`?_w z{lPBI%I(xYlP45KhI+McbGNjjFV#1|d9FvS`#)xowKPYTc~c+*Cq{p>p;bj=Q@yX? z7)Pi0CsBv@(=AdASdsH4UMJ|j)9%wa2<79`d6}z{IP0u~=B@=S`E)npt9)QI`qu4vqyyY9 z1(0A8n@~Ml7&qZ$HBr{PYagZ}(yqOFD1SIpU<)<*asx85AJgLP{jR?Gfb|GSq@Ngh z@GJUVkBpmTo&UsR@tTH3F^>B#0F1bKxi-kbgZnz2goiCMTZBMXebEh_tn96d+yJ?N z&vr~O6qc~zF@eL02Bqbx#2#F*7MNiPySQ5DaHDW9=bNfO4lWV{gVLwWd+JP$F>uYl zS!-j*_e>ALlWPK505yP$KCYD3m~98E;8ePl#bk`khsE(7Hp*outndvG8okix*{a9b z?8@6{785u#bgs3exU0iL5xZNsyl6|WjVQAxT#7&*!v&M_VtiJ-Uzd_iD_&bpx~|Ko zSNf(^3GgP}v(|xYCb8E6@^&r;CG%+hY4q;@gor{HKv~HHS%id-0v`4@B>q?=k#&~GZt1a%6}lG- zeU1I9ER?4X50I?BSm-@p@^?72PgL>tE5EYXWfnimOZ&)7|4UFSSG@vyeu4K4W_hHKl1+AdR}WE9 zQP}BWLmsMqH@Lu8j@~v%R@jFCvz1!n>JwRY9>@W*WF~nqc{pE;m&BbiJ`1N|?_ht7g zyYJm?`Wnxk_8)BQCZxiy(-JDM)$l@6y>WlC9B!LLNAiL1+ZkRY#wXMCXHfBSe)r+5 z(^FplgBh4cn+bUJy$)KfuV_n}tb0j~Y234XXv>Ye50YDe4FrWc4r>Bxm7jv^cVj@q z2ie1Ed_=ph9jv889o_D8ck6A>z^kin61VPSV~wVrXR{nPE2rN7#?EYafRmBkENn=s ziS+Lo645T!hh03B#O5`pGx-l9K9IJqsHn|}TiakKqL=bBA`O=^l?mFgX`{X$>9yEz zd&K0cKU)3<;6TI-0T!NOt2tU;5kk0_oYjX$oxdxy$J9mP)w?UHk;A~(!NeRE{$jNK zBiYjcu6^4h-7e$C;K&|w0IrV0i}&Stx2((?UNNk$X37{IDm+oTd=LDi}0q}yI_PBC4}5}w5%J}t?CJ|pl!m>gGH085U%3qw`8ww=&% zdZ>XXlpG-;W{8b4mU0VSnJOQh&8jg>1(vp9cUTgH#44m#?V>0vq7sBB$u}oVW7qe~ zq;ks{Q8Ta!O|XnstM&HQCy4c0>#WUX5a$}VBbr$x2?`6gA0D4pOf2!~>3i%cpE`IP zp0#gPw$wh9lk)Qn5aay8$V!OD;GzKV3khK1D1+c}ma2hd<&)(!$D|ZvdI^Lq;`x`w zuXon}^pK`Ysrpe_6uw|u2D2ek-TZl6Wl{_`1U9sUyazO;RQIOMg;*wOV}4&Ol|XNL z=+uX&A{*c!hGVw z$0L5u*NlM9h-kXn$*|D-?h9v{olWOvlEv!9elo*CChL*CQl`P{?@h4OXC-XrXsY=i z`WwCaK(XsSX08)@n+Oj6c)lWeFK6-UFM)aCyas!P%uLk*&eb?7soFhZ6HDDnt(3Eu zty?nR$K!yPE4!MuJQLF;6V#fng2~2v4+qiPp|0mT_dB&y6Lsaz9S?69P)x=%olp;s z@-Zuql}`C}5CZ4BOOG6?g)xY}_p`9WS3F!E@sj*^=07IQ*Z5B2>(~Hf$alxml)CW*o22ROl)VnmUBGT$N zIK9~>e=AF$?4}s})2k4dl+kBQrhmACWPI+H;M0x^A#s?{i#~R@=lf3jZgh9pPKb z<rf%i z1lY3kA}we8n<2djhX0=2DVGy%NJRvu+I>%?LDn`LqPs#Y33hm``}W-2=?)~Rw_Ft` zi%u^RKORn_aJn|~2YZv-5zk<4k!oR7;MN|?Xhvq{H_9j==88`S6Nn=0(98l=2*D`R zOLrY96`GCBP2nGL%8}T4K1lXrq&U+c!4~1*A#hOZxE|4M1wZMtdD~(rwwE<#yL_&g z@Cv(3xN@nw-792zm$)v?>+X@9BT9 z|JrLRA}!Z4;rDK(-eMjd;B(vv#Q4KC&W6e|TE~1;xUvJgchf)@RodF>WowHHME6qy zt{!(i+sgMTR!p-R*+kK?Z~Xm+SrM!>pI`0}07Ekm@q_J>d|E@m#wV%1f1@7iKZJoF z@zAtaIZ=2LQQkZb4pRPO&7%d$B{3{SE2X*c2&vZ~9u^wRXVfP0_+4haq=(jaaDKj< z#j7UsG*1I>3NcZh2SD(JJp{0tdRftp}e-KqxUS+#CXSVQ3N?z8+ZZYJ;*d$K8 z=NFIFoBf@dg|;^N(DK`>_FUW6t-6#G7MG8T_11IeaH!N@(CL{}6QmPS5ZJV<8fmb{ zGVr>PdSNZ)Y4F)#0uizn6SQVmV5eXKjE{3FK7;OI=%a|3VtqzNNLaA%mzL`WKOKe7 zGJEFs6?2U7G5Gy`Q_|SyU=>8!{O)8!W0vMJQzsLL_bQC=S8`NoLBX|rWh)DSl8k9R z-$bnD-+7omZdGC7wRtYqsWykS;z;E3Ay$D(lX+Ty^{;!I@a!x>GIGiyp$7?4R1k$2 zgE}p&m~eN?2nHGeM^1STqd*R)!d@Mjtg28E%D^1ztnRHbz`Y6&Gfb|Q)6f9K8tUlK ziK($b#;Coo!Wc%`DCBfBN)r=}n*MRqt#T=kIf_sbM;8v$f1ANF4mv(~RA{JlIeFw( z)cC-Bhqx^PW+vcQH2q0|MA<=^<{hju*k5kKt&79jtkbGC^M)~8fV8>QIQ1ta z9kC%c)sZVdB$d^tK1;PU?TS^Uru)zR(ktw28;C}X>Fl9MDU377!)nNX4adl*@?&r( zO>@Bv8S8w(30(g$zvzxoHmVF=3<;c6h{-V7SbeBu2`f62saZIwvrNqm+G_}dzq)<4s3r7+p8l0NOiDBeHUS6j53EnG$BGpO z?(WOqnj2wz7Gmy{dC~pBYf|?3ZScz+CqqdxQ*y!G%S&8E&3^k@hU!h^HatpoJnAnBtqWdVGLW-;BUZeSoTGY z2%Fx+tFQ_5Hfb|QBZ4Al#zzX9+hVMc3cQCA=Tv)eFFQS0@ED;g&UHJ!^t|7519Nc? zsx4DkRb?f!UY9BtQ6c@QG2j<559hW%u{dG=WXF0U)u(buCd}srCgjLORkR>UzCpqU z7~f%FgJ7qw7^-l(1E~Y8!ZHG#>OJPytaZPb`Dw~gP@&;!!d6jn773COzD=G@r_p~$ z{draL3*)CT#i-v_XWgXyp0lksc4B$owIY8Iz5BU*MpBM&P)H@th&3mn)a7ocLK~J5 zCgMT0Xp9Y)?os#-KoXF@1$q2lF;3LZuP1t+hmE*~D)u?&%B{?3h{6kG)0 z07w(doR`sf{DLI~%l@k2Vw8cu#me`L-eoY*lal0mIt$gKX02Qdjs>)b zGdToK2X5+Zu64(iSE~f(4^#y}MF1qu8`;m&iyBD!6NIz#@zICM&?d<-0N@Ma?&WK{ zyEYiiJvAng*7HOHK)#>`emw@yC|r7<80~ZowF70~sWE{%`}*mO zKxRQWc!9*M+UGHP6I*Qq=#pDzvc}GzmHSQM1eh_$R?T0n5enqwlx>TWfWH&oZD!On1!I=UKw1_eh-YCqLi!>>ORGMJA?nmx0TqZrbu1NlWOgvRgtoDbi_&u_ zE9^P1#d=*6+4U;hbmmYyFFuQlNfwo-T)qJPaEg83=?09 zGwp^OGl6hN&cz8^S~S34k=@*pLd>c<0SGl>C;*}Ab_7RdUn8*Hjm-i|>~x#9Wp?nG zZm4=8+1cac)t-k_eJhei+tvZw<#A1>gsAvLxjvV_%NiPuD>-id_3}Ds)n++W%Q6*R z&i>*cB>ebg+WPOlZ|SVOk=6DWic>iZ(l3riIEWci<^Xc~nI1O| zqnVT%M)fjSUaJZ=0Uo|FaZo97L;hV3bKjF8=lhQ-qe7bp)rb^9AxG0{HG3;-4!(H$ zDIIJKwvRegc?fgobrC2ceCTxj2>tg=H8g7cyYgNf2&c5=q zBSc^y*3wE!xiuR{;6$*vEHjPHWJc<#2{vG<(yh(PXZLD=Eii8bDTWG5jW$*JWVclw zoJ4)$>MY|*;&5z$1C8+KN>Q$4r*ao8a)XLO<)id!B7?S@({~;BS$ec~9!tr%MraD= zvyEJT5y-<}e{A7=B*uzF;NanBr!%w6EQ@P0SKYMj>Ir+#kfP+_wE5tV!_jCx?#yO% zzJUK79!W=Mxye>la5dr@IIlL=LQr|d;nLWDY(4qm`P>7N6rQD+qu znVYq>NE$F*%Vmm)Y^faIBz{rp2BkuFNh~%l|Dq0?;<8>Ag8qC}9tYW|$tLtt6>Zq< z@u}-iMJFr-^;8y5`GgsjOp2p|*r~(iZv5&oF4XXdr_1SIjB5`)F}dzsd-WesG9g;aBQMakY2sJ=)5 zsvsQjdEZ7#{FlX4r4GfXA)H`iaVB#CjVjXT``;2jb=z3=7mY&Xkr2b>?nFfNYog|g z%}EPTWilu?N`rpTN=Bp$he&X)vyylYYj)Qxxc)d01gO}1PSKyKb;9FBqsIpE6D`dyd|8U`=MG|FTg zDSnDcV_KqdsB%opQCN}>e}rKmN6RC6@WyYq?Qe{@m@}2Ii;Sg)IM=Ftz$LuZ2Xw4L z`DQR9U9(JRnlzMXY=8DD=M_q$sKYu`Jw!rtgRXY818@Tq1GK>?#IqOgI@ zppO>Mz?U89c)h7v?(n(-iJ)hXmr}wO&pB*g-#I%?XfEVo}hr!_mp?7I)Td}$n6UUi#H65g8nUiM6eY+(StcNqb?8F>FM zy!;>bTcad@L)Kzzh`z)fKiMrY;Xc$VLRgRC{A83Xe;?7^oC7)?$FEDkCt!za31) zR)vo#9UI7u*LOrqi)7mbeK^n*lwlVdAFHBEszH7Gh% zl*wZ_r<%vYGiYE)lTBA3Ce0D?HQiXb;_+x`JSO|GQ0wAQ-C9&n!_Us||2WsEKDpfa zBqorZBKO|HLjNvK^Xu(&+WO;9L32bhJ&y-O>-wLQ&2acjl2OF$;i$P*TESHel$5nY z4rYqj7(sZ41v8&A?5xckgCTD-2)qf^e{wm6*DMYwA1+nAZ6bU7jeBWkLR|Jij6!_f z`8UCw?_$a(Yxns-Go1IzqF$2D;RFcjb1mxS^bE@bOKrH~CkcVa%-TO8f4Nehjnf%_buZzdEg`TG>rN---x%+lg! zD|G=VGZzOY(%=5$Az61~M+v|GHHw{nqbtrq0z@abrt zN|6V85_-e76h=lGrEoZ6;5`*WXiZCjQ1enu44jZafGfQ2kku8>(JMxCZ6@XfMG$Br6yr^cBgUf zd7x+RN`HE0g@@a>1+zyUONPRdI=1jFS1|3An1DzU?uV$7g9+R!^8SH%G?OR3R7@C* z1kPJ%?cMrCs;Pw=TStz?nH=33ar=||X%2eQPxDV!)UnhUNEnMq*kd**3T$ydCJo`x z*C%<9#*XHxF>RBmji|-25#} z6NdhWJ$@$b)LPK75QvMHR!m#6MUDOpNP3NtbM7gSaqj7~ir30W!nV3A!H6-7XA(TZ z8zZnEHZGN2?OE&{ixri0v%2W)X0jMuET4(u3@)N*;x1KbaHBF6l}AQB=XIAjKhBJ@ zc3!LINx{dubK-q{9btv0IT!6|iab}u3tbM)bKv`5O9x!{lD(eqispK5MS;HvA!N|| z!IGR8hX6Q-1^?qgf9NPHo7Y8v|BiC*A3>2TsPBVW>Uzu5?NCCm=oP1^&)ruKx1-<> zZTkh^K0M6!6UAR2{-6@bU=2cIw<(%Ez@~%QJ33#e{eoMPeaS6FoE&xW2jv^xhsH|u z?-zTd0%op>52U4)G$xb?{L%_?7@_?XtmH{;@b9Z?0|TB%BCCIAe2+ty~RD&XCmbCL&{MTBg5zHJGH=;w7aiRwEyo zBO-gcr;~QSi9K0yI{lMX*^=-_<>%@TT`SahG-@jyUELcuOiHK3Px&5$39HR3O;1HA zUy{DOuGJ>U@9mlwYw8ks4@hs0U5-Sf!-_^my81tTknEGeKJr>Sw>k?IyCjCU|QY%!(NRf zO?OF}L+6X-dsksr6rd*P-`){<_`_Y zeeNsCfs#RI3di%fEbH$BoM2xK&=rs13?59s#0jBN5+8l@ z20I&;s9?oePa>r*bM*Tc4jdd&D&w zOkn$dC$J?s$+-NDl|b%G#Xo=Fle}a5jUK7JM-wQ*J*<$;8eWD|?g%a&X+4j-(Z?6R2a5lsXa+ zR^c(#Y8bBJw`jb)v}CJlED<)Q+4>O`shIlEmBy*t`dv}-$B=+@^cDKVSe6as2a;s8 zworV!poXDs0};YoB+4;Uh(b)~wj%FpoyYy1=*{$@@snWpRgR7=^k}gAJ58gYgW!+C zgP()SO*%)2amV)qcOAS2y|orO&wuc`@Dak~806+NN9J!@a7(JX|)*q_y zCiR_xT9&jS)2TL@^Eby?CV(x)pFf2{fO5C%>Bv|jUUF6uSFc_3+J#5=m7;FEWv$lp z!k~!z(~lo1<^xI8G#dNI^&(>zhk)3lfHTllo&}K3XC5R|#>l z*xLv651bep>3<7xtmt>J`P>{S^)coM(cZtgTj9oK7~|en7JdD5Z@7*~+TySrWaz$m z_`CKi)oezY;(_(Y(iFzYH_Rf93Hwu%t_X!EkKWpK9-R+ssnL516*FHHtXZO#X=B%2 z2fKuQXi5DpC6`9BhVh9l7W;041mjNadA3Nlg?Wz&j^O4~ZPHjL)_k|~JEc9kJ)eD7 zu1S8R$20;_7#}NLj4)O_&hq8BD{+T)tY%s@CTW{)$l9EqwzfuE)0CL1maDjs{~(g_ zHRv?&O-!;f^Stz275wU2i%Ie{EqvbPJ#iQ~Ibd=bmA7F+;jTrc#$IOZ7lZMHu=rd_ z)YY}k>DY9=*zxWvKMEV(#r+j8^Dw)3=r3wj4mj{_EU|DcMKp#mr)RbvK0fv@sD4~^ zdXjmI14jmhNGA=zJ9|~&h|Y0(!BnfBYrldU@h{}Z!w5izAPPqtC88M z&fT4u!w*p?!oYp3cV{MG4w0#(j$6DNp|ufA@`f4kKt*=6auy)iXHus^mVj0L-O22G$tI9L(g}lD_xL$3riEoN0&~|=Ohp)YiH{MDV3+TB8sN0OBKt2 zcg&}is!rffL1yUABcJ=O+T}8fifPC_(8$> z(3AM?4!w8pR&Q^~9!t6(YvZ4n!8=K=fZJn$cjHt1+6zg*orQeL+QaZwWpd+y&aZk( zr9N#7Sz<8^ip7>s_DF3!tyPLSr;jLyv{DGQiM;yMVoV5}xmonGP7zp@v zmFNZ5)9H(-S82eB#^|y~g?==wLg_RXl$G52Tl+Wj-TL$Fy4Pv<$$0M5^p(EaEMv4u z8Ls|QEaE#-Ax%ZcXAlTIRBD9~E1h zOBId$t9jT(wrag@4U47=`73Ae# z=(cclWrOi{*W{=qYu(&$dNl&lP3L6uo)?A>uuEt^4bmc;1ZMe~S05f{cKbhVOmY3% zr`ep4QC#t$-_)>8^slN&37YI+qodRGC3=Vj9E&z#Dxxdgwwb!s%0E`WfC)uCv~<#*n8|zURS!$FzWpscnySx6!e&WKInR0gqFx z+P0HhU2}81)2^r8S2>VMw9R_DSijf(`bu^y^{T^^o~b*NGgv8*&tFqbWkBRA?AFfj zd1b76i8wD;8#t_u1{vNzaHIhAsoa_4vCWOy5>z5ECs4lb@lg8r@oVeWbZ(Uix=~uI zRHX`c0~AgZ!Ica7YpN8{xHiKhOWyTerwnU6JoIz3*!3L?hQ*CHMfpL8`7b`1vetE# zI*d(8p6*U=wzieTDyiCmsdI$+C35|i{w!a$?JTM^3<8`y3so;WWH72JD-9QCg;Zl2qpeBG+gv?>g#-g)9{_c8a+#k9Y-7@wg-eZN8H-G;~W#-$!4 zBbhIN%E8qK9tH~+*V@hmB932lqE-)UD7E3j$#nOLk=>G|D$|<~k%kA=$k>$4X3pBZ zk9q{Dxzeh&A^T9sF+%URvM|Jcu75y8+b)}rJ}tR0if!8e|4?-nOl?MOx5lABaVzc? zC{WzBxD|Jb7lH-X7T4nLUZ5mEfFQ-)1BDXYp?Gm9+K=x&=ggUR=9&Eqo>{Z^y4QWJ z(Nb>K%TeMQ$vO#`veNM1m6@59cj@cm-Am4iHg=unNi}a~Dqq6*KoaiGQaxP5XXU)+$L?Z33}V((tTkm{V%WkI;)z0 zB92+US?8>QDG*apaV&?};qJJi0+0sG`Ds-m4Wngenj_mZJpM@dX>hQ|O<>-IEU-{D zH4`g_R5?6bn4);nSSAls)q+pOu}q%{%{5%;kQ&B~X@rH;dfC{B;%MiyC-awI`cCpL zg_4e2lUuOT-hSio zpN1?@WSA$m^3fIecRA_o@g#U0s$xb&*{mfXB>fBO0F8p*c#PIE0}6=|%HT~F@|d(7R1aLSFB>R5tGm40am8?m|WJ;lnRVxL<9L#zv`tR#;}0QNrAR5xR-Ae zGve3poAUyZQ6~yayj%oN=kQiVu;`A|PU<_9TDPJFr`$wQ>|}Q`8isO*UjaVf=WK^F z%{}$^vxFYj9irqQ#`v`)(o(+OpjTkGF&kZ`l!F*GMO%DJD}7BxSv+^Q;)o2A!RAya z0J??Fgo0o5znz=^)QtRqdwwBOU503%zqWZo(gEl?XmM*5@hFy+MWP1hmOS-t^$a%h zI6!u(PTP0S{(NUd>eL0o?%IrBBe>EG-_ivw(*#BhA>n3nqE?K_Yvl{L$HtC-I896m zEqpFXO{A3$zF5gnW6{se$vfc?98zq)zQv_TwHYpw5{Cs{Wv(vYM4m!#>NsB?Wd3@uGc#Zhq@?9Zd@I!msu=J z3Q$G`)OR;k)~#65n3|bQ;F_EudZ}(I6yI7FuC>{CJ;g4md#Qs z*!5i;XN3-atjMuFEx)x>Uij$fDhLGRV)hc9WAm{nCzb`MXpH?+{uLA8%7X_+2 zyBr=X`gM;RPXh6n>~AEdZi7$!)z#0ijt9gX(wN~5dJ5o1#y=ToG%1xZL~iVpj4p`e zEpNh5Zk5T>^YUq1g&7uYdmNjl1YKLRQ?}c~H{shmq_gNEaY=uWt8?q)hB)3WxjasO zn}q+Ty&IChlhT2%^R+amQY*0npD!xzR-ZCTp!;$-mX9+Ny z^Z8imj8v0Mzeqj$-uG-sigI0?bO4L_;^-CG_{5M=1&JF$%shJ}p0r%6#V4jK)u;)4 z*@(MQbcdWA%gJ`p6an)^XuI~uiV+2|nXPmk)%QEqBex3EI30GDd}K*MF8S7I$Yw*F zEEjF%q+Ghk1I+N2cAtcs-wFc*%~JZ!WJ_-lL_`B}jpKUYDb1fInfnCkVyp})avU83 z#{W9UjgKL7OWToh*H^oKP647o!`#~Ss-4Rz32D!7OP>azhk-i_E1tu@4N8bO5zFUv zpY44hubU+!tFXJT9!J|e4YjxhXCk7co~Fy$K>Z509oyz_dI|GG)d&py@&#aEDxI ziPCiumtjx6`G>%A6-04x48byDN5BS0K^S`op>kNs#9YRvDS3p}(#>7uu5`#`3%m#` z#DH^3>UQN*+v&sSM>cb(0?)Bo;CPLSIBO3j6JxR_o6;aSTlnvLD4b(3eb3Kv)mbQ< z_s3Ihpr?g+(yOETXGCmT{@^LWZAAWG`;bL88l%l$eLP9I0&5FKYoFpc6(*R6?1qex zCYqWAm|~D&KXh<{?B#L?;UI!*<`V1hBOd^+q2S?; z9|FR&9Scq`w@jiMxr4)neLuH#`p~b$ynHDLDI_)J2Jj1+l0l7_O*21y>(7(%f5~f6 zlvxc{j{;Zy@rIG}=SJ=}7Djv#J~X91w38TW2kXcPEaYODC@Y#?4*T(lgb6|qG&O4) z*&ZZ5EIhdA;8?k_=+*Dc!++bGadR+k{>~(b3UE&AZ(ahz_UO z1$(Id8#-D^_gQ3m&yBVN;>q7(`2HIOZhtt?k%(;Rp5l4RPngewi98a~cyqWFBdL7w z1Wn_2W(FgFUrg-AIDeVrQTk?OsP>&C&(`JKqkOi6cHM3=@>(B~#=rMXImqT+QWXJO zfB4h&(Ba`ykXzg7L=K0E=Pp;3{d1@AY5`qT03&&#WsIT8$?wBLQ;hY`zLhUGc2DxG zw}rm3<^}Py!R?RrsdfMb&7)G-;P{>v*F?c;y93gK5OGUY(d}v%zLC!Yq$)ay^R)Py zKg+L^;*WH?DEsQ?y-m3Ylxd9bNPE>kT{aGNwg+&IT2_MPOZ1)|T8BNJd@7n%A*treHV3W0V$lfEYcr&WsQ~MVatJcKn;#xY}-?pNlulpw4*rDBw)`c|Qd| zXxt^E%5R=K95wQ9sPJlj-QJ~^)Q5A_me+)=9YB)9DBn6s1 z9gx>AYN3S*NzXg{mH`J%d!+bH^Te$%BERL0{a+J118bXK=W=GVB&IGUiXzZMbg6dc zj~Xm#i5LnvP8N{jW=fk@|6*Z@hzDM`rKx$hH0CJgYkwq@6yWD%dk41_fDQ2JX40{S z$`~I}Bj;u`5+J8|*P}%xqsp2YUCkR(K0ReP!MvUy^(YikMmap_eXaI(=D&Hc8De#M zB#(Uegrf`$)ZxMyMP!}a_kQ*U;^{H%?4iQ=BDj)8j2!enz)r=ek+IK~@9=k^UcH&e zyh_?Kbkx&C7w*MV;?s4=7<~yz>PX$_l9r}bRXJBegIYu?oOyCUaVK!aDX6HKv?^WJ$G7|ID@Gjg_--wu9z z<4{Qkb5vU>?B=CYc(N)H<~v4Ti|gNs%ea&iV_o2ie`H59SODuOIK2JD$us5O-8CDY z%x+|GOya=m(trYBneL9_%-Y9wCi8;d$T_re+QfFFx5BpGmkXA>4wLkdhKv zAk~*MB|0^e=A9(dc~$fN1>4)g;PnfQb;vcV3;V!W%6?XzaEHWDQeMZuLp zQJO}=!Acj``^7r-O|l59S_SQ6-QI5Fk;7hHz*_`lfWK6g)QKB6s#oEZA#&?CO+ed9 zi~IF)Umv(WtCxP{qmIq&T*lzVzOuzJ*Zdy&R>e=K)CHO{8ev_UbsuX5oS-=ws2fU72OZE;)CMThLo`D7gH$$7( zOi!xk35Gj|7ONxgDh5YGd!>q3O)P)9I_|+;7E{f03j_`{Pk=}@lW!RRoR0&@mw8;o zzqtF>Me9Q(l&cZHcnUhEXSV~eDP>e@s`L~I`3wZ&c!|s9dA2sG0vs%iv`p1HB{Qxw z{z8?C?xHYH^_Bu%13bVh!X_M+T>-TY#s9vAcIDBw(#bGZmyff?>g^LdTC@5Uec5U7 zGPE~%xDU`O64B*;UC=G@Z|2}&B)+felM8zYQ$1q}n8N>UY{EG! zPZ7-;bZ@`b+@a;vwb|LGlU1mBCqhD|U$k@*>{80j@*Cr6)4~`M8)HOhHTLgv;BNwq zS&b`Ab?JnDlsexNPI&}AN*^v@rfZMIHQqDBw06=g~mVcP=3;7cnS9PqFc434zC zj1ie^8C4d?E#v-DT?ZZ6!*@V#BnKTQ|FKK#-H-m>C%)3pZKkf0?w7A0*w%izeXN7m zO8Fjcc}{hD-JY~?&25uUsh6!D0~DtU3fEw65$T;`fpfDqs0qkr8Fh{?-mS5#S-yn` ze?ew^IH-A|k9lN+Qsq!dpKWj{fK@YImzCJ7iNUo|=S%Rt8ZlB{x5;4p}YRshKlvh#pWoIxUJBi^!h)-Q7AUCbG3 zsoc;?I=}1)-gvzzM{#3R6~J%xGu^o7)f+Xgtv#RSBRjz`v%CPB>x_T8C1p-b3@ij8 zuM(99-gvb7x5rLLDA0Gx-VhXrgp?Ft%I+fJ%QUrls3nse_Yi)pzRW}ePmeM*grIxv z?O^nXwyGZy1#lSQdaDt^oA!eEpqssN=SP32@BlHo=h>39jG;ybve{wwSs)g(HnGkw zp*?)O^mYG0?c-PEhPx>*3yTh=^r328X1dNWf_2~dKQ#RrKfJ%(4EoveO)qZUly;tc z>l^t5TMav97%vu#u`(OI1>HCuL2WAaiY3+s&H2Z(U2>G^sZzxkuQ>a9LwgHn3dd%C znc&#oC6bQTzrp57lu=J#A9+~XkVzC;gRjH?lwNf49epPd(YP%+&?m<)vy;2Ewk!=CW9Qj>mP54w4s`Ks=Tb?Kal)Pc1Y*y!=5fuw8#-;<6+E zjMG1sv zkTSHNBa87UQhVTUW;2L&pvzk_D-lF8UYvYq4tf$fx=5GyAGyOT?ZdA&l^N+OX>3svicHk*ohXy`CFnxN>B&UWkF#n^mH- zQS`vM_XmX~R#S$tqlZV_mbVK7MlyYw*6ViZl*XUtWXz7=)Cg`{pH8oywi>HF>?~#( z<^fQ{30HKq7C$dzu94ntqvQwPaS?`)73*;n*T=DvcUqjQop^C`HVyDXICRM{~cKL^fadCZ=3rTOYFQ1>d#n2WJrJc@d216rv)siI!lszdZ)&%vh3hMJ_Ua( zVw0n1ox+-<&BuP?WlZUTGCqcrkfz3eSs{0@GH7B?_?{F1H&&Vl=NTPtW<$P=F4sS@h`7OsIpXb!vl1K}@i$nh%>Afx{Iej%1Ti6 z(MRJiDV}+0CjrVw+Bx#eqz9k^eR` z$lig)1!4NiBnO*+kGPUnNBI+S6bmR*ec6stk5!UHk#Y!AU6w(X4=Z7cPY3G47NGRS zTS*^T=A&?{A?E6v%l@as-w&!<=8i#!{F9qbcDEsz1O4H@k3aecckP4cw2v`iJ{_HM zN>KnL&bV-TBV(5}3EI!Mfr&k{GI6|ycR4GASnMGfx0q~2=1xL@+`y&8Sbob~M%>aw zQlX?DsIRCSkw@&oMuY<<{R-+BA>;Vb<8K_AjqL~S3N>>_l`RjVP|L8=$K{w9o$Jh; z;PBjUz~iN#?QYiPU(4srzHI*T62}RXtDgJ%>UVD*CJK+=W1sCL1sdtDaxe0mD%pX= zAS7&tne+w`T%FVr&xv#~479iF){BSU-Vs+O!t$e#LaOJ$%-7ND+&1ax` z;%WbMH_%+i@9WfFryr&3HoT|itZpP}oIC3ebF4fvCIj(}6R=fJIgheBIytK{qo_eY zO(N_S#mPqX%@s&09se}Lr*vH}uVNCJxeS9}p=pYTioDB=G2$p1(LF|8f$QkHp?}aj zK8Q_=Aldu;Sw{^#2f?h(cseY4O)>>C z@Y1(hNgF*C@-^m`=Ekj7dw;Ut!rxBT8U$kGsc>rMdwvHzAO4rxqS`iwp^5Bavh&>3 zC0(Bo7}&nyYAXcXrZ^+inyj>c)XuDd4G!i;rSouz^6440(nWl1byK;x^nLR?niTQr z+8;3HT$dG1GYt*l=4}+2V@&NgW`+E3Va_NCjAk_ynU{>wF|7Ad%~{dv07i49Y>HSI zi6VOhs<;x_tn+=vHt=$;s|5K5Aiw-nGae7>Z`I@HOm5PftB|8;ddK#`-or3iFyFtB z=k1vDI3Qw3wz0_en-N!>mP$LVf&4|^0xSJg?}zyR**oXiH)-ATim(p%Rp zda%pfrt^LGzrHqNX0#7sefMKQ>XBO%n)#B;PLOwQ(SWeIojaevNrS++m+W(r!8p35 zy3Tw#nsHS;DA3BW!Xk+V3A-Z}wSP}@(y(=Jh-$fFjC4z3`d=T^8{(UxR)#0y=`RFQ zq44L^W*_TOChakayQ?0}Sw!e2N%@{_?3=FnB&jtPZ&b`Dmif^VVp&s=p@B#+tO(HV ztQ>fImq&8>3am{)?J2x9cL6UJ#8mfn*MrpcfbL7g>v6irsO?=9@st>9X?}F4z4rY@ z{>im`tPBcSXn44heMAWl0UJv4j5C92YOU?%zh9uyT|UJ8>!p0XG$1qe?mY#=()3?1 z&ix=3l${v@R_yR%4iw(-0a2vFy?7#}sFH!~LZ`@$Ofp1{QRBq|j~)(!62&2wgN%_; zQ|AcNBDMDxQ(|gpGCPOg#@N4bFItM)u@o(Y7$ZdlP&|G6RV7<;{jz``jecI;x!{W> zt0e8)qCONt5$lTd=DaNt#tN&~3Q&5_TJGbH`hVuPn#Yj8GR=gvBrxBs&;NM7nX+6b zxE-7rB8RZLTPr;}6iI=0WDfHFto@NkZat5=J0`v~+#}M8o~ReXXnemYs>69u{?*rG zSloniCm?sSL}q@jKhYU(wSyRy7~>$n7@$B8i|Vu4+iuK4u#Xtcle}vngbt6ftH`Ft zsYbrxtbyIQP~HD{@If1B1pPgztR&An3au24FFuqxbpF}P;eoc7joT<_9NOR(^q|o$ zlsg-%q$z5jOh_?oTgHoG5~8;0kJXH82l^yV{^`c8XusV{ThIw8gHDghZL#Hi46IP# z%CA($(xfJ?OfIc719q7uZXD#Fc_>rkmi1zV%B^X81hhe_bS?s#0GPG8C9d8DDMecs z=e>6d^z6vGl}D(KT1RlnaHM1B`k&X@Bp?bWV1dDpwc2$rwW$R0`xffty4BZAYgEq}t<4FozCJ+!Cw=IsJwCXvJ6+&)kKNH1DrW`Mc6vww;?Par{N{9^5 zL5{a4VrBV=MWPV#%UjmXb^A~bWye2gD>?A~U6u>Gn%y=L;?Q zEYqk~8r7xko2(FHQ;c$U#;N+&NQ2^+3h*oVD?%0f0s9Ik*_zb7JL60U7#u6Ij-#IG zlo5X{R*s)iI(guJLai(cX!`j*3UZB%7gkJ(22Lk7Pz9VgLc$1!+5$|SCiN?VMYt74 z4&+NGqiDCdl!K(PByT4grxa00zjDW%xB2`lG>w_ef?Jq5 z&9!t9U8hR)6-`>;*dH@>|1V}xs`lCYTrjkGAbLA@>o+A+a|YfBUB26O!A~;XR~9+V zm-@kv_Sy}oX(A^CMj{64wvv$<`T7&6Mq@3BUk5TGA zJAO$BQ~=m+w7#x;?wR|W3yJXh9Uh}&^!1#+iZ6r^9&{WO@@YUSmc)asJc8}pq!5-} z|GG8>s-@3I#`^5UJdQS|V*)OIMjEU<^vtiLeiRa9dpmJdrH9vnRj>JG5J~E302-fV z7_BNEt%(2nN~tr0NTGqbWaLZ2@0bEm%7c;TVyOHYWNJ4oY=sI72%&p#L2#9!=DX%i z9v%=k=ypGZsZ~&DY4ZJjP|UnOwRilzwouxBcpY= z+@XHZQjOwwFB8=<@A&iIiZMHc^E;TKSR=38^fJ2k_!$iEv1GL2e4esUgJaoV6C8GH zQnXMQoT4VZs6z2*+Gudh;pEi-zHtmXc3h6phJu&?OfV+|c9H#NfR!iKQg{7&x9tqr zDEiN*>H6v;GEQX>x@sdAi%tCdFRfdsqfTW~(tt9XIVZkEl&Fxf#PzMk87DRd#l3nJ^pn{gr6J<$D1q4FlF`babC0{O z;1(J#6hz$T^NFQ#2e!_z9@C5EV~3QVL)dkK?3yGsJ_uL-uXAwhs_os0VL=x$y4?&d zK$W~W5y_S&IDLT`CcM_#t;Z>Svv3)wkrRl_#WR$}1J=8rgm;xa=g7D(t@`NvtqJo}=#mKS*!+7?(9LlqQ?wgf*eQA-s}GNm ziRUFi^&y9lP^+f?Mb-Y#Apd2We^C=(_j*1K<4-cuLaKSk_3t%N5v8$r(4${vRF4aW zJMFbF&=+&#r23fTkVup%U2Ct@UpRz)W6jsj4yE$~gJ%#+8fnRl!uF2CvSJ#xW{q?X z{9`^OY!oC=&Fi#2@Gj8c>sl2rpHx>vKuWO&=koAyTyP*V@}qSf+U_Tx0O+C0?Mkc5-8#4p89H85vwkz_w#+Y;S;--N`K$3Igi;!8{-z2S z*O>_~1UxgltlQEYkAGzQ`XYBb;^76 z{ml5R)qt9(M^37Ju!q&^74Dw~M~+daao|DqrEa;zQGOrUjBG8H7$a3vgmQH0$Ppb# z!8rPh&KTw8OtmMmd<$TzsCF)C#qY%sIVtC|9rDjXCFYk^?g?pA?0nR(DKM-5RlQ|= z@gpfa#*B^A;YfJF8GHWC@vk@kaNDFT6)z$`%>vk|60m-P6kTJw6%-$FBU zNXMiU#oT%=x(wLc1D-4xKEK_Qin(|j@aIP(dWvVJBucy>RL~f8@?EJX&QH4M(YEKG zi?>~kd(+CGQw6%>?S;=TV`)(EHD;GxN8jOEXCP93Zr9nmwB#*35FekGd7hPTM8U{m z%PB;V9wgO{U`5sP-%4^${Bbm;Vfy+LC#g&^(k^vS1%16^AN>?|GFeJS%D#}}4XHzy zsvbf!C04V^h=?#!z%frD$C=@957Q#h=`!gm~Ry%n&W_;?EK6Rh_pQ5vM=_AS! z&6fp*DH_i9jp_q0k2#Ipfgy^+vv!H#-N$G1{_r`@EMo>XvkA>M~?|=YmgXy+@&rkZ5Yj~P>O+JvAftiUSZ!uEOp5`4 zq*Y|{0{-jf{ee$M-R7cYjBRJ@2>krg4lNxFEik`pS8`C<>~s?0HBvs3mY7KzHXUFM?d z8QxFMQ0d5oqpqMJG{S?4MeN2L8CrX)uG(<@=vP4YQ^Y~1Qc#?&UtT^1p$56R7~^bY zgc2wXOsu4s5OZ@28ye21`pBi)8QZ_JN#Gmuy6F_LHpn&KqnsdUuw67lafHMVGH4kB zy-^`(ABouDVte@+;ML{jW#aQS$#C+w8quq5%5$NXfo%yLA9d?7_(iIua}4PX)w+T2?KQBLp=~#lAu<_`h|K z#uU2{xOyeTA0*s{0)mIc8o3#i6dxI0e18{MqxxH4&DksRh=R2ME0!Ip+$jIN3}w2D zH+YmZAWSZ|7DCdopR&!CfPFufA@f>!=B5lc&H#~BB>KD(x=IYzt{JSLqSr_mmMYX}l+{;*gA<-rSC0TpE|nz}39tuR ziO%E4qzS-mBqd+UEJlSTHB+y5Sya-3m2OU{>Cj*0n;Kg{cp$)wg%r%yms!Pq0PYbw z!B|t>Vp&^7hH9^GvQHVTzRQB^x<;l&_i_Zf{m_EHY~Ts1MtriX7z>HmeDpYp%qn6h z*8q(EM&xQ&-}E&(zWOZgQv>jx;(3inmc7yprQgS|g`bbA8UFpyu??4>L&EQHnOALx zH7yXIX%H_DA%n{onb_(qavk+BULqX2TILA}kQ+RUw$wt+Z`gCRC1LL=Ncmbs{QUzs zIj=Be8Hh0#3Br`$x*al4#5hZqcYLrR3T2t<$H>mmdCu`P++J8{T6LPszp$?~h{9|! z9Gjac_iq>S;`01rcfK)HwKYkoM0FqEaYBV;0~U;mi4k4j*DP47_I`y#ya#9Ph4Ewo zzzXxw98gtM=)1#(;gM-GKVP$Mn`MtGnBEhQ0eQ{y)GX;Rn-_on$u)mtO6DFS zLZD1&OmxE6lnq8lLrT)?Tz1|6*>wWA`pZ72;ta8RG`Zjoat&((Lx@o{bS_H7o0W+HG$iV<*)~x-PX#dv>wSmp*lx9@k0)=S$Tjm)Bkx!zKSm<2 zpV<1-6{rlQm@_aG@Q(Y!D6ouplQo5n4>oQPfp&SP+p0S**(2`oK#4J1YjHfv$ht8d z%WkVreQzom9e(2Xvny(}|8CNk{TM4=AT;{PN~=2mdVw*b!yXizz(%gXsOlW;J&QZz z@%*S-Gxs)1U#kr74F(?V@xMQAot3|U7=65GlNE~&&lh(GijEqOZb2K8gwaS&mszK+ zpk)i)s61!tDC|~Wj!3`re~eMc z_r#zwm$$nl2@it%mfj1?!G$z!8Iy6h=&|XE2prr&nQQ^`&TbvV- zL;r4J{b(Tg@Zi!D=bFy{7J?GAc~^sD$g>(SYb2fj9%dCDclvSKX|9(`beX-4efss) zZIs2j$I->gN~uh&9S<&S56J_LY8u93O+*&$RN?D!akw?}Yon(*NRPZ!j+)Jcyoo%! z93o*kozQXmUtS-REpSxcl=8$1_&aMl3N~rNphlI6y>yX@hk7x$S~g>^PT_5!D3{!E z!^B8vdm=(h0a){Y_!w+=G7+ot6Wr085hd{2kok->G<9I;Gt}3o&ENk%r?r4YEm(Hp z)UfPeE~>*TGF5TK>8|4WI?BpC#Ex)2ed|1{uDqIC|NF}#!;;saT3WmY$J6e%!_njq zOU{H7Q8Tx(l}8T(G&HM{u(uRtbb*g{N-6~RFq35uy+2e17DoTJwVv%5^iu613Y9uP zW&ci`SJ&`Qa+xDO{jHc$ecfg0p%^z;vZrMx_W42pjTI!h%OHL18E2w$xw131vLdn7 zEJ#8YUEF7&qbHPS^2vVmE6D!S@>)sC{x3DdAlg_9a_}1C zEcQg9yl$^i`iDq9TC*zBPX1v|NLzX6r{W~YtJuOSMP6ng5N9@xk{DdiO#t5Mu~=sZkk=v}HDxpK2C(YKNb3lDTW6vBr!VdF2ij4zPUc`LnOp zeH1J%O#XSRtzy_-x4Zdb(wDaFY0Vr>YEG!g@3Xgmt^4@%Ot=#31r5KLPT|6?feGKp z9W{r{h5|0A6(mDLLM$ZSC5HJxc@?~OUWV;QGn12V zbl17+p8K8@Jv%=nWdciXOcLgPZJmV{?7T+`Ln=eP-!jvEA6MG7U{?xhMUhY{kn!4m zkmUqfp1S)NqsYWA3lhB50n=O?j62Sv7iv;RqZg#%4JxnQZoqe8VuTd9!ctI|X=L?^ zL4yX~qSx2gzh_ltvBv-yR=rxW)cpAu{o1=II+!XtUqGU?Z?8r3?xbFJGN0OAKgsiz zY=E)COv*fdkM*rst33pV@G#=#$Q?6G+BAA_Z)VoUY}INwnC0>9(C3!Bc~)W;&O5Qj zjfGlzDd*PXEeP(MP#!K)M@~t=c-yMB`;O?B3sg{G_`-e^;m50Liofxa6-FCm1|#e*5w z>|2wIE9Co6n0ONtm6_P2-2xIqOLkrVcNOSG%3fBKEy;y+Qr%C6B(+ew$OztQ=3|K` zpDajUc!d8oLAXUfrq=yN6=sa>MImZU3{hI-vVy0UuSsuo|2q-B% zD$!Ut=*81V_$Wx&NX|5ujk-hS#$VTZpJ6$>Q&JN1joe%bNtD&v)Ldo~MM5(={-4}G zfis{`cWV?QMv*+|rNklsr&q5=LTS>8>r=F$S}~SI7NGg8@Vqx-AM3pmxp8_$3~OzN&8 z4s;JBqk|RJU0Z(5;4*bm9dJ5AeMc-;kTE2O2Ff&+5T!sx_eTGEKkNeTiiKAZC%kSWt2uE_N1SR!%uKo`qwWGh)qr_}f$AW*lfP0-SwCL`iJI{Z4s&O^_lM&$k)OB{11MM0M z?JQfy{8ft@nPZbyQ6*z6D+{2&Z1_$r+m-R@I0D~-+;6>PAKZwv(UCunYTUzVw~YuO z6JGQA=kgRz%2ppi#TwxIbt87q5MBTz#g_e@D+J`D7FWU>8d9O+TBKa!kX#I%O{r14@&$6&DwjbHPnyl?-7K8rQ^bHo6f1prIyKp z2)JwI=H-UiI!qj=#7Y^wr)L;}_p`@1Ij$9Tzj>YGc{%y8T|<%H3M=@a z`R6sm6Qbq7qI5TK5repx_dNqkmtTG0i`LMO?}K=>3mv}BE?r9UoHM=@EM*tuVFEVu zm3#=2ZSP)%yn<6|fmS|Qwn>OeO$T1CjU5^>pwBG9Rfu~OtH>y`B~T*;SIko&o?a}wM>-m-lEP1 zNE#bM8ZTrdL|!n(f!!z3(pL;?GiN_d9yam6{MG$(KH6k{I@b5&FVK&GD>1`&V>&_l z3{~21ufW&GSNT5~$E?T0t=FFqd`(e~g|q9pg)s<1N!ie%&6L6?QBY0u_Jd(w%YsB- z>D8%7LS~M+?5b(#=#H%{@+}Tes-r5#C>W4an+BI|!?}RXDUrK!e40);my=aG6~$uw z%MEa{8u?d&jz3Ip%Cfh85K#!@Tpfz2_h0NQjAgv+8lW9ZO^lUwwa66<5eZ^Ax075# zY!34{FEAWFW5yG%9(o?HF;o-{E2a+_s?y@`P86d^IICdcyF!mMt=l@z&bis@Gm4G0 z0aiPtCQ$wF`+Sgjep0k!eS;-VAS})zl6_QpvQCJ6519JhCrWKr4f;X0nx|QyJqElA zWOuTxs)Oh-910tY2}@gYWZBr0{Y_mOm@un_)Ye_S)-ze)&JptxFv~BpG)b!#Tvc60 z@BV-%D#v4%)X1P9F*(*eXa}z_0g_E-8l^L-)uXVbv$>m(Uj{(>vyLDuHBL6pBr^rl z@Hk@fzg;S6a?qJsLsx`}EWw?HtvYsGjLaEDpZ%rE-|* zjUWD+pn4A*ZH?sh<6~$il6Dmur;df*h2(jGeoQ2bF73__zdB84Vl-2~oDO{EM(nb! z!;2srScx~1k*s36Smw{o61TuTRH_GLblwo_5Vwx@e~~56Sz2|6YrX1DRx-}$niUw; zj$OBs?U+J7v`FZ4N1KwuNvpn>3&Yau;O}9WO3excrDPxYCkq0UKD@Q}&YmDF!MP8T) zxjzHBoLH8wuEL0TO}znukxk>oPRS?r^3D(r#z|C3)IvHUP^xW?&qSe)-W%K?X%Rly zj8k*`pxke+TEZg#EP|K#^%#Kk)uI~P)Vjy^X4%8dZ-;Q=y_b?3w@vO_YGmF<1Dr>} zQKE=oIEyvdR?$s;M1nCC3ssWX(PPp0cD(zMt}x*6yv|SFefQ$mQK!HcQtA7NvhEjj zh3D}C^ifzPbvr6)Z2 z6WM)WM*b0L>Q#232=tMBsvtqGJDW37a9d99hn4z7zt)PPhzQox00Yzxy~GSUIHCrs z6sGL##+#ojQS1SGFky#s4ahpyV8y=A^P(4W;({NqGo5}}W}s?ms3KvqAfWbIIwL)$YPzeQv8m4#+Ws%0lYmPYz936URe%1pFHcfDPbfRa$ zR8?wJUvmQh?<_{sJ(^o2L}w!s%E(`^NE~Z|a+I%r-7}3G-Q($>D9Xs(ucm{1sPUPKq$pOdTNNbRlSBMi3XjLq) zF2SS4UU@39nLp!9_z^R% zQ_mYa=fe%HDZ>Z6jb^i~!Ea`nTo}4P#V?P(BUBbQzy%oR#ZW)s71|pJv1a*z6Mv=H zO#=+u-=8d`WSeLCb(h%pBUoA*`sSCEiIn|OWb&CZD8-Fp$11i0oDB_+R$l!gPG+hf zpR*7PM~x>7^G-A(WKU{gWnf@SpP!uP^hb7U0;e6R4>!$)sMiTzTVxge84(g=Hd?Ds ziyf&rQ4PKS{vK&kooqgzm1wznhvWe}ed$KV&FCULAfKDNa)@vvh`Xzqco}0rHFa@t zHy4VsW&Ppxts%r4GEiHGxDUV{2mp1?eWJ2wC5##mv_>%z;p0#jCF z5Q^1*hfuCg?%M6@Oc%4sM>Vwz#!_$`OuVw0(%_!gIq@fuuSdLlWpsGE1_joee5&wf zE7UGVlY0}=6JIFV=y4Et9-P5iBTRF+EUH5)0?4<`8Gqf4l%k7UBq$pl8hEeX-aK}@ zY7*kDy?Bswypoe6$5&q(UfcxS8u1Mp7sq?SIa@PZ28F^4(v+UB9DcJUQBg!{%FEb` z^oUl5uILMQuhg<-W@noX+pyLh9x9v8oYut>+X1i0YncYIWHRk0DBf^kRkCaQ#rLPX==L-ASh|>6AW~?$b&UBMXc52j;c*9Tsdzpa8%aZ`F8eKPoI8R z-U~|;atd~5KK8P`MY9PvP7Que`h30XAhql)U{P7XG3sq)mxu3&1?SWK*K_7_+jSdy z8(hC?=7uoLQRWLb8+$FH2+oLX&DPH}*;WRUs*2+T(d0GDlc5y~Mp1M4;o7moc1Yg4 zFHsDmX^9$C!(QN-I)mHAT|T?bEvuJ_%Qs(c7LLz{i*Rv{F~3v$71kN2cq)Ru5pMuO zFuc^3>4lChSK1Gdj8l$5RfVs7D!DPF_V)JH)+c;`^;?N`UqrcMGpLcRi>zK1EVOZL zpHBhIkCAb#An3Jabm2K8P=HJjCeO`dsy%P^FUx7olTTJ003KWyy@x~PwWKfm%91+G zC=Mr!GjOnS#;sMBnPXWi2VzD|AWJti@~8f{fw3pjkI~ZC!0sEu^;_wy68u%rFpXob z3Gg3w%XfuA>+_X1&+hx0ZZTou+cN3GRRUg#@Lwr>U~TY(da% zVRL*Pp(-Zh&5Ulh@4;viQg&V*6)o+bKbij@D3<;h@N7onH$TFoB0h)W5)rMdwDW#O zZH$e~Iz&arKTwds&0DYY#}C%F--$N%vTFuO)S{uf27K1?E>$5Fj1cc4o{qh>;P&Rz zT*2n%hGvIicW>{f_^e-jcg52dGn>|ORf7Q1DGB#~1u9yg=9E7K-7805Hjk@mjs`me zhiz;-S?soN%Gk0Ts4RjV15OE61OIJ$bHX8mjeGFgUkyM0;5Y+|KWV_W1hD~)|HIT- zhBY0(`+qdjiV^~XgmiaFN+S{i(v5U8dLYsz-5>%Y(mA@jyJ6DZun}WB`<-+C|LZ*2 zwI_S9@AdiI-@D$gcUeCIVI#+w%X#Fr8oQ!=a9edoUDjB)b=WUhc^~#CNvFX{7}5e< zidnPQI(~az%i0mpY_i~f?R`G+w5sxa62HUK5jDYn@qxXP3n${iSwte~mM921-EjGK zLy99IAgEbnZUy+&%(;@x#%A^3CsU2hzIkZ#@Iw4Eu*<&ELQ+Y-REg(l*Tm*^`rMV4 zkk|Qs^?7+#g}+9`wtvNF zI~9p3ZgkDit9*vV_@O)_QW-TCm=KL5;oqmxd`55K zBbB-hb^tFW0~DQ`DK}}x0EYd#qG9y9&bm|9!O1vsL>xOVDtSIDf+r4}P@L0Ax{oob z!JL$^(9E3Qa?9J{DO>7-&~jVcx#cqcdY>C{qk+7^k-F>Vx1A8#NBngP$o24tp7`EO zEB@!&*;UkWl+D}E+rRpI@lPtCh%ex0jpwtu21)NrLC6d7l2ENu(3WcFJ&pFD)B}F! z1-{g+w$%JO=YOLaTnId!5{%oDo-vT{yFhDR$h@gtoo*p1WWWukd*#MRXZ`|fCgs|+ zC;QFNA{=))l5vugjOy4jxq2 z(7Eh*H*))MhO%v_aqtnrg6e(3!em(w*yh1>Wu>QO{oDW8lLztN(t$uXVC(*JOj`R> zc`S;sgbfj}a~}WM*AJ)AUf&NNq-SbW1+Uj)R7X9WV&pTvO_k|{!a5J3m_BYnzJWGg z=7J`A!>8c$CXYX|C?2|5dP5Eu?S`cHwKUdO(WcXvZLo<;BrmwWX<>t3=njG7g8c)1 z7knE>7KJsa;sB=3Z1S?zX!KuOUD9x%+8Imb|JAnZ^n6qbx!zS zwkXS(+UU$I!pRaBH-al1==|21vI*grid3B!by6L+db^MB?*iYpAs^VKkfIdq!#zpZ^OIXQJ=F++n_r+sT#cL}+RGX>pnqbyXWTQ@EVLH>5o+NuVdRMA3e)}Qm`r%N0D*VBb* z{fW`qmuk?fVf^$eHN~)*g3!moh%$O2E{_S8SL)*&`JJxIU$og$-Fd9lr;aOm}g&7YzSHL{E!sR?RGmJ9~p`p^KY?!C@i z_qRd!Jv;rSE)R{u4g3$++&LV&-&{aQ@x`MGsfX(r^9H)VD0|`_=f7WbNsH&Frhe?B z(3{-oB7QdqDBkDmxPh|$Dv!!#$36FI9)dy^zgx6+*G<_511z&xv?==rz@4Oc@72Z8 zTnv(*@EcN?`U1dPAy|8>Fz`LE)-=98l0-XcMb}-Oi~OGL=6>G8h*xmMrahAo7S0Bq z2YHdIV>-l@Z}3Hzc5W#aykM5scXY7$6}}>7{#(FfeCeg!ss9Or zSnAg5vW5I*BjknmxFYS-F(y#IT7Yq*MQX}lcs9Xs)gwR>f9GXrXP zO!iKbo^A%^;MEg<74KOU=mEAP>J1nBQ`h5=ofuKeB5j??o>o>sE>Jh;hfw0R%3um^ zmp(K&n)ykx945f=*SMDfpsPpK-p+(ORonXkYwESn2v<7QDGDiWp$yXv563fM(yDH( zX**FgFOaA%8w4HHG>^nFn0(j#2fs?8(!=Ss+WNO7?PA@q(InEz)8I(> ziitor(tSdV{_eX(Y=$rkXPa}PD<`JPlpV_ux`LwL52?Rk5>1tIkFAqiMMs9`XIbnQ zskAzObx>?V$DLER=+@K<;AtF}L%JWVnT_Q%?W z4G82zi13#u)(bO#JoeyEWEOP4>10_^jf&n98&2?@%^LTAW`nKoZ@@STTK+2Vi^Z?M z#%xa{ep}?dD{8Rqw3@^y>U*_wb>;5ja?XCoZQ~4HHKZJa7pg71)7=_F9?BW>_Gh*V z%s1HqZ@b0AV^b|l^s2_d?-cMRp7F;)!&IK5Eex-zxLbD!uFyi$+Q)DK@7(EaOJ0kI z|9W(x7V#}sFkNq$pKWVOD_@w+hCxptCicwGL+vtKW-9-IXf=u>LO{Jmt7vZy2C2fM ztR>S#c}df>(D>3BH7h{h!OGw%Kk)3Wg>79?1K!V1ES^=B|HDv1ZCwh#6Pt^4^o-~v zWBHiG?6+GgmmB+5u^N+!p7B0VFYiIVKGElq&7Balc_HA9uGn~0hI``&2@zrv`u)Ri zd3oTu z_?uX~qvCm{L3^iS@2j;amw-{jwAqux^nHb=Zamw%7>C|aNq-zLlZDn$=`wWZumSa+ z4Z8beplU-k>gPrCS7OON@;p6ovM$p=KZEy@3qbyR(pbNYkjyVmkld!^l>zKzgF8v= zildCc=dfp9XRPDWd=O>C?EYu4qWM-|QPP%+%W%9R_kl$^CjGyw<|MB1imUnE;k!%bn?nM!F1J{e`dWM0s}dt zWl!xy+$Nr3Oc>9GISo%H9UERf*L1Fn@&mGQ8{~^#E+U%pG7V~!`M_U3rqJg~E!g}T zYf)HzDNExhk-PEGM6&IB>##K==pu#%y^a}ZK z0Ij+(IksxZ6S5qQwV!4W7lW{Mn4ovb7yr4QDWe@KoZU{euW+nLjH0_}?%eW^Ue~K= zT!r^_ZOi@<&Ohq3P{q}WP0{t`Zqw_CUQB0$dzs%K)k#_^w$JD)#`5_?2or|e*ZFk7jV^m= zXlRK>{zUD`?M4P;6w2ACZ867(oXcpb*@HP)A+X)VaC*d{-^rm)I@yDctbbzpWtT-E zqJJ=NW(4wH%=K|o&J@;Y=-@Cu83-+qY#mH$YV_DYKz-AS;kT_+2w4l#RfWg*cOXI%r!Tci9i6-pC#AP5StUZB3m){FSEbAJp z+O@2If$yOjf=LeHPknWCXWPxeGW+Hi-!eejMCv2816UTJC2MA(n zleo<*;9B=o?|slE@V!^R{(T+DpE%eBzOSJhV=`eszq;(|f?}R}4CYJm!n8Ys-0P*< zTBZ;QQUNy@E|ia*h^I?@lwNb=U!1E%%eR~VUwA_>Mci`fPKln)97Z!YXT^xs(0kXp zypr!*;=p>&ueoue!JWQ+Hjknut1om1C=yZh%tD z5}mD`VQJc+O>M2nI!VTSGoe|`{*v}`J;}Iqaqp4Ml0kgH@elQ^(M*7^^VM&}rTysI zv^X!>%kNFBgBG3aF=tBO{eQVAKFJKB*>JsL8DB?T{U=k3KiKC%i-rpZ&|%W_ ziusR>&J(Lbr+Feg!u*Tx1^%>GgPM3iZm)H*aj+M&H~}v)Rozao)XMo%)hjtqU(*VG zSB=3hfTqxL39ke)Jnre*=KCMctwI98Z)sKu%y3+qmkkoq)BLT$>uKN%hnU2g@NWt&0~0^665$~!>A#Twdo|ni3vb% zo6VhL3KK{m{yu;wlsAyyVbJ*`eJ(vO<_vwJIAJF?sTLo6UE;j2v|09c8+Ry{UsvI=$8WVSiZEaTVtwjm z@qKJ0wOKc*IV$m6WZTyKVhS5vFr97UMa@+ir43$x$BI3tD%l;OagUnXzrJSyH`*Er zr_HoFQ#1CjdD?lY%@(_HUjiyZgYh&myJWJ)e`_7h@91rKHt3e!-rhdf%=%qbfK4hJ2kzlTSl5O;c9xz{~gLyZ`)j$0?7)Wx{!?6vZ0h-maL ztp+LFm|_cF^}5v5Vn(M#t+vuCrrH7AuIOod(iHN0bBZVtT{c|VZ#!C5bhw3oGGerK z^>kO<)Bx;1?%OtZ%w?oVw|FpGAm&B@0p3hJBkb>_=Ba(d_`;2IS06ryx;8 zv2NMA$0W)>{p9|{R_YOcJxPuicK%R#?gx3?fF&jb^ciHEawEdcY(x=h!U<1(;IqJ+ z{$1q02KOu3+X5IWV;slmygnRetcw7q;)gseL*Azr)!MeX4t8(Q1|iRY8}DzxL5StB zc}BdHl7mIWG$-)}uRtp`2I^8~6Ni|r#~br;kNTIO^ZNi_|V{*0Gb<8Ie@pA6|Z|4(RItBcXdH8`xgTW>x1Uzr_> z?to+tb|?Mi*&`=k=><8SOUFdZcxI+myXiz~TM?7`qMAgUjB_z3*6^4ooFZ~OJKjk? zG|`bnV5f^-Epw17am=blefx5AgQizbkXf^oPiP6}=gX7<>~_^_C#{zu_WKilCXeR< z$E)mN06?QU-Kf^)BN6q=1X_4FAj77xQ$OIbJ>or-IU(+hvS~L>ZYwK~?XQSK*-d!C z)jv*=`c6|zDuBqW-X~%dI)2A4%rrp?L8}4GOhm3)^Vr1Hdh4ba=q}e9+l|!f~Ol9ny@li1ZW5@q(h)-3>7wy zOZ?o4Ocomco8d_=*I!M=Pi~N_m=?!Y&1r~7Vwz^;K!|JTuZLc4HRL|h%`S)udowLi zvJ+k?j5tR6?QIiJo=|g|WV+m@KY=d62|>Ntm7>{sV$BbvA zCVdk&^sInvnL|KiuwPl2`+b~mk8=ay-<79U)Je_d4?3y+OgF;^6$ zHjRVMaR$CA={=4px7PSW&T=h#mD40)GneZTuy6N`tInXyesbZ3b|HSrr!{TjajtR{ zBosc>pFCUs>^uCKrg(fPUDI`CEBmf{lJ$at#Std|3pCe>Fd6BhN~0D5u*kmMg28?u zEgH^#WMouM1DzPE0dg_q`ht1Dzy{rotBuLxxu(Gfa{*C7-`k_lmTJzC9(3m3KQB-H zJ1#O45c{Tgm*asiz}fix>65g`8U9J?*4wGPu=_9RM;j_$p7r)l0~3~dbJQ(uq9+Pk zjHLX$&;IpY!{-&oMddh5!!NnXVpLUCi6w8Qc1P2JCri9iQplTGjn2pQbFNesH)>=Y zedkJ4;ACzupkseOzlJ{b8>}xXb)!~l?ihtv>mUZf_>%{4amO3)(;>j#gn;Wa0q%Xf4NCTKr&g}@NUwz>achH?;V;ARgO+gzhO=YVs6AmR=ODgpF9eMFvmtcL#*mfq9*UpN@5 zhU-5jMUGreM$GG&v|!J>==kWLE><< zmJ6(xjgK-*8C8-^lxO+O_VRe}w4orVQy6bTAbCmm=lE*ICovSQU-ZyQi#3!^#e zudA7KN}Llb9>U?EqkBH$PvAIG`)9T}c*to*=jx}`ryy75KeIEWYCEEDZ3&X2qW%1w z5pz-Lc;*E*l!R$)m~~C6L?9F8!{YmZZZ#my5q=;d3VEZ|@CV{I z`VZXc1YZb5`IBXqY>)Xy
    l$HWH~GE5H5J37ZA06OaeN`1SHd>#+YdK1o6z&uBUy zwZVbwQoioaOwT0V96bwyl{kyH)z#ML5$QZx6r~>@rbA+PZ#^GB-K-){bO8`(oyH{B zAoAgy8u18e^LG|S9Q5*&GE5)aC1xCK8C{ESyhmJ1`QUbe5VNZLzhBEYxdCteA?*zO zt(}kLd75g?nEy=S3#|J)s1ywX->VUKIq*jvg8*;QHz$|ZI9Hio6-&P}A3H%?zl9+J z7t6L}h?6MMV=GjCM+@5TFnzkr4myC)f=+gKFGDUy@e5zqP*UM`!q&wjU6FB5tJsD2 z^H$YI_&;8QSrxtxIwRv}h}(c$dLa0381lO09l3#_X`p(kET|udM1?D&;IyGynDDOb z8>n^G+r2o*aXiI$?=|eoRw1VkdEtmI3}R|gcg)~@UsGOuQ~6qsy69OB23$Mr90tq& zy|#|?h>GtO@;_^7KcoH7{g_$(;!VdTU9hc5Q`2iO@j2v41KAzX$YZ*AF`WR9czPs0 z6K{eTr0++@DAi3b3B5-=L12Ll3=CAvpN0+D*ex7#7sS+O8I|*WAC_F;169@&&X{2PG&>_F>!AhKw!h>tiJSt0B!fxr_JHdr0Bi}1h3gJTFAIy1ysms5Eep;I zxnDDVC#$WUI!9lOvaCK#bU>{VetosKXH%cGZ?LZr96&vbK8m`I4#e(unh;;-h6ssF zp%b9(I=(;(v2}B2rW5bUmGp`ZTystl9h++LCp+q17hsq3$Fk=o4VK_Cz-iumOrz8XQPcQVWuh=41w(q4VLzM zQ=l4!%;55cIu~U6APTIjQeX-Gf5HwV;q-XsnMG*A!9i<4Sa9EDwxH%lkIQy~g~d0I z1u+H=qRK)d(qWJ3FVxEf@51bU7&9-@Et;)Lry=d=9ct~^W`j$+=lbRxTgZg<)Qw66 zc->aMmWXTkB!Y^XrLje<=)tm0FIwTO~@MTwV@ucQTnZIMg%-*&f;z^Gx1S zk*PBO-U~X`K<4NVB2`|GkiuO<1xvg=ui&cKIB z@)DqGF+}<8Ev{V%&a)IBz?WJD(XwHZ9E$xcyOwvqGllfF6#~88D@)e=N5{dpw}i!| z(k~OSs0)())d1am)@tuyJ&rsMXP{fV2qFEI`}rN<`@mZ;V(Vz}DVZ7Zxc?jK#4hQ& zIyGaE1xdHn&EV}!g2Rd5WKnRzLy8d8$T!;*dE2KO7JBJzHBO0V+*NHlL~ z(~!3g^!!7ol)}j6a71_SJakk&%ym?qo$a#oi-bcct?m-ADW?Hyn0&>E(@{E%6@5k9^ zaPZ{EpdD?!&TCVeN83(szMK2=fTxN3c@f*>tvSCe?(v3>r_MQ>bt{(LuDl#d?Q{SU(kE&Z* zUVoU@o6CPu=HA??Mah!>7_*CDIbwaKs1kM>BD}ULj`{QFaG#wgVMzX!wUZd22D=kJcaDJm>L#uS>@nO%2429BfJ=JZ$g zXz8S^;RX&5ry3gQBGrX!u}*bP7~|rK_@y_U!soZQ|C!L zFvmpES28Fsvw8RQ9BN#lTgLSzt!yXWsrvA@$WndZ902VFE~YF#~$2HHnz=UeOBJD=LEZSo+rK)ocVVWGYN5Z#7lVEMXUD{R8a!*(KPKWA{xwK&js zTyYYg{`wni{jl{H!+B#y6`8@;f-th6^l7c2hHB{`rh=fJWO~lXuIC|99QeQPd6>N! z1$)0XE)2bziLd=$BpsUkAYwtnlt+tcX!}(fmxOK)gFcf&ww8>V>RUrNT4T=mj<$-> z$nF#iH{|Td%*IbFdE)n#odZ?BP($GP(7#{M+t7Ay5tt5u*LC~UaJ0}rI!tKPnSuo; z{`@yoBV7nR*J+(-m*MA3?6_yCkmN48UyHx)E05FLfxDd>eN$NN3L=!Wu+Bmgq{!9& zE**nJuMC6iw-MOb>2M?7GYE^Wj?zk=NYjRkTGKhAjBH#tqC}MKcino5(;U5hi55e& z{d|Y4;X;w4jz=+8HOR4E)Y$YXb^~#C){GIs7!m6khw1*Z)gETmyp(9dE5bs+>rt6u zQsbOiuI^h2cJZdpy^2=NpU#Gk*9XkI7cZRNu#bikvGgA-K&UV zg>^Y6tRxz2>w{L)maiAVFt58}gA;1SIv&Hg_ZNSY0d2e6#3{!Qv7h^u3<2N1R=yb5 z{Z;ub2J2auG;oCC%o&F2b7D-PXr+N4Lx*l&wlBW7Efs=|D49LfD!mzZ3G%SA+CjCTs&vZ|ns<-Z`uq_mtPvPu z8E=)I$B4wg&wJHePTM~{w?l1+Mfvoo=qI_WV}HB6to1JU;Zw~gCu|Rox-ds`Z*Qn3 zluuZYm;e3NkO%RsV473=G~Fk$+>QGCAPCHOP;deFg)Cn2+}fJa`+UQ8iw_joWGA@h zu?4;pF}NhM1`eDaFF%Z}E$FsAp81Cp5f*E)_7b2Q9zO;(8`0kO?Hi2({ZJ=w8h6M?tHh3)0nQKBCv|fb738kGX zA~YLbi5HvM_~Zctl5%5tXJ=<^^8=xx`#E)ik5Ep-Mo&*q>g1&>32uU3{s|F=#5-%g zWzs#Ob0^r@>Sw?Hi1R>X*+R$u-}&=q`&%iHB`m#4{3X?XV*k~G`%1E#W3t|x=P8UF zJ8=>pzm6~0W0*C*SoM|J+I}*&ys!1}Uv-~I4{|?%R@7;%Pu*saS#s7-$>O6v=sOMW zax>&;-h8@z?!khl^h4RPJ@U`8=JPFv3#;s!YjcbR} z{m`xw6t8WcY^sNWK%y(HUk_QSusO}W^k6#|b6b`1pF^M2XG$uJ%Bfz<^?GqmwO{pk z*<$Uk1g;VnN0*joqgaokLB$v>=rp#uNlU|n^UL0Y=QlYMXGGKMXmoSD_T$X?ZSfov zMspz_PJ^j=FZ(XOlj>*~Oe5;G9|hDVXRL?6c;u)xd%JGWgls^erOWjhAywqCsvoab zcHr><2TF9 zDPL@bS<-tMX`WvFYAgz(-nX?V`kS?ufaU2oaVk~ZXE+OZJopX`HV#Hw3NghP8118J z@T1@2TFW|9rz>|M>xUlK%>f#yN-Tc#RVofE#%hs8AEoJ5^c%tLquMe3iIb|PYtUM@7 zs(O)v0csSf;n+W*JL}^6WL|fV#Gk=5e{woI)`7)BgOynX7Oj66Ze#0OEuDaG{t`ip z>NmA+BR`IL?OD<%`F?6Bpek4vHUQ0dq@#%lEK3+9E#QtPoq4*nf`RpwoaEXQZ5Xs3 z?<<_w1fFuP{nMepU3^`CAKwjXRt`Fl?F*H2A#TowpCbO6wg(+FOd9)*)WR`P&8tX# zKBnwah52xkmE?y*dLx+`eQDjh*hr0lu$nRW=kA8GVc8N>q$rbduDsC)JYL8MpvLK9E^ZmPPFU0-+`BKexiPV!_l8)<`L%QSs zg_A3N<~Pb-_6L68X@>uX|5?Da_5&oK|Ee=+_-``y_4(ag=n8eHo`u36PQc{z&diNrtSvXIT?)nZ(3roqle##L;7b2AN$J>z;ii1 z%_Pl#u0ZP)>JRSchzoo1v3aKHxElqswRvqmrq+?4ruBLB zGf#8RtY-6<*^cjMQq}jB?f%fVtyFH>lT%B;H~Zbg^QUw0-OM%iq$C8rZnlsLQ`*Uk7`bev+)pb)2vgj~jfi+PvzNei`38G$(7i#UA!(8io{ zD+y!vRP^M(fVJkk`egUlky+!l%EjwO29uQRgrb->Sjx#VLqF?!m{ zdUImRylJG_hCFOlFX*ZqaWtv=&$=`PEIV*fNr+50*-M2YsFQ8XES=p z?Z9E|l08`f7<6S((Omd%Lg$wh5;l%XOvr%6Y;h~SLU%l+J=?sN2*OWQV}QF7mvgL5 z8ofM&ss)XIm8-7-ccTe#vvErDj>}r6+r6lO>eJQvw8(dvLKESTSH?lP67XlWUs_KGAYPtOh~ z_OE0LOO2RWA7ToXs4%2iZ8v&j5`yd|XXO}Kc)RRTUPPa5Z3_wu8KUV2fy?i9lXTcp ze<`(AvKNVD9q=fSui%v}=1aP}!Y&OE5L3h&dzIgZx{aKy(sWh+lDyg$Ef>U%9*la4 z_Vu9>aUZW*XyNN@g_Tr1p+6{q<4UP*IcUQpKhPC2k?J5UkmMO|XETi6{eD~C zIchX_nyv6g23@P7RPWXnpq5HMd9R+~viQ8%9@EUu)Byf)D3I*2xr#XME;yICu5*#v zK3PP%|9;W1yOy>Z_@8V8m2zB_g+Y9;*jd%A#-~WQlt@&iLj*`8Wxh$65)w|LunHYK z_IvJj`ug||juztXHze_x&*yq{?cR$fWiIo)RQi^oR1|PN)h)M5L|A27OVQO)Q&W>T zt*ys}WwyNplb|tDGq`zx)N0 zM6UJIC^p`t|H{Cuh5`0ZolNECRC^BzS;Y-Ep`4DtCG8pRyVQ=ce`QPbl#Km0>@`C! zX6oFm2MaKoD?|Kro)s=BBT#63I*c-Pv$stgn!i!9hHY!_djpb$*M`;SoShojof= z99iFGtzq&?!;5?sACMj~*t0y+!XL9=soyFU*&9jCbX{53#JsIuun}8l1pL5Ok0;B| zk<5fuVY$Fq5NjDn!u71L+_LPuB6`}AwBl?}rQkr|qh^ zfIiwDc@D_N?D8s6BhL8Hkk8y zY(xC9SS9IT&9s68&46Z6!w*?jW<0q`48=|!^+<~~*@PMAkNXd5E#36G_&8)uO_ zp|GOBUA8T@}IHLA?UD7TM+F;dX7vRR8p>rQF~(w^1tqNR6IMNnMjoLG`WIlyYGkY+ z>dnx2HfMc2va;r9ws?22bYJ%I==caxSjX**j$`}#ycuX~_)bbfAZULBK7goHU8vw+ z_&7e{Oasc8lgG zuIBwaTCe|wWM(2PeouKpS2`#%vMbhrFnP|C&ZmwjLI->dJ6a4HbIy*_?x*BgtFEYZ zb&nQ_T1yC&(ssLU!f+mvx^7C;GZaa2fi)DIF%)cGPyd5Qv(WJ^o92%83NV0`k(C&8 zJUqqnOJ8+-l9)o>7obv0JY%Ar0Q#bvx{@a8!a?=(eSFck>nzbt_` zJl-YqW25)z^KgV>ey1~MeYb%5wAxYJiWk&SAa&JXEWcD+RqOF5O|@*p8)WsMWS6iV z=`Q&(l8qJDvxqu5G7VZxx^f^)%G|87Gkav((Yy}=3AK4EbIm}-h2w&bpKLjgN9VFu zL_fM24Cvu7XU*=qy6Hs&m{@1vBMEEbdT}@{T0jtV*v*mDvQ}0X?JlC~B+{Z4Snq~B zOVYBibMgD_Ui5RuY9Dnj^p-r!6A1&%6U$$;*w&gFL-N}g4}2Kq4EZ`fVmGxTx884as2 zd*!wV`eeljHrcKumf_7iQCk5Y&I2HW8@CI3eV4ud&2@PT1Sh%yvu%6JhJ3R z3$DpD+wcBc_|;AC=xxfO+z@wze-onFfw*H&G!%*Wt&M;~gdweEMMe6pjE^CD`JTsV znY0^XT$ueU6FT%Z-YI4_z1MjOD;NKy&p^%;EjweCwz;#{Y3zE4eHb*amczy1MDPEk zIqS;(J!7W0Lq&V)B<1L=(pT{EP^`oP{o@SI*Kq)XNjFRx+_y^ljb?eoKlAg$m_$!_ z7F1-kRNjW6bk-S>0CNI|Qvum8IJ<8N;mvH{^wBf2>|$f%sW?-yWk47J5R(_SupymD}cn zRghorf~3yIP6K}(4F?ZS#wHtS z^f|ET`)1+~%=QZs1tleO(K8)C8m|AD{{z%iZk1YX1eID6E(e@6^(-3+Zd)G4Pn=yY zuK=Bw)*&US+Y{lX%_7vs#8# zC97Q+(}#0B7FtsBn|Gn(b*U6QPHVh$FF z{M`nhJboS$iJJ;II(nk<1sDF~I#YQTa#z3l=$5|$cBt*&EHPj1N5W1{rXK^Wpu9xoTETU2%>U_ck+njTytg;V_8$y>O zww%6~Ofje+m|McdP2gEl%bKboRaEuS|3w!$C_ss7b$*ZYNbOljL}o>iq?cJ+jZ1k) z8~1FU2eG=49>)lYdF@L!nJPc%3jpk#3v8WGK~5rHY!5Fg0Rox=kH`M@e_{PoHcplG z)q7`sQNs2j-2YwF9xuka|H+9*fhNP*cGx|J1utU#>}2l7&)YWEz+ur zj`^IMN5#Owfz*y7)Qq%+0I+F3`OZ*WSTbln`~BR;vj0AJP_@e<#_;~=Vjgq?1|M(U z&x5t+uVtuMd*sD(AaC};I&2{~(Xw*CbLensk_g@vl&6wJzm=_c7F}Vk6$Z^Sus-u~ zB9p!A0IfQKcdze_xX3YOW`=C)e0!=55=d+In(c2&(g_iV)}g{uJp8=mM(zrF{u@s- zUJ;EaRaV+;n`)i#GeTAkuJcjG^Thv@OtzpVkg|qf<7+}_5dtyK{Q31mQ8#rktRrCj z6*>3oTq4Bd3dVh`M_X7<2N9A~YN^$p&g0T9mpJHhrp$$M6eX>&^+vmcaw}R`qMbQk z3k9CB#xv6Mr6IQBd7c|_oJ&Gaiq_@4r?3YENa8MbH4chv~_91 zlxpu7RX=FG=>7JcM622|QKytwdGH|P`%R#m8S?GsP^>9QQHs_z)Wo}E;PN6MStc!x zZp5YzVCY~`SP>tUsmz-qh@Rrzwsz;KpEw@a%YQ$Cn3_ooWB^JvQOVkPhzcn@SEClw zVw5Gq#w1mGURMneiMEspGVBokE0034k7~8+bCB_~I?M)xJ~kQyKf4?hd6X+@lCz2YxaBW1Ylg27o2=uN zuHwtjb$|1J6l^TGSRG{hB{n}6&2r4Dp6rY_a$1Q~2X+)oiSomi$0<>tpIgN<)+Q|k zZ*(57&FV>=-h5U!Ls}i4RTLVH)|YxxG*y1(8zjJ?bNHuXcXYd3*1{#`P#RZp{tWw_ zMTftCAS~}tq1{IA*Ob`%L_O8kaeSe2Q{%Cd{8FclHrTJ^6;k?->>gu2~x_|3Dk4!jU_6F%Vd^;5l+8KJoB(P1~PdWQlMN z!9O|E^?3sIM#D7w%LBD)q?w>*^eJr_ZI)xDLC&f3^{?%4!^ETvjt|8KIWH*?$A%hc z7u{7SYJAP{&0G`GF0IH+2dJsr?J?*3Nm^DnNUvDlkhfnvd-(mk-`KTqmEk)Q z-tOQGk$Y*hu_DULfFJlCNdz8C-$r?;R@JQMrV2taLYdp2B$2hY`;CX0&y;wZ?AN1< zF$xQdt1H8P47O^iuob81sHiA27BP^1O3EA?JmMw-2gJhON^VhLQ(kF!0~{DJw6^;wF)(u;`(b$F{Y`#tgl^@oL>#FH2&dCDSxlK321RpuduE z8BODKqo#doPO1IG^6$AXmLk8!@FFA4i)awCSm8dFUoS2XDGY2o6IB(ibXu+cjq1k3 zcZi{&O=cXN7VSLt%2p4)c;DSEf?zGq4zJNMBqG3P_UvkAqy9M3KwDM0od#i6#j?0{ ze4cf3eDU#1UVhm?!j|B0tgM2aCGx})*7>j;Iw?~2Xh+q09MbWyP%~-l|8Gj(!_H-o zeUVno_lx;Yc55;M4hcny-bILDvmLOMbKi4k-M;YhH*yKS$F5sWGq&zJ$;cmsELBa5 zM_w>jg#FKu{C>VCiYGrq_^H;6j?!McHoCk^c^oS=?#D>h5RZR{i@u^Jhgq1?UkwU6 zdK*>w0R6iUfI26XUvWlegU~li#p;?qj<6dE=Ko^qEu-4(qAlDY#T|-M+}#2MmjXeH z6n8ByMT$#-0>#}a#fpU>!L_&-cPLQY3KR`;^PO|YJ@?{U7SeB_3=i>%Ef)Ns#v)QA;pIihG})kx;Tq?*J& z&r8>lvZ&$SsuV;fzoIMtLlJbdRMu;0Dz02UD}Y1!YA%q^AQ^ zSh7|U_u=uzE;TC?b6@JdIfz46#ba<9d5|SRXdUcj%j1}Mu$X0F5LFpTq*)_tnA{H=}h|f=yHBir4Y${1mg?+*na3f~4qd$*9H^DBf2GkKVyj2c#BU zaz`V#x?(%BS%~R%f>lv1+xn8+QE0uYf4lx`K|n{#-c_ERG2O*ud11T#hn4ihrtO)_ zlL)0OoZOXh7tsJy`h70b8B>towO~PT82(*>QN7pnXla$oYBNKKIhMZPcg10zq>_6$UIMd^RkR3k3u!MS`W>dc$R?SZG z=k}S{Nr_u+Z8OFXakMKy{^|wp!@$dXdnW0828q+{tCU)N4JCbZ-;d72+A0`mm_#a| zgyZr@ubfw55>pfC>b6#zm_;?OlE!x5R2->%f(#w4E_>uPH8u4WDrlj~h^Wq7lBL(!9*OGaKr;`@vpAa}sr9(J+@OaVIqFaSM%L zee>syfHyu^0_^iz%JCCXLu(a`70rF;2rr7m)jchK$bC5@X5Bo7y78pxT0Sg}*Gz=+ zc&pg$`t1ASa>jF&rt4VAoQyAUrlUhz+AQ|vQM&2RjH8Dy@L6&y(57l#w|$;4)U$Qv z$k+Hk2hV>}87nzCs*Kl-{d&HvNv3uiQ>Q_sGcLceok0cy9=lA53FeZqXo}ijw2LGV zI(NmFK~AwmvaFc~u_WWn#ze+ulZQt#YcQ6LD2+Ulvv$~BM(&6Lo9tP2eI&&$YP;BO6`Trwoa{@1LghMR_HX{ zj$t1q$NFgtdwQ}|q@HrJs$ZAo0Cf*}mE>*!giMHPfv(VnQD66nD7-o$Vv>#28HZCz zG{FK2bXat1f;XoRD%lOfAKKA1M?M8PX!R`f*+=O5%a0VB7fqsG=UP5B+`MIz=>Hz!DT;rP83)AsmLo#pfiHTIvTN=t_44iMF zb`tGpe`GUJQGC~Ze9g^o+roOwMkZ`@Qgw99czg$s<|R$@4e3^ArIu4$uM&)>#NpJX zJFJBt_hjtxLp@8n|Hc=h$uj4UW@UjzS$vj7uRbQd989h{wz3 zoQ2z-AtNZ(@p6OgcKH?B|Jp(}{;T<=j`IpsCr|3fo89uCCe@2KU*->FG*wgtqD+|| zK##o}|AGQk(sRrfGS3`t5i+(O?WT(4&RCH3^=IPvt3_XBSw!pf@;JAF_%_f|Dv?5B z3VSVX?a7q0_&dL?&Gn~Id!E+cX*a47=1J$n?FEcjhWl<(%`R63mtT1fyAK*QkbM&8g1zAVFKc{hQ=+YnATxoW z(e%1K#C!X(un^Ci%#=Op-O6Xl!(7^|)@KNq03XN3ZOyBMxq#E2<6<+&60S3OGFtv# zKlk=PJCap`ByJh&5NiwBV&d|SBbOMD)ER&wL!cqiO@l@v%OIxkLGYCf#ywlQM@-2< zS*~k}_MT^}5?Kg;Lz*^7B}btl#qxvuIMms)#xB-Ch=N|3PZi2cP>qTL6)OD5WjSor zl3=sv-(XaesmzB-(2-^6`G-wOhB?X$wJK9bVx&Wl~woBN_iblnxk6dUotBSO& zE@4Q5MP;XwUCOlxq$VvWVqPKEYXMitjUl?<*%;VM>Fg4I4wIWg^wK;dNIa;^C0-F2 zAjg7{m<))WOZbH)UE@fRD|GGJwob^iZ!A#h1(NIPyr}iXl7a0v>QKxyFPx$A3~+D# zzJGdUK|$6xQDLnDPM=2b6uTF0HRy2e)cE}6NWc5xf1`0}KF|I^?P-y6TdL*{M4@MA zu7Ck6!q5ubM2SxmGY!w8Khy+XHgY#_|9xwxS_tn|NV}6~5wX=50nswt1}xzw#(lAE z{o)2)nHzg(sBQm#oYl_xeus8Vzz&n5P;cLoYwu7i%*IrKkn~o%Llx}P=>_JWR&C>n z{&n`fhK3F!93HUoN-P*+NU`ZX%L>Q~9;B$D_`kupLaq_hD^xSZ(wP)w+jul~A7Lvr zLM}QRD=7xLQWAD);@l;ctHoEBoc#EVp+)4#YU3G9)a?7VwrO!|NGxbn#19FQzB}M@ z-gB`^vD5BI`IATW5PokPT_d%wU?IV;UAhkKWc$}XFWLAsgU;wT& zWq9PLKZE>>9;KJoI_Z3RtxSRF^Nt_6>hrFzy2cmMLz8|nocao|8xYYEjfQ7g(_3K} zkjBPa7|=87rI)ohU4@0GnC6?NkhgilQLg3XH9|tn>0LraaZVOK>)bkbwsU7rmX|jA zUoA|KncwLG@XsXfddgh#TmOxptc9VVe;HySy}qq6I@82Uq+M!t+gY}soYXMAO{KlL z;Q<}T3EH!;zFG1*ZwmCdK1v_U;5z5o`=Y3{l)jL(4-d~XDn$Y?(eP5~l^1-t#Ey)+ zdggUShqiFq(vAwkZ1blN_l%#9!xB1H*Y_Oz^4~U7>c_pcQDJ)d^6CWg!B|Crnjy|`BP-cQN3r=B!hVn2=W82Wm)A!$_#A@)a9(zN%1?(W~jBfsdqv(x5? zJN@ssIICRymZh`|?gnK$xl*-1Ebwop1Hyli?>$fIj;3lkCoYmRA5NY&h`1C?mg;J@ zhb-~eBx%L}>e%gmGhCi8s7Ka)|23KS@lzM`!li}g(UI{`*Y#NP^W@x0*hzeDS@5UT zCFJf6S`NUomAL76(gq+ilsp|;F(6~~y+X6G zPYqc&2k=uASS^7f9R$Omo1vvt+6-rY-4t*qQ40%Kl$O@WteEL>goG-It zkOGZDYJnJYOblq9v?S2gD=9M|qbi4k>Fh%3QwYGa)eRqh9Gzao%?Nm*?1_^-*E54MaO?5 zG{}UyS=;B-@E;uEbhUZ^>V7J4PY|G8F}K+Le68Pklztnyg9~?ik(*s;0$lx%GHh+@@Ez`)=*qnu| z91*`^=n{bG=8P6HuFml)6P3bAtcjWeJKP?u*VnR^B?wH)m|@@aC2`tm;lSy0WE|eb zjtu1akx>onmopHE0#`bNjH`qh1nP9c(kYsiGs5jA+z;A#7zY#|60GCu7C7E&V-isx z|AMj%EZ8-AI9~hn==cLBQgehAGWNDiD$Q`*H2)}b~Xbl)n z=EI=30i`$~ zoif!HZ|Qu_iS#{{j4xl5l*sUL-dZ+fU90R;9NxuO zo(Y#Bh*mq{#M|CmLbJke+$ zzM>{h*BxxB=hX~OE&cL-ez4AzJfrPvcBM95@lC;tND@0OF1nJ)m{$BfvJqx~30);a>YF1VZy&)jHrarIf#3&Y% zl&gnS<|jEHM*p5Wm*;m6jWtxJEW^;Woh&*lcb?j^KY=QYm|BRpyS-gNKma&4VkAYI z=yUI&5xat~rU=#eif^fSrpAQnBe|Qu9PgLN48VyP=(TYs~CcT1l z|qtpb5yO$O7^W9u-j>+^w`r*m2?{0n9K6?zG^IaDa3!W%XNyk~j zq~!t#%c2no+FSAnT+WD9CqUz`L$)DlptCHQ#i2w(Uoo;1!IyWoDzTCOvG%R`4CE}y3AR4ot$~YAI<2^@(mkN9Hblh^KNz>ur77C{PJ~m+FCLi~Z%Y$PXX19pQ`A|p|#u>5$2ral$iGo)Ov$$S7bw(VbO*jNw zxYjop>b(4}dWPSTc)thJQgf1hbr~fm&S^Cg#{1r6vj6A6s|J)a;f?h+Uh%zh`h-ns z3jCIqK*4lg6ns;4w}`SN54_6V zF2+Q#g4@gT-?H`HgpRA1-vn-Xe$wSuR$|F(y3H0s@aCn!$)U<=kf$(F$};l_|Q?xbMSPL10IlPg%VM z`){?BV?4+|1BjSV{mj~os)DYo%nuJv1QdtrNEPVn0mhsWfUIchq3nX}3f-y-T`Z{5 z#tXLFi{Co1PrzwHPBfe(O9&gvq&<#DCC`NPR@AIj<*H#4#2NeD{5S>7o~|wKd-nLaFWnvT^6cArY)bod zpoG-%H&S0iQ`3G0eNh^Ie%Z--2pwGWym#7qL9LLmYd>$AqHTePNZs|~cWu9}Jvcmc z$j|tnIVLg693@+0Qj)oiFs6M##p7&%kI=qN!Yo&-qkN*ISmAGFrHshbZmlkh(O&`K0<_t%3M3AA|f zvHp3<)#+7AU`0c)OKZ@SdB%ZRHPcbAFxe&sDSb?pl8WgtKYS26f^CgdCY}8ne%DGF zudc>6H3j0(q~@n$z@-udD@TPU87?WjGN^u80gXQyWjs*r)H6!G-xKKKm3^4h`$#g#+j2Sgwo}!WmKHwG zZH!(oW?DNbUvBxUpNXhx^uC(k1gHo3VAv8eb2s7 zP$l(HR(`tdzaQfdt#H0LrG<|i%}(-6Ev&YEbZfU-zbiUrJ?g#THItAKLj66~O`d~j zdml&I*nYfhinL$;`S^Tl?0d2)hKj%)LZjj>*vV5EtEwt+0`Mrfi+>qV=~d#=M79R@ zI_gyDeT=Lw7me=oH?*##YgOS_M#pgsNdq*4iR&9hhGm=!7FN9j>_>BuU&!su#@qQ;BTaLW z&{+-fr!aJ2_o<6pz|*mLxAhR7(`l{ojj{r#KICziH{^a$q-)xHoezgIU8U$9KrFN4 zzC3?yvPRvj z1;rFhQ~k9xaZHLx)d`4uG<-Wdg_`oNHh5cvYy|5`v>r zatNKm;)q;($94^k|21)wy84d+{a#S;QMEW$o8-cn7XrQoSJHVOv$B zjR}>|idI8-A+D%`e+g4S5dTi#{ zFCs~vv*|H3?ZNkj@?R9CE}L%6p3BeO(o`XrC7wviF;`PVF*Z(uQVIFusgzd+N=*$S zw_{FSQo?PS82UHXhNLS6bolA4d~5xQgNSv@&*v|f)6E^HrmcZnROSyuY(F?l%^qeD zx%e&M^>1B>oEofZv zrG8~V_sh=N<_w~~%359)-9IX<$|D8;0}1r!i3{-#Khg9Ag1LE#*AvB%wWQ#k2aj`_%3pIACsM~yI_RY+}zAs zlA^3v03Zct1~Cy5;grsG(DVil?`{cmwEx=ZCr}St<}2|OV&IGi5XM9>S|MW;q6pR=xov~)#&dWc-f0i3vfSc-FIDWLL^3M;1n!Ydwe*l z&~PP4!pRDZb0kvD^9@xz8sNP_&Z&u*cq3+aq3dl-V6E=gF_-~Cte8lq_PAR3&tajT zj1MhooI&~$GhA9&mBQYwZ*Cj!wIz(cjml2}v$QG)=A$ygL6@$hnF!C-9EKOU@ggCG zx#W1B7FVMRc(iQKo&XjlMg77MEzp&QFI@cCDNmi2UPvSQbXn&jU67yc+G+lOb83<& zW&jZ?9bRAB@qp|fa(yC0v|$!@HyNwLw^1?{$ZxtvRq!pd*eFb{9xXuk_AJ> zB$h*{!mnOWL8XeC@{_Q2dc@RQWA~#eOSrBEwVq^Rj#k6UFPBK3L*YieQg_MSoHF3x z!8@v&tH|(L6EYrp+#W}6T`UWk&(=vf(xSun(u&AfDX1u@WO!@>znzOxQ42aO<-^t_ z<%@TAr<(!`;ylt)2&!wCsL<%^Zq((I(4ntquyRC{tQZ}F6~JJ>3UwBx?V`*C{3(ME zy*=C&AxwPA5uhmDf?PLXK8kgEn;v`8r@N%x*0*}@emE4SCGI<9+5#OMKX1Yig{`~FYesFtv zmUr_%77ri&<8A%~P1*iT`}q5+;I?CAWMoqY{HN_KUEgeZbroKU=rcm3?-yyGXYy6p zt*wwhb1e~k6~$Wl8i_X)6J|utG32CE?*eV;JbvU2*={X~EeaOREW=QV!<8|rU`cc;ao1TXj*7XWXm4U7ZO8B>wH~9T3FNSd5L?7D@N%=5 z_X@@(UY_lHRLDcKnE10I(;zz~QBmdR%RvVY_U1A@LG8DaEiUkrIj>8{AG#c-rlyIC zpneZvuKGcZlG!_Yg@$E`oL*GAbZc*!n5~0zs*-PXP4F*H<=ngyJJqf(cV{#o(OD@G zS>1>)M^3k;CH-9%fj~q^=HU46s;5S^m}L&v6=Z8A)QbED+=o>%}P1EmTJINvui zN|2(yUEr!l`wXbJ2!|?Xgwg`XLH<7+xw9~?Ui+nqh7hMR86Z1r%d&ByhKb#a5Ugdwn?n?;#an?VKeYdyW~wq<_z191T8dN!Apf z@E68Fp5o>@GEqM$fNV(1iMP$b?3T-@7qg;@g$;S;cf?GO)cPWDX{eN1EvZWdmk$pO zp{bDj_`S5^rQ`y}PPXWg`WReedFPtCL$w?vJGR|cSBS3bf>T|ww%lF3U5m3He5N;; z{R*I@W?79Wa(2^jSzrE?QQ@6#uFh}R3N!eWrp~zAd(C38);J9+4sw$tscI)N*}dYX zAf#5&kV0YdD^M!wSGL<~TxBG&7O5!ElYb`ls1}qER zr)Jo-P*j^D7T5JeQ3dFJ=>Ha1a{YF%PiiGrK$opCJv;G&qFwxe0Jp5lVP`cKvFF-B~ZR%_q zLRl(^2<~T7=9#X*58h{wQ~f!8OLl?aZait$=$s;?q|1_^AM5SFrj zV&2}q=j#`ZZS?tzx5ow7GL@zx_I>_-j-MWy3Qg5}e7ggX14SP@|hu zS7)=39~-Vj1-9`R#tG(Ye-Roh(>JfaQA6o-vL#Tz8E`qTwRj+slGBVGYtd}6-|ZT} z3KhDe9(hWm(OIqK<@-Oec^_voyM%xp0gl_>JZ+qhLhCRv3hEN3)q(V(DhAIZ!p-Sr zC%stAe%^K5tf91!~aIizM#pf6Hk5gsx;|TvJ(gIa~WOos7+OR zc8oSNH)7hd^7m1E25XU?$dcN~S`;fXEj;2C>-#@f)x^o6y4oDfOW_Hh)=)x|7-Fr7 zW^Owa8z>@+5XKu!JA$wz-Qv&673J_7OKTAa| z%Or9FOr$C&uLze2xIUR-+Y$eq81(|-Q@#9&TD>`4*5@KQ-w;c?=}?&!3!J32rPk(1 z_YVl*?S8zBiHZ5I;VamW87i8$aY{jX3^I|AY0R%ubZhk-Fg9jelvn*Uv47 zgC2*-Z&fL?9qne1ifhI1M_Ccufib0?JA=$y&nyJ%UoOgY3cOT?Hl_zTr9ItX75E}_ zaW1Wpm)-bdUa8KHQ|?dKFKrdNg6nV3yEi=sww6%*JzO&tQ&Ykq5(w{4*PW|!6vUi}#&2ydOSaSW zpjWUp$*~D2M8)JL5E0bv5uC^j_d^PLk@@BMRFT7AOtKM6iZR- z$Qj_IaNDsNZ!Fh#GQUn&qt-B;L{_ZR2e5tqk?^1G$*`b4+yVA)NNgGyFu$^RTZVsq zfv!lOdv8AEHoubnxdq3hBUMmfNY@k#o@VfOhx5>DKYh)>&Q43NPjFO7xqkt5rKOC{ zi^iT>K9_FHt-F{RPYOrH?`m`3m}-rKR-hy#4gu~R$>56Kf=0Tu0%}*)Y@p2RVT%9$~Jbg$c^@~BOnL=%YkV{4 z0~MKgBww(XOM(Tue;*ki^PlbF9J~JXVjvHuJON|MS~}a0CL7SDgb$PVsrt`qC(-HV zfa09>ExSSuRVZ^YN4+JNQjdn=bzAbp@qA5)r0MoW&5Ww7tj&I9GQl6^BQF0s4Xbmu z1$>7XU=zj4fNAh(>Np02aV3q`#}C%WVTW8TG=8@id8;s3$WXwx0szakMxb+DTC44)F}suhlDXU zuhO8ql??~(Vm}X1X-fN@#+vY~10KY&Yd>AQmYdmI??b;mKR!Ae-^CI!eR;|^KBuCQ z`bO=$o1+8F^X<(MIMT`(??i$@#6Pf1HjKrzxtM ze;{xu?6Y9$gR=c*N+6I{uWx8?Hok7bb*+WJ!tW6lYY-B`49C+26!b2@Sx;t)W75&Qhl&}sGg5%KZrQqVm5d43)?;x1we zx~ZM|G-$Z~Uw&dToNO@BVIp5K2J94ClCD5!`MOX{iG74W%}otZt_c_kIaw1OMX`EtMB z$w_LPacv7}`7}MW0SzAbK8}d~1>ApEbBb91KY8011IJ(&%K$(zHR0=(@P!^5L$9B- zG+xt2bpZs|-2iF{mQDn6Mb@ zkBe>2!c#U|TtO33;decM(^pef1EvqE8QZ_MZp2{bUus*-FKeYiWnqrGX(J^cTW{(i z!jyy8c`?g|n&~vGHODU3-?mGtgvF`!I4yNBQapeO+shBS7&Wn{G@}GBfvzOL39)=9*4MRqa7b!5vS*XoiXs5|1_apv01R z@BTjnf3Rdc`cjJNv$vAp1BLXkhvJ8mGa~55uDq){l9a#-;cC_Ke#ei~1%58MN*YMo8a@Z{nm0Il+|){U?)C{{TS=)5Ye5~*fKUJ8aj6mB9Gd48V#Dz3A4w@=S5NKuGe=%II8 z#Y?pYZOaM_o|>Ey3FZ$x{wyf>ZYA~Qa=)DGSe5ro&0(zli__-az+d6!xa&r^&hFm{ zUW4F;m8R~8eo`l~-H~<1>sftLvzx}Sed!9`v3L?jPU@U@%?^Q0bU#EXaRfM!d z>?X;bsf{QgI&aT7uDw5ve?VRFO>ATW`c#rUpHC@uJ&h$VLF+n7IIrtOAm`_Kwae^_ zJ5Fx2-47WTLB-d$K@L|6xZaS=$dxAwHsewF7R{IBTii^7e$JWkWmxF6sR<_9744!gu5A#8e7st*i(0BS31 zD?TulxE{)HzJ$nkrmsYBKHK5Yor;n;P5EjV*KsWmf1i9Ku+@Jz9ujzmDD*C``lvRW z?jgsu&!grYPv%pga|dzN;$KBYe&;nRrQ2<1yHg>-zP`SUIPv@nS6x|55yFE4@Gg8# zALq?3?^P5C6OqkwxSLdft9D z_HxW!-t`B?*B;jKC+_S@(=`Oa>Vd`8K2M-opX?;hCQP8w`cJeW-1;H+dj%nPqY04j zLve_Q;jY8`bkLor#)SDMQ5exp?Cg$p1+MqnOb-iK^&z6OhUBY7BYQHwu@u_xX1v`t zbe_+h@T-mT@~Z}EAC4y79@h=sK0VjNebQb1+*$5N{=ml2vd59zH>J}WaiI5ezNTPcQ$I^;Rrc1u{(IvQ`wB4kYOO0rq zj_Xksla&0;eoag$k1@RnD`~D;)GRHg(+FT^|FOZwiA0q0RuN2(xgKdSv~sJxveHk} zO(g3LVKG?7n@~*NL8f=67G9GTNq`Z|@W~ZPZq`BQ?TXTpUuQ*c{SYFJm4l_IY0HbV z*Uem6OYeU1_+g7t74x01fLs4xe-Q5XZ*E%CsRKHT*Le7e35k-Tq6D|M`29^qY(X1Q zv#4t|#=iSs-|COhMfcb^)8oybOfW0l1b_lIk*uKLH>HD`Hy8Gn1 z`$`2y`co1WD7naaSv|giu~Ea84T|HLn_wz1T}ZtrxOJhPp9KpUp!s{v4nk_~X}6C^ zu#2n-QaG6{7y7M#%#_-Vo8){sgJ=t%jknrYbLdgEX(tu~-5`|R2#OPL$Y4r^_8lU$ zQ9@$+>h={GxBs4)ByaG``WF_eQ$LZo@l~^y%UUxD^Dp()ydTF<8WO?56Yq;5-*2aC z%|k}AO#uin#!LZ*)iDP@Kbg<#PPfNXL%Cm@A%0&-{)zA!UsZI2PU{gQcF^nz$ETrO z%7_(>jGw;dum{aTN%FxPxJaUdwWPkuCzXA~+x9v3cHQr#;L?E;3&Dq)#~2rGj;G5m+7{6CmhmO_dBRF}@{v|Kg z9H0MtIYz7!ZqrE|;IXsm*JQUx$Nb8!;W&3ZhSrX-2jrY(- z=jWv)c;!i#yvp4fHIC!g9z`e`E_QZ%;+yw)g64j^>6xZJ%bDGeyP^rinLl<2Cghaq znZqy_SUE8h2)g)@LkaNsP1uoX20+NaTSpC$1|?U0Hho{7oHUF+USyZMuDL&aEr910 zXV>1Ni^aX{%DX*eYQ8|5-Fmn9=FD;8e%7HZ8fqhGmd}Wv8KEGS{W!plY8qTlJY-Y08&} zNfyJ(JD(ON+Q;1drbmaCm(!0g*vBsQC0)LmB>q?5U5{NlNN=UoS^wA*|M}5oG&Ml! zXmMTmqG%B!9%Q?P01my)e^z5QYG?A@JKDB~h(Z0qKDICNOs30eMhlq~C*WEG1#!Ih zo7dSj`XP5~wnbx!oTNlcvd|7U`0AcNABWL}SKX*#9y zHGA|^v0-d=A-4sBs7ReiuBY;^-{S!EEJme4N%N*gceKK5G*!1^j+2mI%$4J~(x62} zC1HmSPl#&A$SKk~f)$Jka*wq9t|GLvi)FU5-_PT+k#HByo(aHAf!YX#y~-j>2*aqe zz{R%i3k2?tos_}PG{p`$np78UKSuCKzWR-X|`krB$v-6ViHmc5c@QYc)+4Yq9&B|Ct*UOlA#;q-2{Jr%QA!3|5 zC0TpBJ7sX$5KwORG!3`1Hf6S}nyxC8yrXpkUF(E+uQ0oH+FAiWJq#9f`(KQ{+)Zwn z_Q5neb{pB668ul<;0IoRpY;p85LX%Reb4%N(0vZ#5M`wPK``aELxP|D=?_}Z+n)6C zCl%_}g>~VV0l>%kulij#g(BW09oDX<7$82QmsC}(6RmG>+4gFz)>APA3h@5p@GD6@pG+5bWc|LFVz8YXE zc>mPbrEu)7o-hYL$a{aDR_d154Ep%c&WcFY`p0e*n-}mk)wVi2Aoz~b29oE0ZV@tFe&*u^l~j66`;*9ms~gji0&JlkbarISb4eTeV#Rb-YX>Q z5HQ4$l@nFD?~)c5StZAQOqLaWKcjc0F6Gs*JJd*^93Fx12|AAa6I}quo*|_bSlcF7wmP z>mI*M6Ofn?u5~XE(z)42L0mEL9iyjE-Joj}PbAYNlRkmP$$?^&@WESWXC{ z+0O;KfKD4{?=CtSLE_@HL1W!;L?G6@9cS~3#WdkOsqo|7bGXhpR z%{QcReYbXfO+t=e{qEc=6P6o)zISfy-0y&>q}YdH>l4|1wwxCRHt=(i+gkgJF@ z(wt2dBTavb~r8^r{8V{ywR?%VcxQ2sU-Zt4wJK2N6E0yDb3cZSCbx@>lGwxi|;5CaP0 zkG)?vLKd4t#QYmEFRZN$%^#?`$)AT8eb&3n|J8OidxVX>kp>@ZKHY zn2=0hvc9wxrs~=3nti|JvhyDy&1wO>)pxD(b4#8do%@~VK^Ci0 zfP{b()m)66H0^8uP7@xtwH88|R+C^7Nv%!+cnp4*7Dmwyd8kElHG72Y>Av(Z_vv{; z{Bj+0QWr4&Prfv!tH_@>`QjzokYfSCuxgk}MQiKwMpsuLJyirfO2UqT6R~FPJq{&( zk2{UhkO%e9>8T6)%{eW|i!F78e2nQ864N_&{rcsT6=B3p&g)cDng$MLijG$J^~0s@ zDN4!Vo=oZn5)h04W}J{L39R-Nqp{?eBcm))4iO@RrGdE-&dgIOQ3YtW8Zh;yk8fc` zbcl$RMe4Rnujc6d{AE?Qp~A zyvwvyKk#njw%hR(Q6@hXRO!83iTH%vx5?Le^fO|S*X%a3?kpiIYuBVRJ?3~Y8+`3=S<%CisD*ht zKisz-XHW+apb0s7kQ^7jIJfPmrRqb>#>VBeOQ=4UW~)`kXGes>fD5)$uLlvxYC~oV zHBoW#!`80-Ys}c}YFUf7sakFa1_GtJiY=pB4oLXWWnGp974R0wjQWcT7JZtqwzBHa zvKcduQ{=obC&OEh4<)x2{=h*I@yR!+*nGS7k__FHgDWnZv=4TQ2x% z9Io3o?lGmPBgt3P5ussQ=!$qfcq9Jt@#dw~|JFXc3% zAL4)T;q-0moAXt3_W2zIxw`v=0%h>BH%4(Z75@}Ohk=;z{uiTNHLpKPen5*J5+n!vW0GLdUvC6)=C^UoG%g_@@%nAd~bp6U;(*L#t-^XfGUP}KQKOiB0A}} zpP#8wj*gCA+kVz&RFg5apE%U|W#Zv_^J#6zp^v7gIpO+VJ@NeQ*dR;)!qzQ2${;1? zp}(t=lD$#@y77V@Yk!9J%#{QKQ{C7&*lVW`nQGYpVLyafh(cDUmzQT($Hp_b2_w>U zh;>#D?z0fVH~vStly$anJ8M_F6RnlbfMdz_=8dbn+PUV$>!N+Xp>3hya@Nx-rQ4s%^*>Tqb+A+_MgQ0T^P%W%Fzm0m;Ac>vyl89;ETIRBDU17! zI;NFuqXmbj0jmO_x+E$-cC;Xa&zu_NLd!MjYYzzlcNa@c=+#5!IkI)> za~&EM94F-ZE!Xm@#(&m*YtiMUn*BGErqMg&1^I>6LaA4`Q>jMq3Z@dTeW+Gx0GV47 zqK6$vJ9FUM*_FZo?T{)9VeB$STYsR(Cv?m*Jwlk#&GilvvkiRTt?C%31Z~ z3C#sg-9e~x5mET{n_g+ta+3E){)o^!0u&FFPklrfP3p40l~2H{IQ1nC(-Jz1uThBO z``Y-dlhrHcQ78b(O=nti4SfM_sENs~AGlDbISX1*d;aQvETeq++jN1@Y1;kiL_q6l zDS(K&prfcWrFp`U(`xfAS~56bn{ZByI{iTZufnt$rsF(Os7U9nj>5mf zm%kbQ%%WlKu1dMm;=k=b7ga|t}?|JB!ELay;B5|(oDqH_El^LRy5A3{DMkN%?V{B zrAq(Ky*AeXB_$ee|`GxL$6tGjydz1Di4b;N!D-8jMYT{-o_qNAX}&~A_LG%RG{^0%L; zb`>nS06IW^Tw@c*L4b}hI;S2>E^Itn@N^{AaYn+$s)1#)Yc}%kulX_g39ND>eqigt~N(Wfp?T}+Py7NEL@fSRGcK~qJhwEFX zfC*oTwRXGhC80R++{9!phXd_r641xuf0=$8gW-o!ngZ~(ja(d*R*r+qOD8UiM)m0? z&qv+2fk8`vR2EADY#8_LE-8&12>S-S-zs)n>{9y~Qa=6f6UqN#y$55!gNlm?-p8;1 z;nw$alzHw&&7sFgrp2#|v02mhgl$LNB?2nj{)!T4<5{^4f1cr3B+1OH*G zv*3z#Y!Y5lwZ4%}raN@g>aD*)dMa5C^G;dnA+s4r`IyR5YLOPP{oD4u`^HZMdU_v| z!_rs{$dLUaP?HVkR53Q-Xv#v$&;+B|!1(lCf0C-&WV?OhsJ$#9tdWj?SByciFgQAE z<}gS8&%;@e4(a|}vcsY5`sAY@GySw1$zTxw5QDIMJ}kXB6g>+u6rb&9(}cN!Vjb=* zqw2?%eok&ZK4no^IvzMYJZe1*iz+&O+c5ag=Evj{OX4u7Wf)vv7>Xo@3OzEZLJIV9 z;tqUoF6OAh`=e`sR0UY_#bOF*N7$tNSfS_BgdMc`XrcGxh6hh`it3(*z z+he9V?Z)nAPW0SoZqJG6dKhe!dak{gF>tXKWcHXIn06v~`fqqMpe@1kBU6KnZZy+` z*E6$oJmp0n*pyv}(5GTcV?BvmMcE(74ryMD&3>V-A9j1*o`_a31@Y5eDiU#!N;a_F zKjr5YcfR~3WEl3`HY3$mRuSeB-Ic@q6%P)h+*wzJ{`eK{1m z6~BF2{m+X1{<@Ggzsg54!*M2Q|Mz!dV}G}>J+g@U2h+iCAeZMl zaIc}Bin5qHwBqINlIsp*D9w9L4Gy?<*u;M@ML-aKnJMtI+XiIa$Tg=iw*)~JoTr7! zAef_|kmLN>6~HJ5Ccy!$u)k!1lpx)@4QCLnK&dP{judWWF>_N%{@YMoZ>j*Jy&f)x zM$_$x0HF%H;)pQj7Xq;5uoq_&Nsa5`KGEvkOohYXcMHy6XA29Cbr2T5OS%F%TC*yw zFltOWIP_Q=8PRy7$wE5i5e$i^-tCUaPF&jkGw9T~bxIN9ykHK*LCl5lX2^di5lkfU z{PuSFX=^KQlBn~h{WIIUY|^EfsdUnRE=w&Q88FNZZZ>H!f+L6#zyCwk`!g+1u9N?;PU% z2h%-mwZArXdGMH{{3+n6J0(Whj3G_7+F0>aLc~5Ga<}TNB>eOpC3YFP-8H+wVjr@OHhaOQ-(W|LjYX|ZqqHfH1cZEJ02 zF)P*ORC_3C@?wYv1QJJ6v+1vxFbC5&Vb&)0@RCs=C@7<`!69Q58|qnDY(46`eqMkN zQ(iw)iG7qO?gdGQCW)I7c`4uQJ zgNG?8u`FBT3&yAt)s1KWQMa+qW%0nR62PWw9?z+mpz6TWsvc~^o_%MWt*atm*Drfq zW<|flHU1tYiN!MhSrZ(_s5*67(1_Yq-k+uMJiBjavO9y`+qi$ z(hl~YtM6W(TWvlEJa~`OiRq5I2fCvinGl}b)Ej;|itxde>zc3O?MJrFS11;Od_n@E zJoQwL{b+%icp(B2JOU!<!# zB={t0i_o(L^fZt9Xq{t1%*fT9Q)c4pEzS8!>f+7OXp~WZFat!S;!{ZGPs!iz_pO~i zhmn#Gw=RC$oXE(qmtnRSKYMEvMgOD(8S+Fy(fto-U|CxS0u~VD(1NXV*JN3-sS8y3 zMpMrrZtC*rCv@a8YKT-Fe%QS~DMcC-y&t{7H|hVdzh~_JO3^8de0kmK-RymJ8sz%1 zP{b_kldhYo`;#<5eWGN8B_8NA*1Sq>2!)8&V%>EpQ(otH9fwuV$#g@(xfy+ei}_n? z&81&9llk%)LOeWmfTTU>cG7;kT3yY#c1zVi8RX|D+OpYMdg~$aXT|BIK-_b^^i~Z( zfv8nFNmdzqENFrN{{4kM(^T&XX*CaBj^G-pwXCjZH2reqAWZgeU#F~p)?*@ zt?x4-?u=|djzPCh7{NR>BMbQ;A7eI~wy8QzMzUJ9D|>HWT?KhQQD_np9J?vI{3c$C zqa@FZ%I-HpYKs|mUulIZuiy^W3B>KQ%(-TjUYw-5*O2w~S6}YVXO3mQYSA=>gr*jGfH$$=9|=e=70472T?! zVU&{zsjEuHneP&G*F=VYan>W7@Gg1UVMfkbE6Nc z9lXYJ6wEn3J|;5u+1MS=xjk873m@9M-2ViK29owe5h1S9@bolhB#7=bBDjaGCBr-h zB3wwL^G8eIJOCHVWD=Kd#*!p*TMb&n18vzBKK1+{I0H9&j-+HK`PT zy`Px=be+*Tzxj|j&25LrnVeUrNZlpAown9iy!%zO0Jg#Gz4EF5Gr zxOXV8fybc%10u$ei*p;nn<)OeSeBfURhQjd#(viv7A=hcFPRgx_Oheo=xEc8WQy6m zw=(WOdV9j|r1$YRpk}YuJ=AvqrEHMpG?j?JMc3&eA}Oo7y&=z0cL0rJ`3x(Yuf|(oCRO%fJ8<2G<+Kpd10mzKf4eVXaQx z#Q?Hg5TvjoXCPL9z<;(|{6mgGSElqA4iALuFX=dDsi&GDuBaRt{hi!wgO|P=gjv)k zCdxS2l(vga@O6b-7Hwg*#mD^OMB)97;f9jiMfo*~LbFOjgE3)Z7!7;Wrf@#KEc1uI z$eObJg@GPhZ8l8k`)?`ws|~X;u;Hp_Lk}Ss-NQ0ya2WOMiJ9)WpEHrDmAE~|V9a5S z?A@9?surl%i5ct$i&H05!ov1B3W_>P8)74*SjcE*aO0X?=z7v;@b~@7&&EFl8q!7T zep$%?0dYM&R2}+gr4Uj^LXzMu{X?%^JiM#u+*$hG!?b4-C*gCQ;G(RW(~)S+yL&1M zpF<4Y7oY*Fb6-kOBaN2jz1=_W-SlrPRyBi^OK0U zr?1&~^73qRZ2KnNE$87VYEO6c001+*YVpoJPX@eb^BIB}TyqBi9Y6T~7{LDIrYs`2 zYWB{Ihi7HwVtC`sk$y*`_2}<^25Q`(f=|GIe(iaup%+<#h_HpfBR_r{3357*5a^X_u%1V4`O2L%-qsakK02cm!IXAk6Rrlb`e<%+6!xV4?njK zb#GU_R9fbjVV-@_HTli| zLRPEDpcG8C+$3|SUdzmNy%F|m(}|j6c%XnVc)TWPAAN+S?rnhC7rak*A0ivCEj!PB zi~g;ydInwI1L5b^WbRrn++)P|U z-z?5M4|E7Me&g18XYO=+@xX7DCV10s;(xK(u$%8@xo4;NNk{Ja@$oU}DRSHZ`2fSO zzO%(2CB%_Bt*EjlC}1mnd9{5kITv82dwL_Px_f|4zV(>#d2b!7o7ngF*;&$eT^Egyj8e z6;s1XiarZy_6i%t;-Q(TY1Vk;(u;VPW2mHqbL3Ie&;q)yu;~8Io-nG)ckDgpN8xjP z2{G%Qu2rG6_{4Fzmxajp{R5+Q7G^KJsV$oV8k#98sN9&*G#y!i&!8TJioT659KsL+ zM!>~K;3Qqvi-^iZ8>5E9wI`eH=SClWWWT`~Qs5&Pr3m zH<$^6qO?_1_*leQl)$*SIsDMj;g9ikpGFZhfC9y1kfz3wZ=L)SEG*|{+en<+K_o7y zc{@*-$D@rmW9|3#9l{c)xaTen28NInuPHlKTkzpI3e;)8=DGJa-+825#mKwa=QQ~E zcb&WYU9vUkRKj~TNi}=aGw@hKjJIR)qi}mLzxYmVJY72foGf1_6UO@5nny@oz+vI% zoX1Gc7W)-0DgVCf*Px@$S9A3NU8*+I4+4K*-DX?k88dDS5kw2eUng9Ij`+lGM~W%f zYJ6q|ysxq2-!_PS7HT(V4DqupF*dy*kF+_+vzrhl^U{(EzCQ?Vw_Wq^^xxbOa-!fE zRM+3jvzbIX+aJv*Vd?h}<7?9fStt}cuX;T=f;xXW8F*K$C3|Pqxmr8-m*9n5YUH2y z7gW7N8=B^GOZ^$~;6LZ|lyFORce}^+loRaFeto>=33LVS5m|d7N>sf}JvPUtrQ8Nz z4s$nAjOY92&%?-d`@3p_Zs&UzNNBI!fBve2a%8tzyX2oW2X=BMG6(z0w#<*t^2n+zpQ(X?RG_c8LWi{p zSFsj^g@f}Z8yy5B?t$c(ZJbdQY7~grp_m}P0rBqOZ zl>?g2p>WD|eU_TtZ~F!A1Eai&?-&VQhD^~ZYK(=cn-X;ia0A3^w>(L@Pr0E{r59_1 zZkSzt0z1}pq<&ML!Pd?$?*5Uz2~T^#AW$lSn>lm?NkX56<*-6518e0>dTpCJ*LEq{ z`!*}hq87Tmx_v?%Z~QokbL>8E_zmJd(GUEUSBc$OwQe2gxj-do5_G9EiM!~^ zFt-MJARtI+|jZ&YPgtiG$=DJ%XZ4`4ElVQDJwN3>c-PUoH5q;D=BO{^d+<8UX zxnC7+S}Jdfrp|pn?FP4bV&7eQza;CUVcOUf3~BGtWu-xc z8xTI52^lWC1i(pu;k}H!-;=Ebapu z{+4hklrqy`vF+(M4ru~jHT6oPP^I0p+@%kln^I8HzX$by7XA;SC9vBjy^@VDG$21?}!;iHC|e)aZ~j?P{-qX zQ2CfoS^buAYgi)Bi-F%m^s_FAzU$v>qnp!d!uxc*>eZ|pgv z`4nTlPM}{ksD&`Rq_TnOPbA5GEN{fx?KRVy@6B>U!tqPaj12;JI+dY_-;%{=i?kx> z`n&e7_GJ=~M{8KYN^6zYjEk5P>}^eciu2|A$W+D2d{8gK^H*!@+dfI_tflN_!*7%Z zAD2b-a;A1m8DhruZ9IaHD|D;G9_LSdSF)zgeJ<20cYtf!a`>81#nL3y!ngD}N%QJ% z(Ofa*aaVUjQrbsz2NNQ<(yvfJ0nMU7F{sdA=W4L#xfe_lQ*{ICgoA~)q zA;eHm{rRetl(YiRJeE7Ub8!8jF0``kYmA=6TznHBP#j$$CSf8|Yv=xk2cx?@^ss@4 z;ByriQPUCYS9dh3S#&W7E>ZTaTYIO=(st%pAN{svqOJP@{d>P;v4;mP!Cn{=Hcvw&SHwLQ@twQ;}1N%bDu3Nw*US&-NSlim#a+xFf z8~=Q-s5m&QYl8I+3VkCDMg)Db8|_*Y+oQPNt>wQmKYH+3?80=&f=ikfem9ob0Le#! zm(5|zH{#Url7TRu7))8r*}K~2)OO@Za(`~rpG0b!N{htBas>Bp8v5C;&}Q>l1?+F zg-WiN-6vS@&z&_Y*Y>&FmZ|ZAojM{`5RqR%fJI1MT$%0eTl-vX=I#sVbh) zZrO5(bV#^Qn!cZGT_X=s@$G6E>f@7vG1IVb#9`ebh-@-;@F2K>o+MW|sEP-G(tpGLPu%%s1VU#6K9oi;jYoSsgyAb7xKsHY?rLIG`~zyYUR2Jcvv$w~A_%N^Hu7&07of{K3iFr=OmR-y-=g3Qk&SJXfA4DP5CMS&y8oAjLt&+tAQCzrd4$fSPEyFYhA zn(V~Ui_Pt2Dc-geLqMROx9-o`#B8k!aO7bLHZ^Wwm6Nz@Yc*t4&_0?N>(&pTUpJ4i2FO6 z5-n9^g~Ao%jKipWolb^RfI4y%F)r2NHX19~DYAE!5J6X*8MXTfS#z3`=vS#2!dpa$ zFrCMY+;gzcw_t^~wOo&wG|MH^6^}m226s(OX!VTB|~_5AO{3Jt`3LtZ8!t=Ki+VSL&5e!=EWh4a80Xp-mx zsWuTC^pOmi+Hg2IQx1e5F3Tk|YW=Zs0F3A;0LP2x`J60w3qn2sB@fM~UZR5_5J*h_ zX0=5D(1hWepYg-USmpMGeEVxwaViG~2Pj#1(dx<(5r;ihOEfyV8wUPd#1`%lYi&W! zlp>GY@CMxU9VqFQmmkpkGohbCR`v^%mJrJ_ghWZQ-oV?7rP%v&VwflY<^N~+h1QEn;ZEhZiTP8%e7cxq{T%7UKs{(sFNvf1S-Xv zW+vA5mh(yAXhyP(7z49I70U+WX3dtmi$Ado`fH;&INE6-H5CH|dgcs|C_I+86XP-t zAd{!3&gFp1stl7L-xfnc*&=0w;8lBVmO;1b_8SOIA5kYz1~EQ9UU1jP)bTJ|BY83E z9p~uxUNMay|K7@(t{Cxa4tCk(s?*__&(+^kA$@Dz=zV`ElLuc_;Zi;^BzQ6m31jts z!uy?5>&>FW;NYN1#BEVU#bIT`#Ny8A)YKHv%93xA9)s+$JC^k~H?PSqr^)WjVbR#p znruj0a7%^7F;_ENgV5wC%v)e{ZW%SAFiG$&RW+Pp6D&!-c7S)G4}vGC zX5WAQ-I0-7AvR7YAnT2Ei=enM<_hcrDP~#DT2-tn=lNE)P_G(yFdm}#7YGwI(U)z65X zA-UH-Glg{h^vPjGFl9X~1St?%?{xsDE;*S2v?+D;!)>f}mHN6h2gc~)qU&xfXIk3z zl+u#r%Wo0j-SZGfc4Ja2ooK<)pyYc_2C~lnG}m=*N-AoI&q>S^HI#{HN~4_EsOGrS z^}dellz-Hd);p#tHCA#6X&hl_M`pk6A}RO z1Gp6pHj}t85@Pb7F#87UaWC;;MU#T9)`;OSCEvanr6M#l82mKcd#WWZlGXW+^}CE9(jCBkw;*h6+W7;@gi5QQ{6nC&B);FXw3r2sQev0+ zy*b|g=oVHT_er6!9_RWGq$nuZ2lACpEd0}@fww92KQ-sR+SshN?OCi|orMq(DB15L zBbZsLEH+Ifu6Q7-%kLNXhx&K&J$i+Zm^rFMUmNsyukbpRhx?r`x95#fQ69PAZi|>_ zh>5qQamb;g|68z;9pcVr$ERj!i4EBM%Z`ldH*xt;(He@Hy)?J#TUL#&qO34)2qZ@r z{3O`Z-ZTH^S3J2s1W^wO+T>)1$@*9EKg~0*@{{#Wsg@!qhj`&Mf( z*dZR*6o#RO6e~HL$I%m8G{UM66Yas18ioj=!+_g^kH9M<@~%t^QfJ=3+~N@#8R10_ z0d%OYo_YZV44NmkZ-tiQ*|XH|?vJ7wuLJc_RiM;c!p6W&3q_%{jU}O^OqWfhPBg6* zfYo1%Yb5$u@9@p4%w8`Wh3Smx#H1drq0_|j$nx`cV$prG>|Qps+528?>9C)rjqn+v z{c-$QbW1zZnXKmJ;TET9`}crK7<9JPSOZjuDhfR1Rr=7U#NK#O)7QX)fP?+Dmz(Bb ztKdul2N;UvS?JXzLx!RIesMD>^TD|mB{O;H#*njHnX}MMm*B;qL&YI!YWA{}KuhWbmR*?GA z*AdcXM$k9>tnuw~vpA4LWhl*`{E8W`5n5{7GflsaSMV^ zh)P*?xYFBgXecZjQ7j~?aGp0jO<}G+l7?+GMZ5=ZQ^pkqARNXEAKSBlKHvZ#=Znz-A(k zrv^yzGtC{+yXQIjJKX*`vxSg0G%I2R!BDK>u!yC3sf$r)bgQTWtj7gS+~D8X9}+HF zl`OnzsupUt#Hf*ce!~WH3VN8!!4caG(JgR0@&pFUAj^MGh(z10ofeu_T8fxdw#x|{5gZt`=WyK*W(RJjnC4N+!|Or!i2!^ zt;G&~wuvx(Zp#wvyZP^wQdy<1Qu$*h;ejkP zE9yAUTBvS|hie;F?vKaKXT4PZd%7KWvlyHJmgj4SsY2`7-%@Cnfn52ep=a6aA%$3-?|T&IivMTNd@afAXcFFwx~$@^YN2^}hTx=wYV7 zxXDq!O6L({Q_VkR$$DbhF2rZUmo-&aH_F*Ib{S|92Tcz* zjUbRETNyfJ@EIZFibtbKOH4vza#n>PDQluDid_3W>vq1(UJEUMgEqmKiz}_fcz6tu zyE^tVMvDE~PagA?&1w4nE=Uw_s#q|YqeIOI4ytv0r_Ud)8FN=lc6&;&+DmhN=tI6k z4fMdhc-{3)j$im;|LEhxXTQX*Eou%~x+h5Ztu1;U!sU8Qh^S_8LSgpLHuTIT`u>O38x?&2V;(9v8uk!&i4WJF^@uUr>E9TAU zq||Ew$R&u6ki&1mGue+q>XF3x_xZrw?_Fr~ir3+l0iV;%4K1&quXV+{r3O$kzeSXJ z;-gydb^M^0d@-{_qqY2WN=n}iJ~|+Obw-s+o67U+Ptf3zF`e6{=mz(nqcrZd|AOQR zSd@e^a8oO8QfROT7a7}E>#CFAKEw;V7WAgGpOCWLNd0oW?D-+;Ch5B zp24k=s`kJq38GOW`f5IeI7%zPOUHfSQ-l4AF|_7`kV3Kj^>KPcsds;A0XCEhm%*8q zVPpjak!jZTap+Fk$`H}`kxoNAUbyrdxzGq=J%ne+ejCw^vDQg_Wkg{1Au;vfC!L0q zb3TUPw<0Z4_DHj;W>UeH`>Yj_DOg+JC!JC%rTZL|2fxRItS%coOthgZ{bVaWHhKdY zOc6L&1GI5+gS@VEG_)Zr8W~M8Hq<^C-<2a_sFm4VoRb8u#{6y}%UfG+V*LrlG;aDj-B=G#a z_jyC*fW0XUo6mIw{b#_@`c;e%{jxvzx-Xc!3U60?wv&dXr zlx#+f{+=-f4IZb~OwYl|PHJ-q-$T8sf2v!NvZF?`tf&kH=y{5^04uYYE^za~jmfGM zTjDXfC0p_VS^-;B^3Uqj#nXRtpT;EUvFY;h5VrWqvdL&tR0Oi7AT4)THrN?#I8qn? zqM68z&Up9J+2$O7AAi?g997{kQ%0kR=#8ZKebyCtd%vSSw*ax)I7+`_>)J8bb9+Mb!4dLulsAj&cCfZN8+roqnF=hOf7-Hc)TFC-)a*WoXWj(xyY`T&7P z$4*b!`l#{!=Q)5VB=@AS7fI<3D4q2xVEzOi6P){4?=O1J==)v0N#H;xmhEU0gOH zP|d2V>s!xH-Z-(>4h{$W`Qug1jmevFjyhv&5&LHOy)2yq{W6 z0#bqpdcmqr4T$0u9yvt)6w7{@jn;lMwJxnFa^Vl3hg!#ygh?kKy`wTsHZGA#V_yK< zVJIg?o6Sw~$dmVH84B{h5vm|)Q12PC7fMO6PgBhILFSebY%X;9WNDd2?PKixsqr@* zyG_83aQB^$laK4)%cpr_n$)ITdbIG&Z^O;8S_=5|U#KjBXm{{SuM4lo`+r%%M`HC= z9%^{%k%y(nHRJ=SIV7k4)+-XPB9ej+O9erX+q%Y^{e&bGz{MZrD*Wc(Nv# zLh<{S@PDBY;HcLK^WSI)t`>>6s0zH>h;(T?Zdz5Zpz63RdB&0Q{S~ZQ$j1XmPdp28 zI`66GOXtiLg-gdV@_B4ekbpW`X=vQ;*9bSViLpq9Ih-1{`^_fq`P1eRGHH94;mYFC zXUdCyS7G7c`zf=QKd;b1O!J0<(V>#3mBj=!MC?>W14o-=&t4iyKo0)N0`qwzwNsO`B1(MgKuoCW-UcDwv z2JiM%x!Y&@I)O1XA(_W&GP#M{joLN0CSDr#H9_m1sRYopj|k*B|; zbZfDOL|kcY2_Pz~dEqvhB7FA>tXD=gLP2#Voc3KMpvK(lV$7Y6UONWfMJwJ%b%Vwp z<5Zy;To*{+s<2M|sXAUfD}gumf3|^L4svJ7@muS3Ql$jy1T}?3E_vJVsVJ*fSP62S zXepZoEo~E`H5}@qvZXm3Mw2q0{kt$(8cUv1Xw{!=6R7h}lexoTra!1^YcR!Zm46~9YfY-{nRV^uuB+RC?S?77gNhR7xPSa;Fs_i!Hr9d54H0hr zDxW&6i`k^wPx7`dnt=hn&MG5``|TJzIFnfsHMO9w37IE%Sf3_Fnr+DJ5OwqFsn^m# zg#7lW7}+Nb@IuIjoPt6Eu>npB^EXBf0h?j6ioX#th13&G*6yra^Bf8c8-62h3}XZ8 z2)%)Y3$k9eKi38wcTx}Bq@p>C!}_#(Y{$xfw%M%NN25f1jT{q~9uWj7i`SZ8tE^q7 zCdafJdYfGzc%ms2*}sH24_-SAZ1V_?B@gF-(uw8G@OGB6J@mZ+1VGntkLF0Dni{n^ z7@r+c-`t$`hP;0a;(+(gRv%S^ksZ-cs&tDLgY604!GRrvk%3;mgmRyrf4pN2mdm2c zR@{olJ09#98ore3V0L>2wBWx$#ZBb3FzG${>CIk_z zJ?NaTN&2kbx)^G+Xa~9NcOKBc{Gok17QdQ1*BlAmN2{ezn5_O&aNpDUyb>veJJKZ=OS(Rw?mb#Xy~%;Sx95q22Xhh2P4J{YRbg zBUB&=$QFGXvzyCTCQXajAI+hYEUSQ&j|d*H_7T4^TF`O*B3%Z%v!h{ocVt`U_I9Nz zUl5DHvoTk1H{Sa6Exo*|?7>g=a0Kd%aZ}o;O;oL`%g+HxAOixSP!0$Y+8fK>x{H5) zs3;tlGVr4EPQ?7UwNo^e3Znsuh`5`gKk#^u!RU@O6n_=opU8Ef+-LdfQ7#!q4@`;U zyhFaJNtaFvFL(ZJRwnJlDvcL$_MCD0qY(NARKLLf|Jjj5IZMo4@X~rUA}S%I=9=mH zB|~#Xs5g}AYQ-7uMMJ1emEk*@^(*1z+6_Vf;YQ-|`H@7@RgzP%e0(fj9Uavi+C7&9 zS=L4K*_f*xG=!^5yFzB8mFL~HBevbhzp%$nxzQ})GDO#D>snXlfKO|cQVBvDVFHWz zw1@BWX(~3T%VM_Zv01`Q%aGW+QC-(XU59C5hO`qZ?$RJUP&6eKmy-wc&9n3fIjX6; zmWQEJa&oxNw1rkkS7s}K3%JGnj0$N>HIsWUDC4vep&dvjG@ipp7Ha1*8BWJ8u_V#3 zbbGnf99JU1avwmG;o$6Y91m^Ue%q?UsV(GWBqpkpM9VW!i^-Ae+i-h)@UN~%Nmo#$ z{KU12SIK-JJcbxUSvlD!KjmMMp33hoXc=hPgOX&XXjSiEkzSLTaoRCjzbEaxZgN{Rm#G1&hz@Q_f!RxuCsH$us8DG0xX1z~YTcs?{OQI%!-p`U; zmxZMYDyUuz64M;`Kq397srC&qX#RfcfM`{xD;o*}f2o-*~12zPC6 zEpQe$zs@{rEP1{1{_{Z?6xEJ?^ z>l+#|jtFuDN)yP|&RO)~UtvMe!#?w3ocum#wCSdZe()H1#D+3c-qlx=rys$O15@9R z<4?1hY2@gIwJpKuZn+?C(T>|y#mC6eJdRX}+p(-;b&OrFY9px;5Z@m9%lf}Nz6wFq zFVs1+i@OF8_m(hFRF%L7U)Nd!T|KmJ`67ANqOl|2YFG)ROwWNvKE_;NTX`N24H#_t zlWTClBhM?8?bUnZ>{LxPNcC9dI_Onr&o=Q+u}qZynHDK83SDdJ=TbwOmSM?5HpAH zx{lss`5H&cW@{z8ug)#+JqRa&9FlB&IS|0s7sat&C)EnCsu3wb0?zx3jzUgHD(7oUQk zR?%tJe`nG&4*zpCNBg6c5?fH}rmZAzmFjI;FqiB87v3?cBF2N&qe8)k?*+YJ>}oQ+Plh+*ll-w%|S<=v)%50Vy3W$ zyvk=fZ@v_tJ}@g&N!vX>SiN3D6@$;@xNtg=g4?lKg%FLH1~sXalD8)jI?HFrj+;Bn zHHUG{hLdMiGbG`t<5!sSg#%2#@x=rTMql2Kz@bzg?eF`aoB%T#^;`e85;|XlFAP3^ z&P$MJYSaNXjth=eWp+=$wkW}y`kz;uoElDB_ULIVQYE)y4RW$y#3?2rPK$xL|L@kz z_V;CQQ$+>CQ2am|DPmVLGY2U5Ab)0}&q1xa1FmV`Pcn{1l>`3n-H$m*XtmC2lKd7n z8jBXxxYF<1yhBolefPpQk!VnBs2Nt?&ynLt#AU2CB&0jK!yMaV-|rS~>oj5obfvM3w`e3RUBJ;@>q^p{TWSW_+=o1l>P5@nX=@4P@j zQbz@i#(uUOIxzVQ!qkV3=!$N#7~LhQxuK|68v?fMHdQsH8A?9;?3nDju8Kc=#ldmF9#X%w^$4 zXx^M0^!DM0Xi7iOlPqCei9|A}=yV^e_nX4lkksv=%F0_i^zZVIRq-Jh)rEboEcuIC zSOOvX$DxN&fKI?rMw;!euCX$oWDb*W49<%F*rHc5BorZ-*V}oAKk3~djCbzvYk+GXqgP;bd z8PYuZAjW3Xg3k#e*UKC^LMM7{Y!!If-DlYys{jTI^L`nOwn7Q(0 z#OeV8n?jEJfwrochQ#kJ2_Tyq`i5>xAn@PjZZDyb!RP=tIjA<4Tdg=NWq6a$~BLHq`b%H<6}2-9D`-U>U~Xt zEX2-(Iu^@3;7_hy{4;GSK^gd=(QZ|6`R=IYbU!Jyjyd*dTO-54Pp2(-n#Kvl>3a&N z*x_yaI~g%w4YR<*u$dYUzOIRj_3SWs>QlIi)Vt^DPReWVf*CWn{#hwL<>B+3-2%Tw z5vkuBF}f4W=kxLT#V?x;tAx6$Y{8F;T!&nK*TXh}r;E$6w^A1?RP+J_)?E3%f7yx; z4U9OQKAX6%20VY{xV&9*U7{Lk&*^rb(znTfs*(C9^7QL)^~H+`gXZktL@t2pP>3z&xbO;o^4m_L1B$O!1}PuGNpE_pjoK!G%*>g_>!;yL{iPW!L1)7= z0N-l87N{#Ekk;gw<*}Dnb2ptKxdapBU6CpUa&p}@cK-L7+MK?UKipB>K}hNN>$-HL z658bL=i?1`G>m$3>CFK##gsWTj5|ic;yQ?` zswBQ;1TqTRK$3jKcYPI>Z>&4De-2oq4H-?!;`I*^jaS{HTd?_YQNjgXWl%M!m9K=q z{-A4=r92}JO12%XdoS+_mk|f@4v7U<79oYwpt8R4{j{UTHG@SxRrekb3}a=@ zRSc_ifYMn?4?*;XgJ8G#DZ)K{t(hNMC=8kp>wb{+W8jGWInQQ$&WY)#kXU(nLE$2$ zpdcnCjY|3BCO$XZhvqUboI0NkjfhfWof}si;7I?WHZY^Wko%EU$()RdF(qR$9=4UZ z4sE_>-nVZ;3{CwNC!#{jlD5|}0JFQ8QnUT4E}d(K4GaGx^YC{F72Hs%sH|&~a%uy~ zX|Kxh8yr-Phfk`-w3v4@QZTI11sZ29Y(}RIt%KSjr-vp5X$#zyP{GLwBx8REN%RU% zw7FrDDh_=0zU?X=QRT`yQ06g472=Oz{(#x(iROI4Lk}q<34The=Yg|dfj?gsw`_iI zZ0En_^RQb(t}Uy%>2{HTT7A1I`Dk6NE^j&zqh7qWGUvVZ`B~qjNs^56fGQ5#VEO9% z99QOCo+ky|nH<|-^~=l+if;VG0c!qiIWy8k3_z5s;V@`xci-%GN)dyME=3-6w$+?I zJU;qG_Uco}{CIuDYo5|vp4tvPltZ!WEqFOEuX9hHWIp0VFk)^ZF(`65P1kD?(O#LOZM?;28MI1mnbF4!;BX&B9* zGUVI?nGd`?)?`cglZ61Ai!sj>1RO&iPyN@c859b)K8yGiwV?a;&x2!mZJqOjUeJH;_ z7xKHTIaPkj^R{n40W#&fW6&OON_7%b$bfuj-LfyvcaJ3iZgl)edsP0raVenGWlIz` zs!UJ=EDPdoK6h<5tBJjdGnJMxho}MFtn`ysMQzp{PuFNYWcfepQ>f;rmbAhlyKF3FIS(*k=uU8rI4r}Z_}BA(+u?{4o=qzpf;EL<3e5zX)MqsuQo)OP%BcW zgWn~^ylY_+x6ub;CbmAR4lno;&6B-L-bUtk$K{uRIJ`mf`(&iQJD%?65sFARta?IP zw3o31R=vi~n0u_}zya?PPR>wxJ3u34J2iU5)S-7XS2B-YUK``^WZvV+a{ayGzPNo~ zOC&8ySwZGt=U3foW&TCiC5g|!m)O+$Z{51~X}(P+4xw3J6eTm7Xj4#A$F}IU#ddg} zcRZTTZE1_JSq?Y~moR{6BY5mU3Nd9}MyKMiKOGM%dt&~+a8FW3S9ww>?J~r7LhOCh z(Qa2ivd8_f!{cLHjsrSY&Dlw~VCL0Ms8P9KdBD;ADQtyj6CDj8W%=F7h9?!y0m7iCtOv2P&2jFX9 zc&~YONZswU%>1Ts5Pz^Scz9thdO4b*z5@fE0X%4vcgK^4#N{aB`O+X$$l+4zX-0E; zqR;r!AF;pwG$ZAEx4e$9)LoXRYyo0l<$$hd2+#jk>;V1La{{lT^@7X)`DQ)q(ZQHDcaY6bR>Xw&Qojk2A_~Ns?BJB*^ZFqTvNT5kvrm|g~s4AYM-3s*@iR(yQ|b&(NkFC2;rOBz^b{F-)?kz(yS4K{gA8F0*2b; zTAw+-Z|wUq2WetLgQ$}XJ?~MHr#C3Zy=gW|wSAW-H!AlnN zw+3pn!!X#M#4!v_Z~{Z(7#VBk!Xzdr$f;b3=S!-op~>4kbX=W*aPl|OI`g1SdA!bl zldKfX1AI0EbfEHWc^TW%45xf8UArWhfDbf`u^W4~kZpc!)a|*lZw$h))oad@a5um{ zR2<$wQ{Q+883^aBVL%H&RHzZ?R1JN_L%96rO3F& z?3Q+ua+_<8OYEuNgul3mFiG7jy_WeF_K17Ae4$K%OW;$1^nJh23sq=$I0z0r9#MuQ z=Ql}bd1*b&OF0_atljn5Ef?etL4ix5erGjX@Y#2N+<)1sh8r*oa1&F)P(YTxg+roAr6bh2K`L7#AAxczS7ZMtrK z7SA4Ics52)G>E&L6E9PdzBDfZQ9bfvIabq_49ck^oR|=Zv-z%zUtW_P?7ps-L>inQ z$Jl?vzi8{{Xldw>pNQYn)AInVIAh59%bWJn^YZe5ucLT!M{#QjHkJe6?AhFDOV|y>AzZEDwQ(zKdc#(YWkZ{`$&VvfMt>!Xtk6-7y{nxZdx} z$SF=g*_6c^B8qET@O;0X_B2R%_Vv`@2FK9`PQe==E=iAFu9su)&Kk1s#$=eo91*eH zKBfg_O-%xK`!VEVZr!ERz31bDy^9v>!jfxOKoaTsPU0zMkPiN=B;vecEUgA!zpVeY zcn1+K^>e&lVXJ_kcyDjZLh`cEy>**K(*Q`jmbz`*+`MMJc++~ODHe#RL3lhISMYrV zTmAMv_+Q7HnIZwE7IxmATfGqJu*uWg3)DbqH;X+|r9Gdw#FG>|tspH>;PFd-XNAwpK#(gqY4w^2(C z@J%A*MTV;dby~7fZESwPghj0wJp&cu-WQOCg_!cx1j_uC?zct5)Iu>g)@7Rqq_R|b zd`)^0!ZC!!&XFXej#-)IKkwaR>g!^~uQrH!+w1sp92Q&62HNn%#rZ^`(6xAnL$cRw z*u@LXwzjV?U&$u)VhcXP(@af;FrT*V zk1to|r=RbL=}YXh_^*_{Ra9u%p{*5Yc^DsBf65|~d=gUh^Y=Gs`t4qi@Vi{e{*<&Z zpC!EgKqIkZkt4aafVc9k{Gjx?dsv_v3QFZQu^(2xn3r}j`p2c;dP4JjPH~VEE8*5F z)NvQzgR6UeKW}i;i8AmbrnZoYP^V;Vjl3wwZ#hw!S`^c->|4v9q9TXA(Y30olwdsl zDyQv7U3-!3xr%nHs2h%x*2`BfD9=9SsUSGQPfyY=_ulB>U_2yb|HT0@`9?ynsS6hK zy+P^)Njfl_iZ(%o1Y1FlLQZ0=a@Yyxr+DyKc8dzQNWX%xbVnsP=GC0-OlBHCh9%|- z(*x(qv{3DYQ*`X2KMm;aHxzr#V{>$Gv@O(}Ju+BNoek_OV?FAg!ZGP0_aq40Y@AQ$Ub5)Vfks`-eZQl1%; z*{?*#skBe{a#H}Yx_U8&n2$<@j8nch60mY%laBrb2K}77v+gNkUVCfTEjf~;ci`i4 z3cu?-<)`iCaWR{|0R0A|xyTn;KA`{q>F9pF#Uw&3LK{jBfP3LxN0%gu*~S_6s^3PD>-dwT#l2WUVBTn1P5^~}@i zHrF6^&zyo!xf+ITOfPyH^4 z+%_&J^br4V@Ukumbt>$mTKI!_pn-&h#DVm~{)xx^L4)t1x&dNH`Hde;x8kbxpNQjG zP4knDzDtjiP*#)eV%`ANjkLwSNl(0wl%=&EGp*M!tn{$*O;dX4g=p1%|xp1Zvif@L>8uRe+kPm4*C zjHlIkm^N}(&DjdhCjrfG)d!4CwEOt4H`brGfQ(*kOFOsLchB-{ugUqg`()FDV`ec%8cj>gtNpDk zg>>{lc&jovTc9+=Ebc^aS(OM&kzZ&phJgHZvkMCSs z1Tjp?k`!gx*4ymO z*FA8G zAdp{snd-4eq~%&Q&yU?!KyB;kF#wDYTXfnavc!=Xw$F{C(=%Mg#ek$Fq)RM=Mvo4r znoFAo&1PMRPeU>b?tXqBz0a=t)t==A{xgG$+x+irS`H|Bx!6=x%c-~RYG z>-=X<9w?y2q22m;Sgxyz!(f>IYk!yJmxoO=skY~?T~Lzq&C!{bdA5{)JG5Dg6FE-p z1dXX!b7UTImBASL*%+C~23?y(LC?Q+L&|s1SZ1Ns#6#}6bI|Wn@QwHP0yV$KNS6Hx z-OA@~Z4RjI@|qY=qwiNb#}luOskY4LzbrJ=6o)xeHHO`bCUhSX{;@`-XQV^Rbt(Fs z@>C)?s*=<&2nNnKCO1aY_+6SZKNH%NsYS^bKIoX60|J3cGZQ=FK7Mln@_fKNs-vrG zY!V@#VAwsm5qJ7fR#vv_{DH!b1rrNIpO<(W@9nTMlIDNPkrTak+dTL5-e%rG@*$b! zi-3I_e5g`DW5ush&|~9#E_Que)7s1+f$yUZN_9F{4Q9!9A28|Q>AJqn)QkZaPOHjM z?Fx^laOozg0rZ3zV8D6W{-9B=m$v?`sag$e^E8u#sMUL8Qgpo%Jq`XU@g)XVN6X_> zs1*5|9_<>|E?Om`QPb+1K!R&-Kd@Am zAld_z9(xUvT$CYw&Q>E!yPCcnX}PzvaGhdEW-^QKK17;*-r7)X6sUPYbrs<0h9G5eggn`0$lAzHKQ zaQt6;bG2$zb0_k@V+wMLNL@aq-nWFG-t~MoYgr%qDS7T6M1ij*y1$e(sz9$H7>J~; zD9Dvf35u!Sq-Q{Rh@_-B#KbAyf_wek>RqG5d~WY8#2rq=a3G! zCy)$kpfP)yf#y#I8@cd?cMeLQR@SDf>BuYQ8`xsEc!vKn?`mz@!+rc)ZK36yO@TkH zrt_%XL~=$1G=Ete$H35ngDIGXSWD&LD)VOQ?H`t34;9puJXNu12;0YWyDK2`DOYB-p zDA6&+m;GV^rcI?YBoy^QZr_g zP~waRyO;SofXnRf-kSH zMLD^?7Z{VgsltHml1u<5F$=+0&VFw$cm9^)tu;WJ{QA>ah@<=JY=nNqq=ZmB$h-m9 z(u2_^FmutjuD5D1C6lx$AcP;JZMuBzeb)Hg>pX({{)NvwAg`=t4$Ee16MnQ-8Z)JZWTsaS`$HIdV`5mA(=$h&8+U69sVu1H zTxS?iyiqzL*j+E16TLv3>ndRHk>$@BPgAE7t8-gMLB!?$0(xy#wI+p&>a# zv8`wGO~-fuEoWwY+xB^0?6<~SSC;F?e_>-wM}K4Q{B0I(^RV>p@+&?)-f8xtrT#9j zr}6i(Dog;j@X4V622y4txQbmB7TJqZkX0r{=&W@b(D-xd)JjIR0uKg`T@};8#L;8> zInT@9rxNFz;jw_KL2f$#32{>)ao+3gE45N-)Mc>7&jebzg(*^yJ|t*lIgA-SXzlu* zHZ=x1pU9YVerTs05V_k))YZ}H9~d}ohLr;?uil#mmYp_zs^_~Kspk$zp00Xvavv&L z9D86vQiLo$2H&d0Dvv$@O5r#J@3- zX#cm(^Fs;Z*mb^$=5bYmyUbNE<3HlgaTIkfvE{ev_-ISFdHenZ6&9lRV}Sal6a z8E&MaQ0(l#R3iJBZGF+!eQPVTrfARUC7gneYwoNF?c`V4SRRfiRs?^(^)tNF@Lp{e3VhZ6tEo$R7}<7E);v zv6?K9tf}qj#N(E{FY1?|6^5#(m{HBR9yV}Q|mCT!l83lH*PKWpLALCPQz{Y-s-r^i9-`^h4w zt3tnS+`Z86b2Uy8JR=CyI%!ye9;aFY@_^esqieYw+LY$YI=K zy%2QBzUdc2m;)1Z6yd`^a?lEcB>U^h_XC{Y?u7~5-Eh}j=ZB(6%GRq)KH|^DiXK*d zSNK-EgB3cB$+F@;!evpgq3Q2`1EJ#AzZ;fXbsFHftB?+v*>^eO&|hDB3kx&&rW2d+ z^Gy7$K1%DxOwR2>;>i5^?L$cd>(a5g41Uay9}>44!2rQ!#Jr{`+v^Y*=`W3NcZe$_ ztTNLeodq2gTj)y%#Xw)?Bw_ZVhRp?)5*zYF)J~0}rpN{@YGnwQGt%2EU{|*-3rgK@ zta@M9Ex3zk^rok!MGo@S)V;&R55Whs*?bZ`;N#cj2$fe$@&5ItteQ8ynyEg8i(irL z2mV37Y_F~QpavLCrk@O-Qci)Xi?fhX#!6|oH_oi4>39A3qVMu`gg=$bo>dW*=jeU= z=H^sq?M#MTk^ zwJrOv2AsUTTaqp!S+X4|i`v@SzOZu{wEIl``T!WF-@ku9;cI%}Bnb?7q1JU3{!cI< zbE$73ZRVlFHMia@SHBd%SBahhNDYX2MVzWHgZC1e8X>cJ_!WhnFqGuhLCu>|LD_-5 zZF7g*YO9jA`q|{W3|2EDuzg^jq~p9Rxc#>L3D~snzTjd#@|4{YaJy*nei#?4+MgTv zZb$JK;Kb;+_KYjAWP4n&6|K15NZhQs++5bIx#2_LNLr4E6e&;h$5an_H}MbL5{)L` zDIiasR=@^dgFcN!5Q~i?c-dY2zA^GaXwB{TUiah`;T<_ynp!R=#`_6z^v*G%4AwbG zWKhYbDZYUF@0{~Tr;03q<}63;xkK9Fp-@KhjMg%d)v~*K+I}?3?{Ifj)ZuZrOZwC! z(T^PR$i}F6#gH>r{X#)>fj5|1yR%w z{EsapU(I6&u`2C03}&TE8>P5yxYIiQ1>)ZOH#-}^DlYY^j0Ov{I`#Jkw+M8NeH$fK1JU`~8yfk4^!67aI zW;M>l2t}49Btu8Eq)wjQ%}20b>-bB51eY!}TRj5CTuPkGp!5sy+b3BPf%j5U_H^F9 z=GH*wHYqEc<$$Q!YuNDbiQ@Zp(pFZMzNg~&K#)(O3kHn@iC?d>KpkkvsWsG~`LfMu z8bK;7^3}MQ29`e;ki%YU8?x~Xej@DsloEl2#)=-y$x6`OuY*!9@7gIJh{lUL$VkFT zHm!pz`|&k_E((5#8P9tRd|n*3IK^%Za|I}w58GOWLZPNi5AR!9g+D@od}q;Lr;b*9vU@g}|{$SreCo5mSh~AE?Cc(Qg4!sbsU?I6uB$RzAW91!G#8AKe%Zx#jvt8=Kc*>C@ z@8`gRBEgt>`fV^$uAZWg=4^LcSE$;wek&P0&a3@SzN)K5%#g-ooFEb902?+?u%L+MC7hm@T)QxIj)1?|M{(jUG&l5;QWNf(@E~}I< z(#woy(i`-reuup6|GgFKl(0Zd#W%Iz|%uwU-s6Wjh&#Qn4;a!G<0)_B|{ zw{{U0i_iFBP+*iE`m=ZK}WH7cI zWP*#W>#%ZLTD%vl&6@P=eSCa2yF=LqncRf53kL4GN!vjiFoS0=GN?gJmNppqEXzjd z@!w4b>G<#-^4kWE#2{_&r9QIy6x~bvOftKI{DL|FY%8?iF<%YWt1@ZC-B{Uf>2P1| z*V2`Xr~Mn|RY_*y+jN659F%>e=~s3Aqi7T;&C`Gu^={SI_hDjnJC<-V!M)?U#Pz=m zv@iOmqHhn4CF){-A(XWB;ECq3<@9MIe1i0L_pQ-c+8k&v=N`S|?y2y25KgNy2zl>? z=zWb0Dj+TGI2g|9xVij>CQQE5O#2OpB>4D_uJ|R2*7rSK>c{IJ2PpW23^r4~e`so5 z?Yz%ocO?ZTeAny;z1JVs{wrbuwee@-KL2wW^NBUDy)+@Ii$PV;Z+ewPw+RUrETfb+ zzK?gl>HocFT`#0w=9bu0YK+Ln)1bb*@|pR4mkhQ~2RIl1gW}34d>fwwk(8q)M^czSJ$rlDuOLK3cr_#CF`$he?La&YeiHf8AB8f+5!*-SLZuI?G#zt z7(+o+2K)?+D0vYA8+pR=plwT=GC^p70+E6pP7l_QsJVHU1}0sSbLnnXJfH6SBkA|? zijG=DAZ8@_6sxZcf#2#EKSD{7;-q!1A=) zLZm$_mcV?h=^Sd^WNC~v!oWa>f^9L@RVu=)K<$5&rj!Bo-S9oVY>l}HsvO6ECvT)} z3H$vnR+i1RBuG^~`#HKt=Mp!du<>KvLQ4c{kg+M9nH{E z&Y^D3*U#do+!%YoS(n=wE!A?fz=t_-sY2V=JzpUef7{`MRoO688a3` z2^S~{=3T*_$GTS&dw}e?7Rj&g;-j3oKz=M4fc-hkbHAEyo}f7V?mxT^qGF!LSN>t_ z*=c1rNAxlEW?e$#vm0!ItY=9J1eXtww;FD*+>5_*_G+*-*0rSs-aOT?G6m0747e(cwxQn%x^;bspg=dOlu9 z`1D1gNIf0+qZl3=+%V&VaIWoEo2_sS4VH$ju>yE8CtK{)54VnSkC|-jh(G)XvzdoN z1w}<)hix7$*8Et^*EFeJtM_b6;seJMiy?7!fq52!xE2DqFsNm8!Y^K30{+wDFPZM^RDY0S$f5mOpXa>h z^xcqn_$rSZHM9J;D-bS*1Hbp{sofrJ_T0x_#0kwY?AJlGSqdKX?ETjh!$O#wFYz1KevyMgE4MPHF$=OIPU*%$|ua)c4nN5Ma%#|B#sTxHm?s zdB0)GtCJ3DL{n-nDPA&J-ioxpq=b}ydQrbpQ!Ux-JC)|J_}n@n_0&?=#N7L;QKS_} zPkFh4P?Y3+B`@ia9J}05M35_`Y)OyvRFot6Z;-*gn*NP}pQDQlK>(CpAycoMZ}IA^ zNA79k#i{2_%d-us{v++5=Lw?1vRAU=o*}|+{%65AkXWHCU?dFs?uH9IKApH%_+DmI zfQ1_2&>1{n^zk3N=YP&L2{QBr^lCU~Zx98xo!HPnfk^+ig0;w|k*HdZ!NO86wP$+Q zUOM(yPvMy0#Md%zdkub6MDCn+GJX%ATAI}Q(5yzFfRCf-m~R~%fmEUt1tsXR?r{rJ zM9XCxZBN_{DZZf(-NGEiN&K45h8_GC-3_@3C6J5}mCdf8D${ZVRDh2q%eX*>U5!e5 zRBdSU7RkxB^zA!Vz{Y-P@Y!;uhfi021fQhRHj+sOUm=d3jvQG9gvl7dc-;k#kNGYU zX#!>9`JTy}f|g1q8)O8Vb4o$wW8cRZh>s+(eH|P6A>A6Zq#ZZRn4BL``q`R1Jl}eb zmI0+mLs8s-oH>o->PM34FMcY!*Hv)^KR(g3&f^78y=T}CMtPvBd~Sa@(JT&iG7iC? zz6_~lma_OT5(cB9<^eSBq1$id0VkQyW|MhR}$;#24&=M>};baw1V%1?bD{ipJ0#0kmJ} zD7D;$8kaTz*m{()tDB^3a&WQfV(NC}Ef3vmL|JauecHrqC@9-FY53!5VgOGtD1W-S zp*(9Snz*}m5E9sRlcNSA`l3#$Bti0*N>z2{;q22N#@)*w1Cidaya<` z4>x;mW+u)SaQ2VyD{AWBkqk_+WIC?T{z&Xaa_mCuXx@rycm`x)i|*@lm-`O=mt7kf z^pdhy)v0k9(37!9{(ja%;G`1=endstLx6CL_R|Ug8M87Gap<1Zdyt$O4Eb&;{gbk$k__Nj4+UhjCA0$_I z9I*(jR|7=k#Pq%eYG5`~c54xle5E6B3tCSqxoq)Tp|Mq37iV(PJ3$?Hi#ltO^_BAj z2fc?UZhu4g#fu`rEa&S`2cLNhbnkFHtywD5nuk$`r298I3%8YZ4PQ9Eipx*9!c;Lo z76~j^mAeZL;^9JUmuk(pV+C?1{;Lq7OFg(^N4dec7vc`9&91;kFm~V5s&HSif|Oze zLdf8Q9C=)+J{l34le^2kBzMU$mdk{&!bF9J0@%@YY*=|74m%=FDv}? zgzvVc?i5)5dF*&UH^bN9W0yI~;}sob$4`CSYhJs_4kDW&4@mk|Tb)#5=8v!rKO|{l zPlc@&r-9<-hKWyv?(jn<-TG1AISrFn$pYJ=YR^Cq4J|4i6RY`Kq1$3Z$Y_$2#f0U_Q1X4A&*h@+cy-z zARVN3U*_<%`~CTUJUMnt%v1E~oegg0eoDg<7p80<@9C7PtO1e^eb~z^sU?wDL1`hh zY^F_G;O@V))r<>T$|Cj~M0wP6FEeNpRdMIG{-SgNnWlktP%U#eaZNV5QuOy=%phXE zGC3k3SWtmEagwZ3tmk95rAbU36axqte?|}HdEfXB3llp46_YN67s{OZkBl)Ql53g+ zIkyfN(K{M{=TZREj`Ta5U~`1bP$IVM7u8np_5U; zc`+JNkavhtIn~ZU@@7!LTQ$MbBMJ%0d<3#hX4Auy)IPIJYEO;AKpKEXfrO7kgu|JL zMjj^L)Eb(UR;tSmAs+a+T*H^Zm0?%kQdnqV&!bbW`B#tz)sGNRa_PL*oji6~<-&XQ z0z9=LZ>DrQ_=G3H*X~Osa`I1p$a1OD6V}-&&lD5lNJOPsvYm%ZG>h?$kRyPT2p*v<1l3R3DigArx>B|apE9zO2OcwfUl)_|K#vC{z|`|Q##a_U$i_*~3xVAa1X z2GQp`y9ZF?J>*!Tj9V+p^}M5PO=>5u$iDetREO)bSlQR?Vq`nkf8#$%?2K|pUB1cf z;$#?FrQ_zubvz)Z(-p8!cZjc(au`t05HM9m=3{t!H20I0!P$y32?x%THTB6qOaXta z1B#WnUeKS8z+g;fC}c=Rm(DCmGw8*E8YYgjSb;Sd*0E9dEMy>zzj)XoKUyzO5qG&g z5YMZwmf^x*N!1p6K{FGcCW?wSrzvB@PL@B1-kP;5i?qoLLrUtH>7;4ns`{P2eZpT> z-g1|ncr6nt4>n@KW{XUMY1Mx+jbX;;H^quLmwmT5zGE+6eD5vf<~s4XUt!<^JN2u! zI#w5j1b@2T$p0*QK6?40-oe}XPKP|UexVV$sHsVg>H6T9V;lLx=rYWr-a?$Mln81A z1`|e!CpE3D5aEfT*rpo8O{qeh`?4Fdoy5m13n^aQ^z($vo~Y}enr zOT85@VGxF;o(S`T*z<<&t!pWZ_Q^er?cE(uFE$;%)ocQLB+51e*kM^R%ls=Ug?B3b zKO;BIU{NDNDOlR!f$#ds*_dcrzW0UecNjjX{CU}O_(AHUgw#{a<}1Uueh(=^fF6f9p!-Sy6NJPw*jJ(^uo#w{ofFI*yRJ&E{AVgQmWY@X;%Wx5!;eM9;lU32GV6wqca>Zlfeji{<&~rE)ZE|U7-R06W z<9qw*|HJ94_eMud`>P>8D4a$APU4m1peQ{blWohl#2_FHL|qoJ9!t^29D?!?VJ3jF zR0#WO%x`LU`TgFQq_wmxeLG-`g!^&>$qfw2^o5+YP|5py?eJFxxkI)HF^J zeTga5>L7AH6@;Zy>9Q~g9}_bHgdsR41%k1%kEJOTQ7yLJ+_+h)USzZk46x(MaBQ*| z^{MuD(~p@NqLHw9zT_X?b#|c>J`Twr_!ym#nVq%@IThSxldY$&hIE(0*tWc8bu5P$ z$mY($W#Tt!$H21xq~3=%y~X?yas7fze76uHBpxi;5@Xc|2q8Ew_C>U zvW35-K52%FTi6xq$UA(G6<{XbXk0*YSbLyUG)l=eKsB}ofMs1Eu<}{B9Kt0Oh9MWZ zn($W(8^3M$YYj-e(fNBttj^?>b8=WEK-Q0p;jxaw~OfiyMfEyJ7Cg=OjjI=Rx%njbrx(Bjw-zB++AlZ+9YG z{rY0?(U_G@4ac&9^r+dsNe|IOgK+F8xA>2$HfKHOy1MMpApzNbmshzjKu`EC9$z*H ziOy8VLB6u`z#?GAsw^JfmqmX3~<`X$=+_}w}qDFY+hLS-$ZR{CxeC+z!alt0|jb5@{!%> z(@KkH86&TNAmZP4+lvWXin)txvH3UuY||PXTqIyg10`&8frNxXuBjMgbTgs!f*V?NA}rj|f#&(Fb9(?-Q_q8m=#Oa|7VYEY8%q!VW8;W>si-s zS46gwtI_?-l(;`MdTC+m|2QzFxl&!%HYDNIk&?4g3kOW6Iw} zRc{7v1JDvyIq}t&0>YSn(_DwAi}xoY<7=_=wSm}@&W~KQ&jH~bE{iAzEwM<)G4cmf0`z;%03+B%i;24%qXooM0~J9PNcZoao#PwD29DVO65rDNa7`q}!}r zHDCMkJ~YnQFg#J%>*Ky#rjPTXj^iG2M4Og9ODe#NK-#(V@0S5;RKPR9;Wi`E_U~%O zVR-~HHr9vdv+>}6B64$ebnC$1^jUbb{hM>`GpCKi3sBkH|BKbAa)>w9ZzJXPKTHug6gEmpjDg$j2k@en=WTV)1Fc5u zjuhse*=3MC#)jEa}W{HpgJTesH^;^S!I5~ z7i8d#C1+*sNl8o9j;l=hF@^l)XDI;f4w&s{FI-B`0L&2ziZ0oM2_bbFn2|~DG0b1; zLR4mH<%JAiF#cXUkDO?bsAHSzcbZO@L&BHgMFmBNd@XEZQUT?^iwGc*{`AW$5#bQN zpZQ&WCVyg9?!L@*5bn}1OTw$l$!aJaeebWu=cY-Q zDWf>0d1(`W7cTTBedPm%VgU>k8(1smW)cC@EXl2drNT*Z5}@VO}|D ziz45;hQ|t>zcm1I?4d*Jb4`b`(ls4@0saO{je5PAy1|f0C88DQz}6$Yj97_E_^a?b z1MgD^n5%de4*Q&W-b`dsQ1pG`BA&y3&FjG=SaENMjGNISEKOcO#pGPv)Q?N6^5F5( zzvbsk038=4><68ko8r9!l9ODYpK{Xf$1>KwE8&L_l^j1)LiAk6aa!I2M z4i5{P5!D4BFuMmn7tAWGH2A*XK4NV%l~vecyIkToX!?_1lkjhfYQE!QC%Cy~8DvOs z;;6BLm`uF1*!jgu5>zUfC=vmE4RFT9#Kh7wbffyJ0IFdY0NwzM@yF=4cS6Z6r0psJJL946$4-Z0Ry5W7%&lB0xx3@v( z=jQXiB;2XIYHX`>b?CPSv6X9{ETAI=Sr1@h`2TvXc{dHL!bnxw83)xpVD4Vpqx7Ne z(^NcNReiF>dL+}UNb~Rr{4lx#d1ECgc%DG*wTbk*=9A+aE}L<$0@+b$Zxu%&G0+<% z(a%Epj5EF@PO5)aiw0)v;bu0%5TXH>!Y@uq7&1^Yreuy+N`yU?t_5gsS%WFkv|f5Z ze8RVRVzyX+j(E0fmdt;fsL}YzvAg$)4rW20r3Ii}QR|`oPVb;l1x8#{-S`VShSy~; zZ*XJ3+Po@ZkmKQBOj6btsaMT;_fCGo35{UTx{UwM$HhiW#9rcK(wAkIK{Tc1@cecv zrU@%!XA#$OR9zCz!Rq=<>E1gZ7Pa5x*?-!ri11zp*otSOG*g=8dq=^IlfN?KrJ1Gh zeSKr1CHRTNke)++9-I#eV~!s!L^e!)FlV8ClS*b5gQ z#@>!A25DdzbuBSEQI2J-u1;kt#kZrg50eDYYO|9dVeDe>w&!-k0hYv9-C+mBW4`)o zyq6_pr2>wJceP$;D?GOk=9+>!^(HLdt*$k{)EPb-WFtT_h=b?%v&gy+__*4$SEG9u8B))GlEnPKQIrpucZl2#F@yRq zuDk9%z6$MU-bs+R><4tzMbthmb4y8T#bh{-Gcv@$B5Y~bZ+;XlU3|8v5fY$oySt42 z7KPDbAdnAWlx&&MB1(PwhsF!8xu_nj*iMSpmOkg_}=Lmz6y!N(hlx%nyqA zx}-pAI+Vv&R+?7Tf2c2SrWGZ=-2BZ0ESfqykeMZ*1u2qWQm}0eM9EB5BUNKF!)&n1AtBa_sRMk z2yOCOkf{Mqu#eICv1R&qQSb4jJaW=v#cPW7D>xETnE$@Ve3t+d$q=;DYINL`c|q-X z(zK5_ve5Jaj_Y|H&62qeTFrMDs;tE2EhnNND>fV8pKe#Y)^0OD-k$fdL`*rvmN0Oa zKUQ?Cv3D{m+;#$bCMOA&H;cZ%4~Lx(AmM^SW?97-pnNeN(YB4%GMk;NEO`owPPnThc~lceK!{ z2;J_Ihzp{F9DKGZkbJg?Xl}#(Z*N8RHwL8o^THA9;=eg6#81Y>ln;(5Gw;pn(qTuG zk2@?Kht1E?8=7qpnkS5T13YQqi*ZuVuy4QHn=8?bjg3|AkmIg1k}1Bs+|L0H@z8fh z_x7KH4Q8$r_`qLhD2fe;X6a?c3Mp8S1Jnd6Nv7h8(vsTgd5Ab^rI8E%s(xY9q?+d- z#%ix_X9(}_uT_d*ozejNT^uMe7n7HK6T%R0&@Tq(30w|pO~ErWPvZ$A6-8qtoOLpE z#MkE{lj#WxaDZUy1L57>@Ol!c8cM2(iR)j&9AkZPf~*E~qp~CR11}i~fNhFZ2-de< zOxH=}c}0PXx54@|B6U+fURsMIvWqb!PL{Z)c#dAJobe~los3FJcs~!@gibvUN@ZO# z_*VfcuP!w?cloLSr7mH14m_BvPN($2w}UIu%Hn#EASU(0C(~3)rJ%GJUevjzS!9r4 zETat-KChDY$nW;o!IZL!UV6T!4?k|;^R*YPr2-Hh@DhkPUj9>hnT4@Ye(BE_v>|KH zogbFjjj}g~*vx*Rz6Ztc1f=CU3k9ru}Y%1(mz zCah#-PRi2CtAW0|N;fUOn{Q_>Z*}x)7u5R;D`s-B*QiAUg89@;f4>nZEclK4A%wL) zQR$uWxHy~Hdv4dCN5nG3O!dTR?`jC?KSU1A*Au75a4cW&fo+S+ix5$?JIhJ)Fj3Oy&sz*@~;PU-7Y0?8A`e9j7{^%%mPw?c~A-=*9| z`GN+<#N{*;_ERtmdMmP^IzR@pB1DIXC0|zrmw6d%6%nY8DdyZf@-dX{i}Ak1Bq7|nHQ&CmZ&gMTt-Y8 z`np)w$;WoJxlTfY|IpVL{@=MFY)>p#z71px@GgFW{xf}Qc4Y&ZmeC>e*D&#f*qRDM z+2`OeAOv z$R|y2)D`&T?_J%IQ?EWukVYBB)2iLb-u0+9pZiJ7I3ymSI{%f8bD)xz#}@y#r{{g) zg_ecf0FVsO-g44z154q0zEXa^(sT<0XrkW$=>I{m$JwSF$s4W7jzcKoYr|*mwKr`j zKR>UB?$5Pf6>?K~{mTR48Z=)4YtS7aTHvDRx*Q?h(%s!9CEX39LAtv^ zKpF%_vv>FN?%4KeUv?hX`OjZS0>+>%9|S9C?&xT_>#~zBvvI&{RAk%v=`cgBSBTRr zN7!}5*mJALcJAkiA@~361t@N^U;C&LNwB4e6SMSat}NfOug7T=xySI|&0!652#JFG zEf=smXfxZF|IEE3fhTh$dcRc;4yJw6m)AiJKbU*XQbr#>ThXqbw#v!=Xg$T8@ohM% z;fT7|beWApxA~v0(*+r42AXs;l?Yf4gjqV`FlotmY(1>U7ZsW1y8N##rjJq24M(UifAVAbl|cL>8F~TldmnG3hX{LQ+0v{TQ#G`GI9F3Ws&GlFLSkj zs!8c(sq&j#+2qHmDOg=cD_9IL)jH0!sR!S2kNRwS_A^_nGxXTY z80GmoQIDo`cILJP_%#FW|89y2&rBZ~>v@<(!6p~O;~>BtMF!bO za?$=`Ht&l>!SVZ9NE`fzIm=3M0#TrR%=@F~j=KAH!UnGhnUqxiZZ1{Vf^nNuwW0R0 z$g$tf6p_HR$n;pkYlN=M`DZm-dtH+;^`xKtBA%cX%AMOvU?SGk;mb(ISZ}L(dY;Caf8Csu81ip z{1qqvZsgcym)Su*GkOue-$mKzt}H%yIQ;r<469PR9KQPj90STpp*?2_2~+-zxgIs- zfFXg6F^3BJXS5TSNi*1Nyn-XGvR`ZikZw|=kEExc0Mwb|{;aI5>+K28qF71O zP?CY~FQ=}WfAD9)(2RykrU6n6Lc?f1R$Z9I89HL9Zqu(u9 zm&-M#l4LOexZ?Eqz{J_fKvV1bik87Y`~m`!rc<5#`Dd`dYHPoCdo>&gL9~{carDz$ zJTBHRFCBLtA|fI})~kZv->IY6=D0ZLgw(vPURRV*ahtbme$v8d;FkR%G#QUeWenU- zYcs>m(-g?@hWdm+fNvp%2gLKXw!fTT?w}gq<*0$dVEyToTD7rLHB0w)#FNnY{UD_6Nb&G)IxI~6X^~@GMI?|OHO3=W38rX&aODzWr zPeC1U9!>3v0ZSj27-)(ct$?Q7M87`bQ~ia;(=1p9z!%0w5E-lpOCLmxIH)0t*@vJ3 zi>@&n=oCoJ=M9g591JKiRffy9RQLk*oTk{b+N4c1JxRGkkQTZA9O?XlyR;Y{IZBRw zZi?MPt&XaN*4;{|m6%17nAWT+nX&8FIS+jj99M}$U^ZJ5uf&luNm?0vC?d>Js4WwI zVZWtxd+dpOgUojKRgb5HF>uHtsr@*XU|z;yO1sJM6hRc#2qn2VBMf9R}sI2Nf$*Gp-0Wk}7(z#pJyawW%&H%NEmL>Q!LQP##!pJ!G2aRTgfYL#vq}wkb zp!cjpS_%Fq7fePE=1&G`Acjtv-N=D6iSjb4?5=RxhSa(`;M2xb+ui*=R08A*8n6^aDR(;b?&YRh9ah|X zZ}YA;q0-(qxy~a82@;nt?@99A+&NPoXC zrS#pb)Mix^!6<-;f;X;uYg4KX!b~8fD@MGHD__wl%8?7}>aL)+VhF#*Uk6v(IDDEk ziT%^C+gW_mMi3NutNkDLOnSV%7A$m)oQHKm|I#YDco2T5uAQB+*S&VpCGfaXUk;(0 zGh;UqszfU&pd$a`qer`_=Sb4YH>|FT-`M-^!?wXYOZItb5~vuq&VESLw-%YSB)W>N z=_GstXgen>B83t~TR$1kupYXU8ZnZq;Zko+>Os<%!@=q6r|aPcEK5hKZ@-kM|Jo|r zpuzQo%pECM_@dE5jl*{P3K5e6St1d#*o-7y!|L3+_K8`F0}-Pnf5$|6mKko+JmD2f1UTig&bNcoACA47`5jxiMxUKR0pZt@Y$1}J|N2`N)en0fGwF- z8#UqwsPnz}_T^?(V3xB(h0LANBAUoVIcmu1F{ zRH0}EiLnwCQzsvMr)r{QzX@Mn56W6-wVza~p`n)9pB=_2ri8Mv}P%c&1lljsPqiOd%73Eo5*wSCa1ijzf8>P6&;w4V>3y2T?Rae7QONKQVb^ z#S&!H5&}Nzl(EXaxuTa#5K*7^aUN03uz=$xbLeCF2kk6<;MV5m>s-Q*yUVVtANx^E zfY{hrbac!p^{Jl=oUY0wf)XmypH-1H!8~#OVRP&bG21*oi;!y2!@pAH0@2s&!3+*> zT3Dk-qHp%-sU|S}qbrFlpInJ|wvh_F5P`S3lArO#J#LxK0zXnD4I`YyRN zy4NoEa+sb9EMl@0vVmlVJ#X?@X~A0aC5Dq|a@_T^JK4weN;U6;uPr*%;*t6nd+j)p z)eLCEvWxLjKaua^)mPNh(PK=LHG)fc&||AyVMIHE6UGXfcgt^-MyMTp$c<@X=~4Re z0vF-O2ytMfwG?{c>PmzCzEHD}uq6g(%Z~*iQ#e$GXAMDMX%!2dO;rQ?Ra7QtQ~YrA zL!Hl2UX!Jf6i8UL4Ba+=S~l=C|IqxZH&rshn88ZoCrUzZ1^~@Fv+jH4AEXw0WXgSK zF@+(xKGE!Jty8HtH^ZUmo|!z(kT_(O7e2vD5cWH2_K?JBpzip#|H>FpXS6gm+>>xg@;g5O4w;iG~Eo~#{CLy;4&d90zg|^ z8x9UG$o|l>UITeg=yQYKq5)o#!$y*VB@$msq)Ug9Ku+E(E5N#1k#&YWKIkvMtd|ps zIrByAVcV4dTw--yBMdUF+R1c~hNwB8c7#!{%a`zypT#~A6Zb44B)noKaEazCWLG~N zBpGxuVn62Bg_Jvz{w!L@G}N%$KHNsePHw#;fSI(5xlc%CDgd{RO8vEs_5odzZbC)R z1>}^CGa-YEU%oCXb%4Cy*Z^a)qK{?y*gW+tkm%v$P?)+%BdS#-01tNUCuS6DWR$u< zqaE2}`Cq6-S`13e@biW+)chD9cfAP!bURpW>fSju%;s@`Jpym0&ge{%!3uW0E<)oi zu@g7azReEEMOD0DFA3xkDVj7N(0)^0DhBhgDl1dw~U3d}r1%;>ge;Sx0l}m6 z=E@t%22FO6d$B2;6|J4u0s+>?yhMLk#kfu%qcIfSSvGjWmhBbB@w?}$k*Em;zTpxg zD>>KxQQOYI$4?**$KR4+0I;_dMJccD4FGX<_$+?~s2MP#oOFdz_v#@BvE=+0aqc5s z9NH)uV{dyG+LxE;i=;4RcUow5;EAupu0yC414B77l!M`FKFWdQd1|=Xm@(Q3NF&(K(QVmG^ZVx-hGDu8<6@buz3+cD3!b$a^vKD{Ux|34QZ;Um zrl2ZUt`ZL&Gwi;nR8Nx%Lb&cdjkDpq?diDK^v1{`W@v>~6aHosf6H2w*+@hzcMy1O z7RAATue*D|AMJbX(r&e+z+B2K#uud;OGOH)EuU>hv}ia>uwm$1rcx@9CxqgQQ*~x# zOVTjwizFE``wdvQB4k>zT|{RG(z0wtgJN64v1<=LlmPE=qccE}J1;t17uTmt1}u9}fWuD$58P*~GYG2m zmwsvhvOI0jF=S?i1Fo-3bpg6tw`h3X>;R6+Tb!QTdy*TnWGR&bzlE~{S77Ge-$z{5 zF8{7RYheBn0;jW60La2k3`LSvE~S4ow6#_A_9`j`^apEeyf2(42K}_4$AvK^Mg-I> z_148p*_D`wTm80NM6TR1R>QC|+Q2{Q7UVi2Z`8dHK0z7POsFH@TGY+l#z!g3G@7#+ zIf>wR$4cvrP-V=&cQ^qcY+DnClGJT@4zKmoKr4G@832Wy7%A z1V4YT{X1h9w^Vk1ENJ=1kf*Kv~g0&Fm7*X)!-O zS%#-X(bqfw$!{}L>-T{DiT;RpA84RrYl?uJ$<1NQ&*B}8tR5JJsy?zYP6l^bKR$Xv zq-Snu#o|<4RabpZGF#1$q+%bGP|T_pA=x!kK`1Q=&o+WR0Hf8TK;dmVT5z?h!Qm`q z&+{V15W9?*YXl#RpBxy;H}Sax=vGqOxxTQz$foeyVK;+X@mD0-V;NV1NaY*n8yVq+ z*eD{gK_a>aYG^|YFP{otAdb@0VeAz?w<6)i&bO|ZM6zV1_)C&)XNna)hjMeB+P`X! zwA7>mr&Z@$!wpRP))wBJnXRSN8~CdHG*a{oE^@sAi&@=lw!bjLREqd=5HDs)**n&gMD5Ui1{2lGOk zt?sZ0xFY0nQiU#vw|Oq-1cuy*oG~&>;Z8G#jL;!&pch+N>bNCO3U5>>Ht6curGvr) zS_`sIWTTfM(?DsdunBT3QwCv!IKhbYu}V@jqGRFxfmpxQjkTwYsyBhr`qX3f(vrJb)w#!WJx-QSAt4C>6cwQE2 zSbl_8hpZ)h-{1}h!S>1`Vd@w&fn*!UkOoaOtO+52e1OBVgn9iGe++%831 z=hv%@hVHb_ea$QS%G^3C$B=LZxbu{kM~Z9%Y5@W z+z@sq%7;9YksJ|5L2<8}j)~egZQ&5PEAN9bi5{TK!r;#H9iGIa;!lFoFS+0|B$(Iw ztw@2wS*)G@oF5*;pexAvpNoR`;kI|@G2JXPrmsP-oUof2ZFoC^0Z$VNVlU?)ZS9k} zxl>`+W&ihE2`<&Ts;Y~B6P#}3$}DuG?8rqATMtiA-owX@ZO8uGQ3=r5QH~mv<{BaK zG*-}?I=JO`n*&|DOckl?D-lHD5AMyMlX?LK%!P_&d1@(x$1KMfa7A{0)MxOA#iWE z)vc4`PIe@z2K3l&MvvJ=ZzGS6m&!3evQb8(-~$2Y>*2V&@srUQlo)VK(POfOb1ZiLguLNGwBXtQ;P)1y z#wK*K8&2KhW}&v1t6XqNF-_I*3tV3Cm6!ywgav85X_TlIv#dJ>g4$R4LJumbjTS{T z-iNReE9oH*FjSc5nj)rzVR`XdNV%(q}M`@bx?0f*5wA*Ii${BmVHR{sm< z_CogEmC_0*T9zeVM&x^W#If8hf}i|wkj99NDr%=T0mrS#{^OO* z2Pe8#kqilhA8zt#)v)Y%tOt$R%EAr7^R&T{GkJW5 z?`yONR2$d4>hc=(b|HHgRcm~?O}=>Q&?+Q`ay?fR^ykm=W@(a#0qUHl*59ZuQBJACefY$CFOGEa?;)tGtC zUaraxzgsP9|Mu4N`##L~cNac?U`=@-1AeFpr)jnZSg9EYn0W5kb`*1Wc9oH+&hcV8 zO=rCJip?A5G@>x4YHLr5Ud*1Hb=^(~Snr!13=I-!<>MaH>Y_nNr8r zw+-i9FHw-!%|1%##BH~9(d^Dn!RK=JiFF!U8WMy!+7RaD!QYDxoY)#^#P~i zk@B2KS*|Fyk=0nTsmru~^QbbrT(^dVgxt=_Q42&QFjaO01uHIZ-#kc&zt3(dJpr-} zMB+u>V-M5IRzX{lMdO*`u#NRtn8jx!|=H$yh)yc>(4 z{IPl~N@FVuqWmM)pwsy$Z6IlOj44mlb6d0~_4o;Ng~AeIZ-Xlvm`H00^=|s=zvKU4 z-ME&>0;2=E>cj*R#53Tw#CdK%Rz+O3|5(UA4pIJE4`Qqgyb z_Mpf8TsGhF+MFK#tnRYCjke-ZXUUT~Bh4IGiXZgVS_qf7grAE`REVoPBEpavpOC{y z&(P3!5JM2UT3>3t!1Dhq0~J%*hss!y{f6!4Akr$Mu~!BNUB1dI$LFt5cI|7T&4{>; zbk@GIC*1R|gaRy4^X(!p_60$R>K==sS0CUJ>IN~%uIxLKok;FRV=!%N&`kWMvUheC z?2wa_#eJ8n6Y`gDa?l<`4p`Mj21cfR=_FA}r#b=FZNqh4Z7*$}9%Z**l^y;j6;R$B z2i*Bx|Fb!69*$IZ(UP|y?(+rRyUlzT#^Hza;vf`Y3n}xPyO9syYgv7%K2YmA(2QNj%N`v3kt8ah#jL(R(R4IsRD-uKj(ASAM1Z4E*)`W?O*IknRs7^6lr> zE60YP%n29>K<-}jo&KzqH>+oEC&Tv_Dz>LFtLjvOI`N~N465s!I@!}Ir?=ls)vEzR zVUVX88+Lt{Yp5>9`dow6_xg9JIL6=3bUU9?jaBFKhn}kdLjxZ_6UViAXDFY&RGmbtckx1skeqBf%$%8~8TH-Fzhc7>fCI zGU>z<-o4MSAo&YJ-z5jb+OBd|O78zozm00)xjf*`Hf}m-UlEBv*ITx!PUvyz5-gGF z0WQet{PT8u8Q}33s9RU7yhbHfQ_Nw-T6%!PODIqavN$lIIu)~*nqr{J^n6>v6a_aW zfaB7ces=rlo{3EnD~U*mJMAeV3HpI;SSf$}cpM5Ljh85#PF&O=>wF$~>qJy8_j@5> z`D;<`NlzPYhb;9=Q7?gm>SMK_)%bQbhIopV`Qq z|2Rz?QE$NZjCj-ER8H|dAA3?w+m}?;AQMgS_@EhH6$$F!Dta95W^fj=rR{P)V`)qB ztm$Zt8NIgdQcl9b}ay| z36L_<@ixkt@hcd!!dN&%)-)J!N531<>g+WaBWZGARg%(sjB*{*FWo2L5R2z_VP|9m z^K+LCqjlB7Ja{-lrE2`Ss8kKy?)Y`x4A)!(v>`x^EjfTW^*2*EcPg~1a!^AWSdaBv zl1egR-sVM&#G$FZumV`Gl~-T6&lp(cwDTMy;iR68_eJx}VG#~N9wRng!V_FQ zlP8AOr@nsUC+>E2`jEd0Zj<-v1#j>_B?r91+(TXAx6WM0^ntnz$1D4C|BL*Q zdAv4Ty1ma+@$u}(c@Iq#;GKm9mz&`Xa`#1Uko~1^fNSGkt>1gSgKgCcG?yb# z^ddUc6}fnyof(0eGC=~o3f;qti3FOYJe;XFCKI=V#x6{kHlD>dGGG@^u{l-zS{8~%<0D| zA<84Z$rIRkz$YNsyN3}$T&AyWOo!k%ERBt9;C!8(5L;TjS6}yvMCHSr8Ru5&0}2kr zDeRxKb%VfR+J5`i$j*w3p{Bxw_tg0${RL>OY54YdkbsqdZpZ^~%k=_MlU?G!Uom;h z%L#xKj|o~G@+MUK9VK!4KRozecusCTNI0EJ{|+|Wu-CJAvUm9K4r-$i>ng4&GPCfY zr)nKozemniV6JIll(Oc~9Y2g-7Scae78F6jx?z%2Kv@qD$qR9gMgQ}Y#(8nxRVr{31E}aVyd=W zq%Tz0=nq{L)7t*1ULBnyweL!AX)A`{uU#z^ttJ1rx$4sS_M~9ijwOzkAA^4G{?S!1 z*IA=6kJep_l5=jt-RT3m+4V@oLJpDtot^mI>s4L*C1FjWb{}52z2H$E==*=U!+(Jj za<@IR(%K(OyO8z1#ckt%zBUT>IU6l_9Sd8V_G>?aJXMO#`29&5R1@0EFI;b^sX5Da zebb@!U$17k>@HdIhfocU~&gj8RN2ORVR99?u9LC+HgA@40=v zPnP;b5l3h}wNC73)c*ac*)C7wb_Q0MsM4n?w{2)0p)6W( zfO9aOBiIA^1Gd9RD*Gm)d#7rFx}Vv|at$1Rms4{gWBUnLCv$ew_}h7`qA*ehSq63f zyet3e!_+zHB)#aA+$hG{_gsxI3tgCS&RrOBQzmQmaf;irr_)rtoaUZ>zBNJxb$>X$ z(?+R!SZz=6B)nDbf$5TLUxZ{J278}MaHg{y_vqjaUm{H^pO^ z*loN{b6YEG%pcTWLf))jDoCpP;+y5K(PrTh6w*VHrGPU8gqZmx)0V(CQIqhZJ8;y8 zlnKrj+4)An3>SE@t}+;d5Us=k!a_7D4U8n}$7I)Ct`qE+;8-Q=5JcW)OW~j;1m{1s zm$iyWz62{6T~Uc@i{^eOmD($tYiryfp~#51*5_;41?@J+yfs{hI;?<`Emv{3@#gf- z>(M$rLJX1=<0jDFzvCV8vrR#@fNxW)D?hq?G@X+Amr%}9obtKL#R$>J7~~P`(VX7a z=lSzGo>TpyDXI4*v=wbf_$An+8vQl2&>cD`Am9zz&?0E7+&`ksj@*4-=NS@|=w6{v zMvRW~_up#XyQ z*tCndeMsb~$dtJkpu$(av=>5Pv4`C2o-6-%s8Pu4oV>(i-j$Kwhp@pt)I!LjCeqzK zKfAFH)S+vO)Nf&FNrxliXi{I7?UU$?-`(6Gl%}?glAhA{{{FBaBCXVg0jybx2 zvxs>xeC8@Zi$gnvR}qEO6Cy{OWW?e5cE18g{c~?`??26q`a#UI%gb#U42q=4krWNm z0X_t>A{SXQZiX*9jy&+eFooh@1#w9nGsWIw@i2J;LBXi{jnE|x37HM#`}ni`&fCxJ zw1KyShn*h}uftBF|5W>B@tCWKHJ(9@GDY@`U3RzW+1aF}_$airI}a$|jn!BJrq9;^ z&CB6t%!jwFZ@P^Qicr*nm45!2<7PW|ni2|b?G=Kexj~ao<+%`4b0{=WfHm`>#Eck< zd~^ER_V0uuu@2?shJmX0pA+lxRaZg5g^f1t!gu1i=hGt4bnr35pog*lxTpInvU6nW zv0Sl+FNbe1A9Rd0nK}1`nc(4CuXyh7dJC{zHOJ!)df2y2SEus;VJ9RkzSY zz(le6eKZA*6IBe2RwkK7F<$pCB2|bE3j$!OnxAzzt6P_#&D^Zp<4}-M@APX{{HNa! zd-Z73@ZVQ#KD+bSZc~+V+fwPESF4lnDL5qlsD)V_J5_h#(}3H70q|yX4M3G#Q2$*$ zyzLGHC_#4z{56sM!Ti!F=(PjIJ5NJ~kaqiR5~?59`&2!_ySk#3SYLYrrZ@Orp|KsR zHJ=2P4)t}v|2r+I&6tAR#|bD>e=4SWyN42NKMUk2&^Nw7CtL3?5@^ucALM4uLDQ_U zfuNrtd=w;dDfEjf50=y$4tT> z^|!j%5b%1`%6_|UF_ww)>4Wvhd>-_MhPLZCtm%aC*n~IJH8=^;SGi7~RWC-^!MT9dwn#d(&Lo@kwiJgP*PYTakOOyE-8 zCy$M;-ghhhd7e`$d&~y=OQi4+8=G?H*ELi2QjK$}kKi>!PJ0H(ko<*1ZqPA>}%I-6lXfxrHwmUZ9hOoaV}d<&cT+UcaT&Dvs5MK5uR1 zmDnZv>ZoO{$w~Zf|kcHL6GE`d-!vIAn|8Y?K#lOQb2m zDU@s89@pgyIGj1Ol;Il;0KY7BfI#FP zgUz^qP!Y0ZL07uEx>V37K%yCK zM^O}h)KRfc-*rfkNB6J;3H=AdxWdk+$MyD0@O&fZ$OQ77QB3FGB{F^?Xxy~(E?=gl zS;$UK|J~b>L?tdR?o80}I;|j*iF^e`Z!#3Sn68+gpFc@q_14IG*+3I}5C)CQ%m_$a z-J+qiw6x-|*<8`q*7hOI#WPG#~BBaabgUiod zdXp00Z|f2y4#YxE=7JBTkb^NvzD{Zk-~Fy%7R%c@Y2Zd-1g~-W%588GX|FM`7;)74 z>~)wsS{ik`5Ls_{sHx2~T1~`@T(-Biw(7AhZ)|kguQfvLH+RL)J7D35Hc<^|LPbI6m&=o^_OlyFq)IgbQf)m?nYKO^>CvSkx4AJyl#6wyQ zqP)VwdsN{=SO5wN^#cFLRvatS{u~3C*lO=aQACjr2PYkT3^Xi+-9Ol$wau#yXp9h; zau5?wSI^RhBao1gpiEeZ-E6|6@zwLe>C&zE+X)qv5KDzA@p@BO;_NBldHJ!_(#gq{ zmRo=K{xJAglTF=DXAA9@w8P~XhTDT5y#5P%EPz2k>&*> zvgfgKqHq-&c(D$j^N|Q2a8?qtuo5cjhD&ZZI*uV`X`Zk{16Cf-g-yT)AK#JIXpIQT+Kzz#DLZfa`@i1>@9qmGRF}}zaBfLQ5ftQO!za)2)F%o^5S7p%X#486 zTpORW^Zd46mOTqy;yhf8Horqv_}av`SG4d>Nj?-j92`o#-3=IWiu~ck0>9sYYOTn4 zFqQ;h;>!~x3g7b!y17Yt0I!#|)1$g^myM>pzt*|w9sZ6{^*BM(J?I^D+21VUpCIh` z|A9T#K~Mt*PfHU%Jy7CO_Uy*I)j6M&nQ(T<0N&kSos>F88fCur+BKPh)fQUxYxAxf zjD)+w=BdW9?2sYyv?^Y=a2%5itWZV;hk)$O?1q#u&@FZ9d|Zw;Nwgb9wIb!1Y1viNF>rx8aUL{r&ef4EEvvzc zt_nMuOd^ej`&=psXkNHQ{?5p#FxC1mL|Ton4~ecHoqa*)iGwHfSp8LIvO=O z!bGLFQ3+%;K}i8X-F~3cjhA~dv)NQ|9o;xD7B1+yoIHv z$=*MS+Lsrri2njNbRb{C2Ooq?r~d%Qqy~3AwNrz!VeVs5V$-gLNo;Os`2Hmj{yrgH z1Sy(99%-LH=Q;W*nNyYlqsFGa+r3baq7A^Ovr!a@a$XTdvgCZG8?fUC&HRw#8s55| zCf>Y8I?L-YjTcmE6VOEo!5?RDk~U%*-`rYyR5X-C7Z$p9!Y z0Y=rXJ_(;5L3?--?zr61r~RS0-2GfakiUvSAldzaO%fb!$d~>hVfPja`FOuc8&Wi0 zLB(ycp5APCJCTBUY%v?OFO9|f-2Tuw0ZJUBPab0nJe(i`?O~|FOTc`G>eRm7l^@5& zLBVx#2wk0r=?gZ~n|%JyM-0lCPb;H96oAD0(F-dP*$*+b&n?dA)}z^#xc#H*LXAj( z)X4dhyZzyqsK0aaR2UHtZotNq{#yx`KbHm)Jns>9eZC}x{uM@(Hce(-m9nOYz^Tc3 zE+~+Y9J&$0z`&@mY}8{*qNWM8BZY?-O)x+Rj@uXqu-?MLOd2#D0mv;T8Y`FV{(<{f3FEt#qz zCZ}L(I$!K%)gr+k$`o0FstXt!L+!vL3Ov^Bpa}NwqM#U`3k7-&HbT58CBewy{kpmL z+_4t`2eeL8x*fhbHPtSX;`=Xvm$T>_Ps;SE z2Dp-a4LY=6G9uH6hQ?FZc%aA+$a5QX!kqVDzPC~`dQ$<7de9HXYs^rR$lf_}se0Q( z(5@suW`}q;q-Ydq%?V$)`7gYyw)YK>$}&t6({@joia9|zs$ESC&OYGXH0fNpzW)#1ux(K}?uy)HPj!jB7foXHzS)Yc=ti_4PgI&<(8@^43+? z1NIl(ypEpPGx&XP`&Fh|9J(zbe}g) zK)uu(cdxc|mwS11zWZ(9Gf^yJDoFh@3x25Z3O6Lvk@cf&6+3B$=|XL zZJWER19}C1R_Q*(rY3W?c3KoNnqidXVfh`eVS(({hcjj>~WK%?0{)6(bhy>6iH%W4-DvZv5wx*v{JK>dK&L^W(Azv|1_hM!LD` zqNo;XTuUyblWhY<8j@BfIcmxpKAKE?p}-p@T4cg&p>u|jeoj@k(Yn;a7Sokv(3NG& zIPuTrhd6bWY}u?ioWIDtIKGnkp)BZww^~A^f>ZSe&nk#rrAFZnh8rGp@vAD=6SaUG zf=}#>Z}V3W%m+hU5_;rlgbKjNBGWJ#ylPHt_~5{0bb(S5EeqIa0LkBU1Q9}1@sK!1 zG$zVc zQc8686Bghqg!+y;^^8U|EB8M?*KJpkfADh|Y_A#ucH2q&MB?o(C_kVLM5tXbr@qG2 z{-Ci}9MukMguPDloSDiH-ADyEn();7C=>QkX2b3@o}X~Oe>-e3S%(0x3Le19=^)u! zaw%eBck%BoaDil0=Oj~|0p9h;)x`!!EyIHM1i|%R%XYPgr(D$vE}wi1EdCrgL1l?Z=l!^l4*eR;-B~3DXNKO#vY`Jq(H(h6L zWUEvC9{1=B47(CU|Cs@hD4Or$MYi$nTis5Ak`%bfIY`4Hj|UM@$vI;>x)y^NNj)WG zv5y8~{?F;Ht+i0~<#X4WHv+&6Zw9A{*GVZ+jb4LfZ)|9dsrSk%4;^`w!*;!f-P_0f zTq6Vpi-I1a*!V-=P668*@G3^CT{hIjHb5YUf|9bfvT}XlR6kp_W&uU|OiA&jJ(^pO zb>^YvUshUb3CU!=$^@TkO;HQ32J?=m5fgcQdUV)aQqWdHgrz~cS$w%;61+@ey~Bnx z`OIx8dMH75L8?!E512HDu6RBpG)ooJAj4^QKXR-N+7Ah^$jU zUMO_wSY44IzplFw1wVrVhH&I9d9q$EyXsn7J%*zRk`(?#(#7k6{JcAiw)MY=ug*Y) zaL-=e$J1CnJ2qBA)5I`#AK^|_LmK5E$AV7p_cb{OI2LNjAK?L3x~lPF2r`@bqc4dp z5j>8dKcjTv$3S70m?)CkQGmVXsdGTX`G-8%N41I z!pp`z4DF*MNg#=8cO!FOv)#h}%_HX1BI{E?Ta-c7rE+?`!Hl%a(ubgieQ`uOpX^ zI5NT0fLr`c9U^EiisX0Ke;R71(5O0RMD+Q13;Z03KWkt?4mqb{DR5ICe0S9p6x)26 zYny~_7Nwg1$v9=VnrpWH`2b{+MxU}L<*Q?Ve;iLTq&f>2!c<=EP{fA%Z(pOD|M|Xa{i~% zLdV@f^~=yR?>sw^U-3}q&`%W&g4~9pSlsZ197^nvFDJ5DdG$pl0!8RF11e>8nHYqv zp}?$9diC0rw??IdHy;;1rx{xnQ-|WyhvFMFVSWy$7)~vcr9M%ZoMnSYkwnG$!&0R2 z_PhIHo){Z8+1rnxj<27fQ)}FFX+^(PB_Yl#ruZrQ`T1$VaDOim7H3U*qn7JmK3C}Z zsVY_ixVd#PYv8w4OifK=QDto==R;hD8^|_CfThMALC%L{jui%(OH;190oGiVHiZcQ zJEW9kZAKz@Auo6R4Qb3LnK2o>iwDm)Ek$BYNssB>ebpW#^ftQge*ai1ckfauS|Vt_(?CfcLBqi8OSAjE}fqHX@Jj@EsR+Ra@5QNesL0TS`D&CEI@ zV!%8?LfysqOabA}q9L+riy%k!muR>zQ_xO_kQ~7jOEqZ9HhTM67V>=gN)jPBl|y(% z<*#U_Qc8o+bJi}fvZB__l^w0$+v9(xFW=IAJk&Y^wKe=`217iaxOY}dkh_Gt#gLP^Y)?Y_J%#adrpj(u8ndrdcaymk64XXv%$)juXq;7cB?OKpc!3Ar zWbq3298d_tXD8eq)%0AnYEB%gGizO5IK1y71)!PU?AsK0TSHRRK)}K9tR#hoR*#a# z$6E}*e-{#}u$JLxLK@!ruzg_B;P)YolA^|2_@73<(u=0a)8Rl!yztwu*4$WcyiG*| zY@!l-z6Qh2>Xfz3WTx2$`0ZiGiFkw(^)G;4<9?_2AB|n+p#}`K zwZtwvy=`#0mXwm*_fU20dH2-RR+<5;(KhtK`w40_QU&d{8l(3~BFORimW+aXg%L|g!+~&wsn_l4Slr#QOkFDXM(5BT_uYZq+4F*EA($S5*pC1nhibw+UdwUfv{mP$u0ZNH_u_e&2ZS8G`eqiZD}>+H%YN0$Or zn(H)F!+*J^ha37}J|UJkP8P0^@X?>{|42H^sHz%njUKuik?!sW1*D}zlc?yU#_omzw z)h1ro0#s}y6gVJhoTQ;yW?@LLgCvL zH2HJVRA+Fo-_1nFHb6KYWw>P4KCG8ItB*E%K7C8vtFLqFs8+ZM`h5Rw)3?awvAVAA zg+ce}zGeOH&j2ys>FiJ27t;r~t)S1|_cdAC?VeA$;(z%kUK;Mdm#f1Aq^X}x9`-Dc zhWBR5)8cGCIxM2Gn?Pvt9?(LBTq}Yyx|$W!>zEGT@kA@t0vWlafz{VSZc7omTCG@9%_iX!bcu~Tv^NUcFG=ZY&=-q znroyQG#U?^By*(b=uW9$+RDNkOEQ9+DvM_?J&GMBRuZ9R)|ehc$*7iJKs}V0aL2fS z^`i&bScVvPdeS!<7Nho>s)pN`Z5TSS4BEU0J@SMd88XOeHtP&j{!)u2Y~-eAQev zLv#=U763q-TJIaNyH%UN+UPjm9WTdcusm%DxCna<*Hb3=8O?$&$8GuNz9>GQssmkN zzixiR&DtI_{rfG=0sv0ykF2>+5mnh(>EA6TVy1?3WbT)_Uj1*PDvPrs8&Q^iGF~GoWsG z0ykLDu-^paaq-s8F>#8f*B1s82Q?^SHo>v7!UxdEZx19M+o2DD6n+S(eP;j$a@~Cp zgdU>+FYhG6e1)yCErbxmXWCEfizo*RjeoL34>tqQoV8s>5}AgK>?hu$>&6Qtg7jg1 zQ-hAnuX!GD{Gn0k z2J3s(#$oLKJ8c0Uv|p8dZz2PaF|aN=0?j+`xeSH=Ov!-Wx-R;{M;o*xMLwkMlb?9&#<*E3% z^OHa+|32p^cHC*nV0ZagN(N!n!X0TXTm~^$0}}G!TX*OPM{&{7fO!hfg zG%Tb`x+I`0qGITOKuXW9azQc@r zzA^47pne7KXxMtSejL>ui7b8erWHXoUgfM)e4ZobtvCj@=9wF679|KBPZ4q;_h1>} zF5xBR9=sxIeV8|X3@(_M_+58*$`f`6bk92E=PS3D)~CzD8W#0uU@`WPmVqzbGV~9l zIzLq@IphDwax1a5#HYSZDcZ%|QI^bIk?5@&Caa2Mu9OE|UK(-~7)rlrTv=L|t+J+} ze`xcCq@uW^vY3;Rwn@^tgd(-+EJ!9Yh`KdJOmg+u!BVMpdGUFl@-b9ciF991-6z{I zeulS>v{UP+PT~*8iLq(U7s(>vjAG(b#)}McH=q_S*q%Q$YQXwIVm&N{mVf` zaRN1}S(T%v_`?2L;wl!)=d6O1Dj|F}4)20y4fKcI97237=)!~qsXlfHQ5s)Pa@xJT zDP*|6{+Ro*Vr-o4ul!?EP<|DK7V0{?k4Pp++9`0fjMWI%MTv%kL~39#3?AxsUn+ z(-DJ2e*XNatUM=*CVU9L1>_GPHA_!ynow_5Er1G$!N|4?)o)lH~ImDTQ=_ zw{Nl7N|FdB=D3;HGy}-}6iNn~*SPdg2@wAMWd-qx#(PLGv5+Hb&~e=g+U#2MxM%~Pz;?s5 zaBMv2wh*NZJdr@5Iakw5Dw@OVsaT$R(I_C%c53OeXlA+D-z|DgAQLtCtVNA$K6bdc zK)P;(o~e4;laxO#w|pfi5%J*Fa*#Vz_&9XC2t5Nh=ANtDHU+f8n{~e%rKDCLZ_$Le zxDK0B0;UPGF!0f^&&TtfuKPoJmXFN_P^}GCypLL{IIoU6N7)2UDGhRDea=YuWb`>d zbgSl{9ZV;V@oAZ~IaHkQ%>QufJf(<(-XAi-&GC2mUP={@llZpXiEs<$EoaAHio6d6 z9&{cqc{;u{8ZdEapjt%Ca{DO@9kb=!xkEN$NBHir%FMpYk!Uy&+wbaiKxRlRnT4bLwQ7fb3~pO4;vd*b>- z`$kT8zPaGTYC98?K&Gk9`s&p}N4wKSn`+yEKnICLlEKNs1iPGIhCGB?z10ueUzq@3 zm>E~7y?{>))^4)5CF9MIXV$FWSaTuySekfmEGQu8oGyS9c-j@F$?{ay)La0ftN$(D zUHM%G$zIzKRnCuon3-$c>)buo(1VZ1r;K^g8Po~EH$+Izj7+kV?| z|Egyb0sNBot3%%{7L)6!DCw3@KTmY~^@|gd@1Zscxds5YHKNkpxk4Q4g||6?Yxs=>~&7xt!@ z>@O)g#D60$_#r7?5^9&VuqhNUYw`Zj?3+vkuqIr8A_W(rog>kgg|@{PU@Hcx=BUkU zJ6tGF)DyXgzb#`d7@|W#ca7GQAv(3M zar59|mxrd{R9ZOraI1bb+j6Aq0koIV~*e36o%w-p#%dA$R{%C?WG{ z$L#1Ya+`LOZ2d zCFSuk^W%L@#KntP`8iol$8|t5!evh4bm2P4ub9vX<#((7^X-1?FG+$9r`>Pr?}8G( zF7QPJurQGlOLI-Ry0kAlYRPO{(bz-@Lmt8TQ|Xp?+anj5NSh@q_1{H4t;xUwEC=xA z%>Yi5x^5TgK8ytJ?q_NqqLiPwpne=9xbUbE_jZ4`^m5gkeHw}&sCYfieaKjSzq4Ej zER%L@RaAM3wfz;iOGnK8@0$L++1%bms&DkKPs?*V18U17LDHaFcSO_JDJI&bz zB_w7AsKRG?>OIe@OF!<5bSpI9UWI%b%r%HO4v4%LhXyj<@88$!B6nby)Dln)alt2# z?Vl0;cAtLr;AxOt|dwl~^wi$(Q) z6YIrubN3!CeU;c#kagel+>}8&vP9}sYK)1PyE1e{ z0*`!)awN%N`uC;RWuTxrUrn=9_PJJ*HRFK?n}c5 z@xT4GjrCQj+@S+e?nSN!BcD-dY#<7~gm96~s6WnVqJDcjZW(VqC$Y&%Cy-niHG{tF zj!@mWDt0iugO}{pX>UtMC@e0voiDp$Yj0F|~3fJp&x z8?Uw7;sQPA0zko+Hm7ja#v~94(|Y);n_e34NZ3+U^#Rl>nOL7)j)02Z+txItrNtt2 z-@K4~#b%>-kj%^7zB}ATQbDbWDzsnZ-#^W4dr>@PZSda$voq!o3Il@F6ud$@@Z(Le z+M<~yJV7kK&u3Gi=e@M1w#HMy3QMn*{Mbh@6~KyZkVzhn9C?!iXQXDlNFVAH-mbuSK7 zxW1?FXriU-)>(-@^E6m{8TAdO*Q@1}0i^`}^UZdEo?zU8@+8B zTJc;Yp#N=ZZ@oCWOLOo3;dh>6bwT>4a3E8oW=`37FHtRqu4l>&S;-Px8#A*$kNtd~ zQ1n>?TgHa@!d2uuW=>$aXOBJd%x5d~ZoOiJu-0gZnqSffL~3j#u{nlhE0|^JWu(*$ zxdH=pNsJ?i;#hzwJ971FEXlY-*N=LYst{qtRH*uGgeJy5Xlg0aX)%qY{$RAPm2LB= zZ+GVjax_;9O2t_$?{`cpx7+qT@7$+Y z!$X*`3PXuBP9lcX1VVBk_bA}yN7H;VK_^&I#5dcs++Y=X6BVeNn+sK3To?vjJ+kQz z`%5ChQqb?}aF}eo970JjuWrD9e9)p_FsFN8%mlPggDifkNEqf9Fk@@|T$&3or}6Eu zz*2FrKHO|8!NS;SZ5X#IM$vX!NmSOXo=GFeLdpc@t=In137h5HVh&UO>lq&J9T!U= zB*4DY_;!L{YQ2E8yIc=}tpTKC51UZ%3ZA@Z>U5tPZ)yfyC7N+(xTnMkRC?_rGt(bv$Ak7NWMRMf*$= zoGz&G?VfWb%lT9~USmWikd)aB=`J752_rcg!Y&5ojc zUIqVt7Ua2#I7kr{brOb6F>V58Ws9flAS^fiB`X70Koeeo8*Hrc!6YmXfm>VT*sWAN zJ3l`^JzeejWIym5xXk@+p%Uvx?;8xg`ZiDBcuhPsH#b)= zF(&(EOaDMcL!*fey;6jxos1|La$6R5%Y%>Q7nrz7z$e6~Pm~5~D=bY!hqai2wCe%G zOs!C@mxg5lZMDM=(hHH}XgeGc$7IXWO}ZMn`od!DNVu~zID(v!Xa-|Bt_+65a)=e1 zu^>5K<{K0`I=Y6aC8|VeU6C3*6AIN1u`0RFmYwSXKYqCrFX6q{N7F&3$MaE9C@mps zky3F6;H*bI?=iBC3#7{*dc_YIT0ZINXsfva9x!rJ{r3pXS0U<-v;XB>_^k%7TIm-S zfGezJxDnzz5OZ*z7QT~U0mq3&feGErmh8S>IB|ZDH>o4Q$=n24-^IL7UBk8CoDzJV zC#=sdq_+X?NG&hLet?Wi(`ykhNfEM(%T2#O*IAx>xgYjIos?qk56d+HqvS1e(pP*6 zERU!^W7VZVY`jnrh5mo);HW(?Ij}*8(RlJaNbCx-+8+_68h6+?5w`Z__?V1WF#@5~kBkd~llif#v+L26H0m7#pkuNi(vTxA zo*sKS#>SvOTyEpz9Y%~WUH~>M!sB~8DBX2?O@inydtQVN4>1A7u%(67dU?LW=WRPC zCKXWIa`HUX*WSJ&HBco$_Ky$;jI!tl=HrhakbPmK3pm*Jc7$fEYCF>hAI9nGQNBmZ1MXQ?#n5YEV?)6F zA1Cm-vjA3a>%mB~6p5J2A&Q;ViRq(?sU%kh**C3X>#+XM_1RA?S!kAq*6B~9Hra3^ z5?H$kRTKRbtJ6+xjg){#kj`!B?%^O>&&kQ1$YfMg5NjLaS~Y7OJQ|xu!3+Dteepr& z6o(`6==;uhYtgXs!5j0VCT?0$+F0s6B!6*{s;x(xOc{BHm9o@+6Dm=Pqu0&N$_pH( z9+f`APq1-SW}>2Ji-(dfwt`D+R20U8OE);9=5l)@1vxR{`r@6W+x@sXHVm*aiwj1u5Y zyaRb|WjM57j(@@0$nx169?-=_DV$(wwFK_L%I5g8d>gN8%a-TM%j@XtTVv)W2yeTE z5l_`0M-5}IT{Jkd{mI}a!&2igk>cD+QRp#36g(EIvA6rka*{7~JCiVT9ZlAjq?wN` zEEj@Tngvhc6^y`;vBp(!v%c$Pu@Ag)0HdhmDuh$H(^gI`g^TWUGSf2+;V-n!s0XL9 zEF8-c1Q_(K2FFqJCeV%54tL3jMM=j2VccNAaD%uhpd%y3DKl{1{Gd zEwQn^czzu4`F+_XLsK3#GeF{_D-?&e`M7gYT0s)tN6)y1^qJY#;@Bf);q;~bqVa=h z3XfWEV$}qq?M)ESae~1By;G8eADtvtotwuH0y!;eBFv~JW0JKLLmgwV;UeW5upnoS zX@sxD&_@|6C7Gv2pZL-o$Xa4~!gZtOE|kDU0EnK0;Uz}9U1!%-+l|%z#l8xt=&IUk z6rw}=UMr)!rgE1HWXPkTMIq8b&=&O1^z-U{ARga)odx975)%>CSo0-FBckVDCP1o5 zQ{YDwa-@JWi8pTY(M6Ot$0FgBfKt%u9#OC9=qV#EHcpQ=?X;A-cxnbnQITq#)X6+X#O7CL*`Pt@OSifnXlxa&w*d)NsdX9H3-$Vz#@y)yDr{0AFNNfzOXXe+eXd`1 z@zW2$8xFz~&czey1`llBFz~F^Rl8G*uvIRP+tw(#-cB=sW=H*0e7~;|#?QP?_HD%M zyGRN(v5Nf5K#kQ4N=mUNDPugbDwBm0V#kOQBL~64eFX7Fbco737I?^f3O!Xpl!9+7 zRk?1x98ZWE70XA-L)J4`Udx!94WFG2e;si#nJWRMr9os@RbdGIF8doOS$Deg;n8b) zzuso?+wzk}Q*3P02!wM5J?M~tj>OAut>B>7c|DsaD(a)sR3qJ5=2vdtPD_>FaiO)3 zEu0*64tA8$T9#+=&gM}IZoq>Jm!{{+7Y9P_LTbGSNWQ{YIIOFnI z|9ywP8(y)6>;og*z!b;jPuyb!_(O91<>0?zzX8*p`p%)-Y2g+DLa) z=14pl^AVOt24$`-a;1xED|=OSQsPbO=~~Q3TVPJTpkiTNY-3^gh1T6C*O88JGRhVD zMj$SHwj}TJ$F2&*#P?oO!u9+sJl3xoj~yD>ms8ds zw$?lb1u{)YaV$VOQ7xOP(*3Nzzn_~NA{ZTV1-`{q6E!t4S+DaTySKEvpM_e^@o(Xn zm$%)Fox*1jnJi|1X$p323!uQZGWxrI;8Vqp!)^65e8Evq+r``4qt&$W^+~rZ{13_s zW>D<%s;XKY6|e`JNy`mh&9wiEQDrP+{rI`Ts@-s?bL(Xmu;?XJJXU?80L(Yf-_z%t z6?+qbY?1ck2mo$|{gE5vZ=_{PJCdO$n+%yZvpGQ%Yuxy`dBBq9lic zZTRY|r0s=Wlj8M3ZU1$r zx=Y`_)qzm)R~xQ{;186T1_2L7XH!u8P(^a$b0Hg|6*!Dq0an6259ya!vJG70rR32$7{$GT!@TDJ_)w3#k>&PAA9XT9c`q(dmm#f4jlaH?(BRX*h+Gv#_)+z7oMR1zWdn}cu#p)rhE=HtzKy3EzL`4nkfHFN$ zc~*U0T6aFq=-#%_vd%7SLk1cGQu_N#_Qy1D>Koo3H@qG@USU+VVL$+A-vV*k_OQ-j zI=L zr2s+~juZ__&O~U)hKAojkGm=v_`RCiNJn zNDFa=t4CBv!L~zB5C>)BVN*k&Ui45hU%h9t`!hsxZ$6oueZequwAgxv!@h^KB~Cp? zy;V7+iQMw1DSi%z%R2oO%G!zywb^NSfhr?q(c#6GdKGgC{GGrD&i8b;X{>{@aRtx` zkL{F39XAgf$64)Iy_`9r$XdN_6;|pWTGo!{rvnBXxa`%|PsIi*%Pu+@Hf_KoP}2)| zcx4(F3?Y3Y=Ts3tIl-cmkh2L3HuBQ8{!2zZFhrx-+uz-!42WA+eg<`X%cKw)j2%+* zbocm_-1CDi`9Vcc)2djmS0tbFk72Ij^@VG_T2gIM9VeiH%$TtGyoC(;?({o`<*CKW zLN=sp)%gW_a-I=zMF6u$S_v?<*sW^!kSYJT+J$Y{n;^l}2-bA2~AR((2(c)Ab%B^o||$2Vb(rnRgVXw$e4&3 z8W_)>G;)T182LR92>q}?{xe^joSa-*LJ+bv4kFip8*bcnFovcuAWOJj72&pBS$04& zRtW6*AsHv6M@E{SyVI0uEd>MPA0K?J+;p0p$Tp5i8W@d_KRWy%Q^0OH2Equk@-T9J zJSxhxFf*fV0V{pukTK6z+8UHDm82ArJ(&l867I*j=|v`|hY2S{kVv<8>$~3DvtM!` z9JmRLCY?|CoyO%rNf;BXRpSmIkL+@B&bin5p;BQ^LQdaF(Xlufa^i0TvIP*v!l1G; z8rr% z24_eY_}tg{Tucd|MG2ygglW6&p+V73a*hF^_QLjd@JSOvOJ>WJ;FI5aJ9NXxdBVn7 z63G(9;r&;43g)KW*uKA?6;Pu7vjo2Jqz3Cz!)FEXQ|^oL?(${AaSJPcG8aaXnIK9~7tRPtk+5BEb@wDv<|nWsOP#q#+G2pQ23BL6suTj2J|CjLFWN*kSuMc@OI^ zM%QwG8mQyY7yZ~wB-VEk{=w6_0ms}A0@SaHn4Y=>g}wJ;Y*-S+aBZ&axZmSqeu1+K zl)sz3ueyO+PxtT8beCE;u^U*sD-1lX4(!~$t&oMr| z*9GL(oaHPm+u0utCF_=>FdNotJ$b+}7%O&XraG^iBCz^u5afw^YkEE2n2w>hl+4h^ z&!T;_3U{&?J7W-m>mW$-ME|ijto`dW2uzMJ_!@Eb8f3knz56PNEFmH}|9n9~b zk3DLlA$FGC!-68ttgC(*ep~aon^VmK(rhWR`?`uoHH?;L%XJG{4k*5Fs9)-v+v^%k zv7`_>S*=*1uC%aujpaeo6o7+3Ih`ANW19#0gEH6x_2_ zy#u|+lVBi3mYjetg3m707$RvINwrOpN72#rY(ZCW;sHTn3^QmbDQZzJFoBdpW@4?L zXg*y1Go9Zcj?`qaUfDUF;Qf|H6u?pRb43k4GWOg+rc29W5YuoP#NxL(T+u5ul-jV#nFjK7IE3x47a-d zKM{w`Aoe4d@kc>FIzKZfZRhgB@ZB%C6N$qwpA=rJjG9!43gBFp#5}EYkw37Q?b{S*y(k_ z=k@Kc;M>!u2JPDI`oD$ic|HvSP>e5kU!~Q6m*CWM$5K_IJtiUow_V1yy4_G7wBhS^PKVwU$!ayL-=|lx&ntqii zm&QGo5`gB74!k$%E=VP^3@|lp=KO(uSaZ3mHlBb4C`i7S(;A3Vhhd(5q6fDI-hOxb zxoamFpOhRiea91u4(|~_rRKA2UepG3RHZl5{ZEiv|GVs}Aq}$AScx9JV=#&~1QY43 zMn5=V{=2Uy9*A&YB5AFfY;1gd{j*9L&0>(Ox1*z4Fk5*Z*}?FfHbtnb+(>IsOzf{O zNd~>bK*>YqK|m0;79);!R?8och`_m3qq2=k{nEh%`>TkPhG2vBvL@@XF%C`ytVDDi zUu$_KYpAR#w&R zv;|hpk}2>KFS9g=R5X}>phgx#4p4G;t`!Rj{%VQ{zdHOX=lSVS*;?XWD9*OZq!N`I zYZSZE<60g~0&3X-MIY)?K!F3Va7-()o0R+HxG*9db=~zNu87D(F?sMR0b49J2>lF766a}B>yC=_{n8zaK)?wD}rcp&FYfD&c5fC^n2 z+XSjgHZ)LIVUyKqc6yX%eX?UM!4mA9Eivy;Q@OG7eXvnXoCjB z#zPN=3?fFvcf9=giaJORgkl5@x*1OOn)vPquQA5JNy6x-pW=%tA*^l|c!JjC+ot#v8ezAJ#sEQ)=VEp!?UfZzqbdaS91RMc| zq{aQt0+f^L<`DUnWu`&;g?VaL?Y6nv|K4&LE!kz%H1lcXL+dTA^uP2ppoi08i6g9u zpRUfo4TQZvl}C5T{vzJnu9RpVG>R{`H;}nZ&t{VPHDko&8V`P}$=#7QPfMwtAjU*l znPG{OUkjh;FcQz1|J=CA;%%R~5a89#44^1+b5Ec9>@j+kdLu+c%|RpcwoJx5Rnb(7 z;VEPC`g+-=$>aCdN29a9RD)!<+}kh6(uR<4A9#3pr~ooloEp!BeTbAC1qYzxF;nzV zwlHHZVz9dtmmlo+x)r->#d&Q~*bfp$m?@D-6*u=P2ND&S%^8fubE|R>o+B{}YmG*k zBAU|c%PD&d%rM3Lp@f-)A!vrh#AUJ-1;M{sGxWypSM_$}A!|(jg?7hlzkG;+q$78p zAXy6>y^@xhP=BAr)n@9pb&z}J#N*pH8G zO9~6rC%dx!rq-5Y+jm?}k+;;}z*8Vnh&ZerPvR<%PYPim>78?z;Do#EOL*vG=n#g#Dfv5HGxP|IiuyijdC&o|72)t>)kX*Q3B6X zXdMmZ^;PXT&L{KZcFM_YIl;pJC?z>K7z(J-F7ahkrO<0kh!rxaSZktT0}y0I;K`s; zkjUs6H4^Y#Jv&6;$I24e^D$Q8q}XY-;zj&JLWD`lDEkkHO$zS*Dzd9q`%1kO1Y0=V zm*EdSPsMbd`&GrrauX`B;c2*ymUPdLX)w?b*F=4>?^q7Z80VWPU({Go{Dkt;#0pwS zLSmplf`9>+0we?8RDfaau(xmO`MTiT51D`$KgltfCl>>;wM?BMeh;WM`(zlfO%ofXOgobPt zhdC}Y^Q@mKN`Y8;_pwLlle;L$)OC&FfcL}m2oTvn%SvcH6B$Rn*&%G_992?yxjKDO zOpxx8-;V)6`8$){e;jQLf45vLdAH^tM`D%iHM>u>5PXVwB}}2O0bR;ovDhdLS@r`z zC=SL~5z!e^#2=3`TY$I8x>SS#*4!$upQVUFFlEq@5-6qGhOdRwCs%^v@K>crt8NH< zLTIf+5!~?IV^AGS{({x%{qmMXu8ZY--t;)E`rrHRS<6NHk`<0GQRJ5(43&4+H(tVFp4m|5stR*=izSB~rk|LwQFL_NxQp?N`-1hAuxY!;0Tb4e50yV}L;KvPT@MxYqI)6A`E*X}uCbnz>Uh9#yDVMARl# znnp~}o~gCkxCs>m#CR_Ez{ za`@Ol6wQWcY~|4WgBKD11lX%bVTK_C;krC%MB>D6EngDNl8=cNd zPA?Bn#cdzoU08-q2ijkaJx??%dMv$HRxX1@Uo~wSw`Uu?xU-17F4qNRHj!>vX(A)s z;N3G?e;G1s^gLekd)m>Rds)#zQM|QjE(jQF?k9D;?%m(t1Cb^LR^s;A^KRtLKrHz| zBlgd_o>zi4FFix1UbC!$2hU>C(GvKR!S@N~(pYxFU=i?Ugw=W5!wG9*M!l&8wz1cQlv2#54fSy-JvS7h zfJV%uU@0--X4Zf}()q7yb(VV)-8pKE%mvVjSDN%n9F!}jcH1c^U2oi**MsHHIj&E^#A=-_)3X~B-v7E zO+@@MGKTgXnJ0w{i|ZYvvhhGz#Oov9Q@h;Te16{U*ERT2<+66=ggxM6;!**m>pgzQ zS+90->jfO4yCa~J<^vJpXc2b`0W!Z|kmk@zv^jz6e7FGw=i+Poy1LoH~!@rMy7vX$asUP^)38GmzqslTM>fJrGvAmj>y7EvY>`6RXp zjx!r`=kBHU#U|y29`Ofl?$(3|(YkVnTm7*^k^SoAhmXj2^b0s~ifWR6!+__5N5>VH zY(oD50&%Wge!;OOcpvCfU5Fc^1kr{;g8W;Q>^dMTqPp$Ku+%?e9D=o6wW)*y$VBQl zolvolN1%+^%JpvMGqpPP=BU2Qn9k3di3ZV8o*oWK`5qgrt!)_o*>qd%)yOQKI>w|hy^>uQyVh#tzAZmH=Vm*nL41=A!5)JzNUjsjMW<|JuN$$RjcxG(+H3d)QJD4mLqO6C&SHz~m6ms+2Bf7;m1+2yQOYqu#-OEBF=jT7R7;BY7@j`+wsY^WIM6?1$Ju`B zwU7>L#9zhM!_v~h_B@b79^T@Z_>Kj}7iseAuf^s79KgJf>rlZuEoiXEsH17pX@lVuzAe-}u5R00=_@~CfDgL8a7M)FhM+QF z@AMM;ak{lG5oo4}0EbPNzdCW)(z0YJjZ$Tqwb53kn^NVnXy3+zf``U1mHnJJ?jt>U zB{mTq1V2aBua+V4;d)WY*m5}m}K(t#+>NyI0*QfMBDB5@o^IIop9ytr%>q$|F&V8MFMLVsA>So~|;Y6viQYaPb_hkta{o4f`sC*idQ`Jrm8vSpw#NNKmiufX7YYiqW(N&j#sD$IxVj_(EkEK`luTYMxk4g0T`f}-aQYSmZ|ZzvTz4hg6+ zu9Q%%zf|AsuP;4a)J*=MMd?Dot%UXKU`(~d25BWdVdBfC7?S5zVGPq5)QvqP7N2L6 zQoA;WF9_;_kV**j!x!|e?@-|lll#psHJP4gf!16_v}cpjEWA$#S^#-msNl(Yn!5p7UQsnhBnXKE3?m6&)N(sTGh z$!m-i$&*7DbRkaVtQi-6e=8|D^SyQTqFrN+eEqrt$4#ng*KVfCSS0Z?e1IAdXli}u zMz1YF+SK#87FQGUk!o9aU)<}he6RN2P3onlnbUKz&8@Vl_^$Z!l6lIczc^J?uQWmP z>pll+x58>)sJ-?a{6@DrOi5lJ&%K z#)RvSBJdQcU`e#7Y7`gLQ8FBv$$aYWxzSIGm^jf`VJLpVIoH>)+_!|dRxHF{fM`o0 zdRWNJhmHq&0tMYo^tX!(|3L2*PPsARf-5z1?q2bdZW*3Vt`nK{SFw4M%G=qb6naX8 z&JK*~a+>?Z2pU6&AFJnNiyqT3{Fbt)AWT(_mWA7KRrcgAqk;4F=q6k1$NQD~fc?KX zavF`(X2V2Sl)0>`bN7PlZ0a`ApHk3Bc-u{M+Z*$Ztq7)NXq3sSkg>c!epsPA7^O5% z{!*eqSb`r_a0%Zc=9#OOxi!oO$0zVNEj7^#Odh7XN>BeFYGs3H++OLzec_c9%LP^oes-cLZOy_Dgy@%Pu=9jXJrwMAqJI4%PD%5@-%g^S##V_;cYu$ z^tZ9-X>c*>Cx2=Sj}6}r#>Q9o?|DUyJf{Uyn)MuCILjA)YYJcjd~8EQvr8}HG+Mtc zNjvM12(*+H72tzu0VF(5H1f{=&wf86F+2W9&;@mV#tvtr!lr`CgUA5Lkq#1~B%j#m z-2i7;#BP`3Z=tZ5)T$DPbmS0aW$fTD{keM7dNLKXs#gItaYZxA5saU`*0*NOewHA*y%AtP59G z))0)75$gCR>Akv0uO?t0Ch^gKvjRF+1n^@;0^<4KQO};7$1()zzF=!=HBfo^Ci@EL zUKDT#GDO_iixAYLJb$=5N}>WD3O|ZULQ?45iQMwimf0nZ>v)>Y=Z1cJ3S)gsFskU( zvi;Bc_#c-hJY-8qdVO`Y-Ap%L#gM^$I=@*Ax-%!G@3QL1bMAXOHMgUhx@8MV_t$pUBIZD@Nwf;+TF5+ z_yb~E`63kM@OK9Y3RK>P3^p)vqx>;3nN10U5!v~*t;znGbFb#mSOFQB%pcr+{BHVm zACCdMydLoz2`Jfu2KN6d(<;lp-ZXsf(}Kh)77h;&7s{vP(8}7`ohLErs%y-;c%#R= zNQ~@X1D)7#v`B{z8-8BchViO?H-R)zdP6lSjFRE$tQ61yC08ESq6oP_`k=te%3tvU zF8Lz*v`O{(W^t?KxtE8ZZe{MbI%M&sMHRxEJIK-e`_SWr|8C6S$fC5M5f`m(!Qz1I z@d8Bj=$o)B_>WX*0+Hx2V%fs|Doi?_j^Yu>yp$mn`3b7zz*+bePP%UTz{fZ_mNsW_ zKBx!jYQiA4*J?%(l`Y0d$82_(F5o|FWk|tSA$T&@B+rjk<@{N_wMBFO-Xo{<;ysX5 z_x3_uzp?XS{ADA70J&s%7%+NmCaEmf&J8X>vq56`BCq8Xp5xvPCxwU=D}sU2^DXl9 z;6yAC&S{^tz)CJ60+xZg|@>}4axJkw*@rXlQJGeayun^*&Cqqeerui5b~Z!ktk=oL?E^nkEd(?%g-zy%mw z_PXyS7MoBSaS;4muMzc`>ul29u3FEw<;Beq?C(V8JR2Of?@n?te*+=I=Z&41_R4ym z9G}es)X6`8$JZcT>T_^@VY6NQnTK9R4oZCINdq_h?VR_AIIo7!+k3%CAE>DQMi)=& zwhNdWsNFDhtlwP38|YI@k2A{d3$DkLC3DAC>Y$Q&7$X2>?YI4BI7*HP;b~^0&ojwN zkGmNiS!$HS3bc|}-C-JRm{fn9xV5`oji1kKZ<=;IdDp&mZ1roE^PPYJU)?IwZC?!6 zxj58cM%ZaaQ|p+C74Pqp1uuIoq=~CrTU*z!5AIGsfa=gzbf1f{nsJ|R^N!g>1~P@GjH|}Rtv-Tdz)XSFj)eno9KqA-dD*6qsuGkcPrw0%qUS(KV@~~om>yI z;G|~~i6o6@V|rU()12@|>7Nz_ms{YG_eu0W`&ypV(<}wW5>GhvNh=ns;OlUd(vqtF zg<~OBMna2$o#p+NGhRvR^`oz|wcr45K$CA<|1e*G(zwuivMWeH$<_ z+h5}f!au%ByIH&Fea>cMHCMffiK(Y%8>@Y~=q9){^flYdJKBhP|6X3ngAtio)3z1r zx_OxxxrGp2`m`#}FUU;LC-2X#`P(P!=YP*d1d?PPhNmyZ)#zS!`P<>u&)#RZ{=C0( z*@-BH8^0cb?^xJ$57eh$t`OMC_1kP>6Qtt5d^b3ewpO z*=&kQ{F*k?9L-YOgSykT9EZT*Fb(W-{nCtV2{%qd3XcE%SEjupo6(w${11CMJ1Wt< zOUut0S3!)G56~C{lb5k>wwZJ)>xsyVO{1EO{+7~V|omORynU9tw011qs>Qw5~)QbHNO>fB{f~^(K zxTIbf5<0n$0co@D;c|o1RU#9nQqYU0IWbLGnECjqmEy1oSojbeKPWap>a)=YNm;ti z-0ut(0DyrK=uG>7d9Espm_QB%3#BZQ5K9pXi;WF*!)%~nDsR?z2h8e>2tI9%{X;hI z=Y+NOHvx<*u$yzJuOHQ!r)Oq*r8Nw)j!1X$Iq?z5MJ6Z$scnv%sMA!KCb6YuHtj1A z#KPo%>=xJ{7b;WE2r!4XovW;FE_uY@y2NR_M9r+GdWz40Mg{JGPmLU zUul-&E!;jf(}QXZPXv9!`h4awYWJ-ZF4I5x`4O%-^ZU;xwLM$z^Am($bcbIfTI6z; z{(nmp+7HLuGM-&`bF&jjcRc8y)nt}BX69xDSxnUsy$xb+q zSL?%8M@-#HHP}shW^Q~sN`v-aVfNO41Yt0TB?~1kuCvC={_&YP*L0_`9?zSsQFy!d z$LSiga^Lmm%pYmZbuhr6628#)s4WvLz>n@FO8Ab4#gr>8gVSm*01g>UVnzz;24^ak zmX?`5PZqL=X9V(|8wK$g{u1QSfBvXLLm^gRs~e+qUJ}nU@319R>=kg1!up9Z8>)~N zmuD>ftvO9eHat;4QE1$Vlypj+t2B5&W$|%$%PVLoJ&j(rU6`ysMP7e!ZLVCbbDOLs z*G@9Um+}^G+vZ8F7>IyYeNbOgmHm(4mns?xid0Tqb2A^=c5dL)eHgTIbg=QlBXR%N zTU69QRS`xex=TH+kN@;H_2sjBdMHB|CKie;!0PYIAgpgsEEYyCHO~FpAp-jAsg@m^ zrlF_Vtkt;J9#IGHd2cC{A8Y{3Vyglz&|4}yXT6Az2#1}6AhWO?jU9j)-*9D`pHD*~ zSZQKkxp(X&78lA4O?+Xy4fe8@8ARQnie@HgIe+>rP-~)_??cnM!kTuSt z^LGcl_Mj2U+36{2NY8l+f(PSOga52eNZT;*8PPT-Nb!TJ3%gu;O==Dq!p z_Rh97#N?0LAm3%xRIVAeV0+p5RaQl&HVy~Jl3;@OdmTgOYhO2eG-Jo^Wc}zV!Mf0T z3-@|o4qN<~2PFYbip%TwVdpWc<6#CA#IN-GnfBj@z||Pl&93FKizGxLj4eE39vuM{ z7nL-PKNx`x+U>%Ced0=`8R4U5xtTZP&H zrt`(S-b&#c=XG$iyk?Rf$wgW?!~{f;Qb>#Va*ifqd`qnk)qKC$mkv-M?*39E@_xF2OGgb2m>97BZo8S{mz6_!Q5zTb z?FMJ{iORH|FPAJpXE16AqoL2ktk(L=R>VNT^!v%jPW&u3(_qTwl3;(sqe9m~Xj9Emz^K|qeCS$@9OpzbG-PU22TTlREpE;;)k zb-zBE-gn3>nK7wyRrYNxZ4uvqqx4{C_to(2afwbXM~Uei9pQIOMAWx*4~6PSH50dv z3Gc~E4KkkgBlCOf7+4(H33OFYBZqOOm^u$VutHtAC>CetsXt;-s zh1GHM^e`&dl{m zWES>G^$BJcvqM#fD?@JL!mkNLTUf?2_ySphu392hAsf;s-4QtP739_@UQogi!F&z1;XI6jSDB z+5VR&61L$8o#}Qr`HSe75X{I@tvyD91_?cTfYuMN2xB9s%^UpEB*CWfMg2=jFo|DF z=0dvcr=nompp0>gW`WHIam#RM$N^L%P#NaDULP==XjEo-7cgBj{@&x@s+cA;&)R+5 zaI&FVQqnCLA){mruWWMLKj%WkC4+6Ab=zKi%lXEL2rDaNs^O*Ph8`#Oqap&3z&fHJ zzg{ysT0*770BDQ3e&FZB(&Zz>%T+=B7Q>gFrIwJ1lKYj*#b=^wDN+Ogv9Q)a5EM)- zP;v8H+(o*uSG@S63>_>~wx2reM^yUoIEBU-ftI(NX|qE{04onx|FghQgUk7Mfp351 zL}in)=#3I~utYI1MDPJO6}SCYSc9;z*NC7*Pgx8J-kLK6UtP^lNzVYi&WH+M;u zhQix#r^M?-SzY>%Q;LKf6x&TTR)q(FP)n-kodC zNj8u%0kJsM1tq~Gv20}ngLb2?0MM*j=zhgX^&cpWzaHba>k5Da5wkF<+-HZKDw(o) zEZ7`4J-F=8vOU>6Kmu()Ci33y^xxV@g%VnLzfoOotyv9X&X!^a*){@oTv8V* zfCt*=>9z8VUFxuIpEOm-Wh)L@5h1}RQIdi>KhTpjKf!YiZ0kb%Z&YB#k_Q?^;vm8G zYkM4`B&b3}>EKqJ)RI({|GlKBV4EH%G1=JIgy)dwh#*2ks8?=@=QFsxzX)ZyS*Iy~ z%ztl{0SH)%7wR4QNC)SCzw8and)+T*zfM9Qz3%-%K+uLyzsYw+Vpe351I{VvHxf4($&?4?zI8alESR5p}{F2 zFv44yKo}e+o3A%tUR-QpXSbgF%UuA?P9tf*L&r-FJODHAe8W8dz}$PcWtuss}k+k2Z^_jR}{mQG+=zrHb_<9YWS!qyzW^)%RX|qUv9^E?XbiM zy7mX*twqqXw4AVP+Vf>`*DP-EFZn8b01b)%A#+h7|^(ZoonVhMfG3F`URI_VRIIr)bxE-;sQ!Nc&Q~BjNylp$| z^KA=H4ww3G7gIB~SK~&;&z~h@WvC!n z{&L1GwyAWsYyYSPrDf34ql^WRSfRmiFr)N{^nJc5c#EG**&-s50af(uHp{mH!Xej@BQfG8-={VnI^fs7RC1wScyNm?=Swsk!7mNcTJlYvE4bqrU{CZ2$%CEqC`&S8!G4fh43H*u!&Vh5FLTpHGjf`2@XmZtm;D467XbN>3ZV*$m|X9pa?ZXe$N9l#(SQFipz<%=IHyr| z9p@M-nGpaa2<_Sp3^4fasmuzyVE#7~76Z##$@K=W8D83#%|pxh+ll&k)vTbp`_1f{ zQ+v}1dQ7cC5z@yY$ zuRfdx+eJ3hlNus!#mbT+G*~d;?9$^}Q!!)dr4wfVWXFnZm&Pc2=8F6WnB+_Q#^5qf^+;OG=tM zW_Y_ECq&+jeAg#BJHcQ3_lv>K&OswP%WqY=ZMp2f2>zLs(tn&T=pPR6F4j9c%x5t4 zI!wGhp5u1ADWQ!qbPxQ`fhXg!OUZY7cCxG9MW z`z4By(W3EPDfnju)uFh!&Fr|%O=q?yo8i0l*UdZK_ow?f|2Hi|{ks^?w`y)N1&DFv zqiZg4UvYkcMVt&(iu}_$cK*y?#_{X$@bEjJ33Ryy@wbKNH(TuW)Kg+w5E}r`&cvVF zAV4brU%RH@4%AJtRKhe(GH5@&t|(Y3K@Ppa@3D_&<~CuMiWej?!+(bMV!!fqRY{`j;*J2o*F`R8uwrfQJ@672Q#R?{piOc-hF>jsgHzLL|yq zGH7melhV|VcHR^TAN(H;ez;$O*s~=w8vhAy4xAG4*U#oKA|>pYjAWzq7^JYn6iqb* zfUG&=&RJJ_tiM0h`|NXa;OG0Ti1&8q!#LlU?SYVxh`ZgoAJffXm7hqvk z_(oArpyX&It--M;Slo+|kysH%gpZ`)hGcT=6IPh~r|IQoPrn8`S(^j)^Q?>PUsgiS zjtYa{@-gc;3OF0`HG(YZ6Q_K|Ed=tAC|nX*WrNvmb<~Al2d}`VZZVC!t^pASMWX0o*RagTx|ri-o?8m7LIM8HYTc4@uqw`IN>U+)re({HL8tZ@zlb35C6 z*#8?kX)$Ns>_&V73mJiqAD!caK19dk2}=`&V-~GfWx-a$Q?)hRzSrXX|F*yA$R88a zzHHd(D)Hx>bZ?im-zPII2H+tVdzRtq4Td)g{r;=QYj@Wxv#`Q~sKs~AH5+WkA$8_i z+~Q*X)THs#DJ>`e^Q~%{`qrMK-PSL_;J8#LJKZ48th~E3{=ZJFtx=*qt zoYTNUrO)Ihy}!+jzZ|S|@IYvJr~tR!z}=mo9`ViJeHkt!ukFlubMJy)u##=9YEYzY8oKiIl5i2?z;fAICcidO6^L zKW#0-yzyKCdq;awaHV!%PHVF%^qP-`qVr0mO7ZN@>{b`4%N9P2x2>&>fAAoLBsvT+ z{mV35UghWbTjyb-Z?hPXa`d!U|7L89-=_!d2mAx5#XrS1%9Hf5N+B=7oXP5D3pJns zqR|i_vjA(}CI15Q!!LkhlN1&j@D`yIi15fwf&Kt(4TXm6$jG=N>D(?A-U_%LMq^|J_O|>2B6(u zQD;tGm}1;TEkh*L!3qr#i%+t#VN#<}s9;=<8up!;`x@EKKx!9$AlvbVOpi^_^SND> zf=V883ST?B7u=<@Sn)Cz5N>W(HFVh~gSKSQtQ_{lWRwPAim2MGLB zO7#l&>VjKdwju6Bp}KkGBZYeAK8YU(z2MY+5c#|kjqNfhK;H2apVuBYF`qsb6(*IS8v@kz-sb)UUNx_kq$H! z@Vz8B^w4?9m3W|9y0~3x-c1y;$403;&%)oCXm~p`T=}%Kdwy|u&m1F3*C#kk)FTvg z(!4k6bM^SLV1j`Xyp})iw6+Iabp5i-3oLfYmQyS{Bc@$>lJGQr*yQ|)NJKpBo-8?sQwb$!V6A>d^3yVqWSzcK`;?Dii zNXvK6>3@G<3z;-eD3y z^Lmi^s})%~zuRnb`^`0IcC*dWYX4GPDC9CJ=||3FmY*Nd4%hK=AetrUHtteDBF%fO+ys#LmXD6etPe&;f zKfPaqIqMnJgW98LwJ1#WQy#CDy3k(_ZcE29E>f-uEBOjHYq%?XJytO&8ts3m@chxc z`Z*7Ek%`yR;d8j7lsRiZTRA5QJu*)www?HrNgscIe8Fd$UCUSI?6Uv-2{zGaohcFWXv*1%QLmOYux2;DBfVLk_dZ?my~ zZ;cQv+~T<$7Lu6mtbN$V;=&&8lU-uP{QIE6*UREPU--xC;Nhi2|DxP^qS(K;Kvaav zk-o;rpN894%vdY$C49}Yka@%ussCISCqzCaj!Na+@~KJTF$$9@hjw)t2h7w7qS;bCUo1ixQft3K4?8=oM0g^ zi+H!_{@v3=&9JWKe%3Utm~aL={JYb)9+_DEw{7T7xN`|>d_5;k$Ht6R=0c+FSdbkL}M-5MQvKeuoW z*V^{rNV-r^|v&`LsDn{kMOA-%Z}n;s(uvrR3*$hnqIy7}8`M$<#_Rj#)TwH{wSn z_Mb?bP$I2)xS*Y&^R-*7U9N?zke%&{J0#~qU^h`KT2sSqvwI#e+U(Xc^w|C00ZKPQ zD8CA1(qcz~T^mxTkuCX83FB!4B==YV#>e2`@B&2}w2*0Z0sFH)ymeGSbqfsk6ncL& zyuVF+y+&z&?)fCgK*+&9(PYBztHkchOYAqX$Zk%>rs;W%yUw#B?ySFFZ8Fg6ajnR^ zn*hdZ@TJI)rE-@3{R#1vB$uUdHB7}2ft>N6`Qe+w#9{*)8Wb`> zr;FfN(>tcZ{B@K1SN9FS7P{tW%6tjoBg^?=`uv+ohGmDwf&2sstgfKr#+EWVVw+Ex z_9NcVp%6$sGL;OzxCDkOWRr&(F8~=$hz?~xv`ATsKs=yoIfpSngqHJ^2}ll`Hrr(% z@+(dY_J`DOe*)tvx+qpr`b-=sg7$y-b<&z9{6|MT4>d$5#zDx1V$&Bs?^Ze3L{>&1 zI9|gDX6yMDVvEs+i$OqDh{>Pd>*Ju?mEibtqr+^a`-#0{)_6jCxdkSx&U*yet zjueRvvM+I1Iif)r0=ucy;6Nf~7~C1Od}6ciPG2e0e@x-zk( zjgOI-43g0CFdx4)yZx5LSqU!bi3#JB_q;8fmqT-PgaASh?#r|y>#MIb`z$M$E2-L) zJ0WY@@0k9qlJ0A1#7REH4g1i3IS6RXd)iuBct}B9wkr=@&4PCe$!24E?<-C;;HX^( zEYUjdmdJv7ioGj`63DQ>St@x2LL90n^FV+E`~eMctgMcO^cf4Jvh?QO!3N!PKE9_k zW0p>3_QyYT>i@XgT7BBiHJFA#c;RmAL+SaCisQpX7=^m7R|-Rux_HKr2>tW21lEEw zBnlc0g!#GxmY1<`lYQ*>w}=|Mr^vU3o-XfXWk(m$W1d4l}fppS`6R~^gtyVfS;{Fh!9GC8D>L}jmZ zCDLe69oT4rD zk5qnV|F{nQA_gF0gPv=q;rm1CB^V6MQiKXi9zDO{<|Q$_y#-hG_1jioRk8HZQ}~Wg zElrw@Jq^rt&Dr8qp_}ca*fiDEFzrt7X+QYUzH$=70Wt}YDW)C34+FmQB|;XJT0@*$ zo+OlB#4iW!(}%X#CUs3&3?yVcyjjfzP3x7Un$J*Xte zcN2>J$u)ka#J$Cx*i)$zpsM3Z1(SJOn%J1+<(h;R_e?fM`-OKyM41Hx$OFldvNJhg zaROV6JOf-^sDERHNqv13OY&2|$X7!36Nz;g(-^fU@!B~w4HiYD2=B)J6oh>lzctH{ z1k>r0QuuE5jn#BEi9q;q$N7CcJHhF#A^DtLQx3vgFnw;U6{*9s{w6cM5Vu+TfmQp{ zd7Rsx`Fc;v+)Ad;*=?7$46lfBs`^-l`iFE)vL82fLIb}*PI8_rnvsWUP1*M8rbtNr zrcUmY?`izdo3zhELpkW|%vDX#+M4V%Vn~I}B@0jS=D`*HuJqq0X-MbPt<9?V;ORU57g4TO>$$T2(xnGA03j#cF9;o@WyC8rXfO2StMW=^?;IRgY%* z7ng<41B`3!-Z>U~rKK%Ww_Lg=BmDO(A+~L|j$|)i+P#D*zIF%B?d=t~<*Yxa-kEp! z4wUuarSsAxG}N4LqSD2|u!>vFm3i3eZ{;mIEYqKScp)Sp@BjfPC4tM{VU~{8!otG( zdR$$%wROtqE=BiyPI7;E__gL6s+U6hVh=~ZIkPCzrvJ`YY@J`Zk>)K;tqv@B zi3zz63*WJ_!QP!LGwi(p?-zPinWmO~QQ1v6@y%kVXzS&A2VX6p`PUdjuQdx#vh~Tu z21jwb?pbKU51Bg^5ray?F1WcDH%`Y+L+uz&1zemnn#nVBTxM)`QMWA>%d@kb4qFmp zp$StC>B_WuC6-`2L|Z1vX88^8NJ)nI-qw3hE{7gSzrD@>9|pl6TN^?7MWgz2!ry!I z-6zDjIG{$~AEMeW>QhO#0~iHXOpSGcNkzu0E)%!C+SJmrtckZM8Bihvf2iFig>WUY zrNW3g>4GXS^z%bSAf!gv5~1)o>mR1oiBxf>mVQ~C4k?r&fgNM(HBasU!;Yuj@o~?0 zxX|CjB!O!X7zV_QN?i?ZF9g0 z=5R&CY2S)yMF3j?db4dZ4a@Ia+ONY!%;Jo#FMm#;QDgnmh|0?n$j*2hEBe9iQA}Z` zBiqMzK@L_@vZjk}&lo<-A#>s9V`);}>nXYOg?+Szb;E)ZMM=r?u2(M4AM_xb+;w^l z#@PMioY$-Id41BUF*`N*;eNl%t9#*kx97bouVta@+ipHs!oY45VvcOfnD?>UXliUZ zCwoP2K9$eYxDPdpZ>Kun+wg6*KWx@crF(FHE;MMSb=3RZWbNt&-%EkwCohfK1=#bD z)bjFYYo|2h46iebsBQw&DGeU`;GxN+6nB)q zc?Y3VD;a;@koOgA*koz1DXo_gv4@YIHhHLhEs#3b;f$qo7y8jy7#!(uXu|W1K6tu@tO6jNOZ5qTg0m>O&~P+`miZM4u%Q<`NLo046>+N;fa$M2%Ht4g$hu8e`S5Rw1gf;ywTA zI(C6)(*Z%*>^#P{@-_tEz_*Pizo3^`k^j8IRg$WcR+2)*7#4%XjcnJNSm|Mapigj6 zp`S55eN-Vz-`Q)HW~@xuTJ}a9tO9|TKcO2Q@yNWmTNHsSFh7=iG6tI%ql*wary*Vb zd9QCK^iUgG_cm^V#=Kh~kk8CR<+G9=uS;qb0h@9z*7_V&p*4rz;j6NH8Itr6EfjRR z{8ubMh)8V02%$dW&HHy71Aga@V;K|rX_CvcD^(&A9v-^)CUOUM0PEgqX0D3HEG6vf z-+YUw8--BEyAv0H)$?v?Z_fg#@_CI^rma~XK^{9S(>V?#XV4l;EteLfrsz?8SUUT&ohzM~LM|H_$3n&FT3`5=DHreOyHm9S z`4m>;0CYPNml+jcVs-NgBdw{VuXNerojT zyxdNKOt3~qOJ?kfiZ$S%$9OT|{=Lie&MwxOPmn7F!JI_Rc)-a`zT@I3$gug)&RoAB zh(aB41#S^O*S^3m)8Z3Q_IjL!RgO^tTZ129+LA>E*VGDq;jG;?RT#j5OpNyC^QFid=6i z=U4Al-4w!j;~fYYpvPaoZWfMPJPgRKzB!qFugzYO-&+wD_0 z*ve|S+i^|=|G(|aiv0gem!5Y>C}9z>?o12kpgL)M>dnmUgm6{)5w6WibuPhA%L{^Q z$@8c&qYE?5MxMTJv#34D=Ge1Ign~Uu?`^kH+Pcf^#py(-^d0ZrjKITP`Dm&vt^cKE z%>RTZFG@o`++GQ9QjB@9vET7U119B}vknOzJVt65P!-4EIN z`1uD8aSj3+eQRslR_2CEER)XIdPqYAefsq6B3)5N_6ug{9V_xO{#ZkypG1*^bEB)A z7Gdj}3W8G`TqVT%npI7817br@e`qpyG#0m=3{8|7{b6#8Tm9{=b~6%_GNLZ zrp=@ihK+|xo$_q3RgCT^dY26vi839anSwfuPBrk0hk+BrcWEMr6>&UU1W4mDVUMt+ zsHN$s8hAV;l~-Cf>4wS6UfDAhc{#mosoLUhS-fr8?P(fq7yKxra6Nx?f}Z;ot9d+6Z6%I5%E zn_X{#V1(Fdp_g1l?w|Kr*ql6<)6OJn2)9Pve_mIU3i7P_YI)dtj>@nYba2TVpE);l zQnBn|_iTowZf7Pa!W&K{|{iBsw3)Y3t&JqQ6&lv5^pz+@G8Yi4N z87c$1QwMq2&bQ#F(_yJCh}yoL{^YmyZN9F~B7R)FSJG~^b+th ziC~lUS_FLJyMRPDHahv~PEW}r+C2{iB!7h!>3OPFLQU-&Bnf$<+2F%9)Nc^|VPL4m z#rvd5o1E|On)Jwt70EnLg=$`k)np#8l4=)Q6-aPB*JzSV^AGAF@^JG_6* zpjq}XC@u7Lxn9Y~_fK)sloL__`@j$dKtn1cO7$l%fIS8#j@Vyr2U;J%fTos)CHM0g z;slI&yE%HYUXNVneKtYmzrJUreZM4GR?EdheH7DVxFtX(^TNs~AwdO}3p^(B^Uf5a zkm%5o99AiZ&+m$`NkU!>86&PHufCY`5a{qlk(?_v%Ois#VRRH>F|vwFvnii_|RUZ>eU|($aEr z!$UM#da9~eDDX52skQEx;N`f7?^rt>Z|%^Oh7KRdf7%Q9{nlW8R9i4}@ykzuq6W@x zckY{f+p*6a@tnA=|rnT;RJSwLK(@DJTmf;4!T9lb0)V%blDD<3F-Ll=@{Hz`gMHu z1Zqtk&r2{7MKGctpAZVtT^>98wU!JIqdAFp5Iu-0*9K)KuXe9NYi84)K^PHpa|OBK z9b}ePJU}4EL2=-2acwOe94zFOmOdSo+O2smzwS#r>vPQ+$m)SxPo>Z8qhv(VNY?wO z{Xk>vK=S6dyWz11t9haQK;%P)C{Ug8igz(zNd^y8|9M(akd}rCkG;5R&h|7oVUDqQo=~S_3(xAX=*gWYxxA{3dD_mk z3G4*59g=|zzU^Fg*M4KRZ!cwgcOwy2!IrX7?05+st+od#iLb}D{7`_wGV7E!=7a_z zmyVOCSx@v0=JeLDlAk`9RBDAuJ*DBdAb?C;pL<=f?6R0^Ht+B ziZ*kWGJ(6d)zoD#o-pg>Q%A$f&7)3%l<-Z@{P5Q=U7PC<+Xd@hK646LSuSG@Nso&w z9q(Hwn+dt4I}hS=j=1j=F`Bng3sE?GYbaEUty*f92Hv(NpUzJYM&}do12+Qybsp<} z04}1y8|0<}mrR)wR*(WU@$D_HK8QVB$oH*R&iAI-H_q^VBS8(F1^wvep+^?6yRLz& zqt&OV_|py7_pyG{|G}(ocr&~2$^d*k;GXMQu~N>54L}!($<|UqOLu#OV*c`zOR;C9 zK^Hp^MJFyO844%|5KG`GR)+EP&gf*s#fCg`02%BkNV|jDe3iDI|RIuGpmlZYU zg2R6T0Kvv_%MvvnJA-UD{Roq4IL+L6mO3&pq*u|DpI_fzMz86k9Y>K-lBmnCn6An$ zm~*4aYVx)3bBH=jr;#}`1+l92W^Cv$BQzQ2JZ(apATf?pEZZ<`s-eJSdj`n#Z~RF~ zDVZL+0KGY68kpz^UMfUysX{>qWSH0++E&gg{TIJi!C{jp^YS{{S?JnPG^xm7r^ zr#Jqq-8F|z79vZ52$mmE8IK28WT<)gqXsx)&6$I^y#*PE42qRm+Bn*EoQq3orF5M4 z_4d$wA5=>st?zn`rr?1f%xXEN1#f5l{G=Yw;4(T+(ZslR%+iVxVwojE%InmxfO6F% zero|M7MqR;nf{O<#o^npgJ3mKq%;BEk56;Xa$5CNC0M;@+1)2$afi2?!H!O^(JFXu z4iXt(@NiYvUW|@&bR@I*eY-<^Zl3U~r~`MzaIG^38$r<$D6B&T+W+0IZ;+{BTf(fo z&1_MckA0Q*q=9G45dee-s|T3d&)e_q7Ff3*whf6TkpdQ*o1?SdZc1-&ZNQxl9sUx8 z;t!82o|nMrsoN$et;e7>bXgAn1svMvH9T2jw8dUsBKk$^yS!hDC1qt^7hMRP3MP>x z_~um55U@K2rLF`=M`fQN_79poxs%!+AdmNhMT8dp2*`>EQ>b7mnx5Nh>3Hnc&97f= ztiRWmdwBcWCFjuIen^Yvd3sPAds#4YYet3kDk)V=+4ImTQ`;dajEHEw^5c-=18!4!DKv3!7auHO8@O{fvZj=<}9nDJgto5w|3lqsvV*n44Te)^{WnsApf3X6(^ zcpq6dVWX*>&^5X|PbQS_^KVByaF9|}mP3NM^<+@FQcGjYlu@;+JJG)hL~+tem(sB203 zr|7FdUj6O9@Q>9JLvOP^yAD%J|f|;v@yqWTqqsQ4m;vB^CMQ_drUqD zI|n66J4)|ss<;}M1GzK+Faux*Z>}Ln3!dNM;d&kJ!5OwTJ!ZFH8c!XXtMq~Q+v*Bb zmnfPPNZ zhd3}+_0$pFc1F{wAX>ben#N@hF?lTfu*F8h6O1BY3Y!VTBN0=yGt4Y>F&;@ zq&uaNE@_bN2I=qp{Xd3*VVGgqW%h8+bMEW9?{nUiH}5Y6W0R?GIovK3#;ks*bu_iL zx$YSlVi`PnXu1WT^e=8Y2?wDaf-`4vEn0=sLCvTyK@Nvbj9)?zk;oaR(xMSpIzRK*H{Arc6|| z)GCISkUA0#(WT;F-{nu-GG# z6p#yaPrpNF@(rMj(Tc~7iW8&4Lk~RC`6O#jg3w>XYexWcnt3aItZdGidlp@BK!Jc; zuNHgO`ZslQy(a`!2}Y=Ba)Dxu_9ZSYA509dG zvCv*I@e99ts{48M_vvmuwR_HLnWy}^J6(i&^9yqx-RXQ6+oKNTm9F~#W(Z1E=O2`R zU}dRjqsw@{sA2x1lc+%Nq$=Rj6^@PvXQu9I$pP49RZdqapMqfcdlX5*vfhkgFF!*0 zD~LV`s6^sE!o!gjf`O-!6@wp;ytjqkSFwYuw`OsWbGeR1BCbCaK=g^FtZ{lPi&KKv z9unS|HjFRUrQUT1k$=(iGQHPYed*5a{y%rhUcz^;>%OZIa$;So|A_JIuBQiyd;Ou% zApGZ%pJX56tNY{OzMsVD7mL1%K=3qgdVYa^ECC;zZ&v1d3MB}DK#OA*2sdq8e$DW) znqfZpZqI{MmMgQml(|Py9hs>K)3b5GjFbvC#rU!sqNZ8K1552_^yss949n8vZZ!U7 zr%-acnoZkSF${^!^faTgwEyD9psSf!KbCvg4pVovS^Y3keTnk&3#kCF?aC0yoW<4E zksEz5ol^_`{=@4-tv~VhD`_xN|3~@TQx_kIewbj;vs~qH zTT29Uz*SZD$4gC%4YntThi{?KTZU{r#NDLoguEy6(7fl15(WfOmDLalP{84uAW!xy z4Vw4py}T}S7&qr-YV5|&_f7`NQeJETKeSl=R1g*q>8L{nP6e@Xi1RWJ7V6_?+Kg-xRTvaJ+BM$b;lM_9tqBB)HIi{L1mDzcottMC|##4*$f|yzGP>c^bwZexL=3 zf55>+YSdC26XFkAb;nZ}EI3+!G>(is-k! z{dLZHvkxC83DlAIQwVg#&vuqpn`(#IVWmFVE?$T?#WYULL@1+ z5}!dnty#aeeAyWpTTC*Z^2SNYt}&~cE4h~Ksp}vqL(6mXk~i;PRFdb@naWM|2u)mR zz`5_zC$WLMcaD37Jx!}x-_Am392um+>Dv4pDuqYEO_LguBn}I%K1I?*_Pb=K3h>`E z$Obw-N$)XbsnH4QmU-2HbcdUH)ldsB_ zYBw3G64E|XS=V+sa3^5HD@0+EV?Amc7ABIXEw+x+ zhYNw##{H_96#_vcgL0N z&G$MxcFmChMtlrljTZ}m6ym}MbTy1R_3N2G_)OhpcfrFVswknX#(!X3LEu>|qv69! z1!IqW!A75U9E~@d_JK=gP9JNMDjr)KVC`G%4%=GYkTg%LQWy+$JlCZ zJ7Za5AB@%5UA_aPh9Eico@^BorXymeaBfQEd+Ea%?}_dgPOsVjaPw4R@8)riE8)0# zY;}suc^nLnjIA`TxJZKQ3?+foUxO{u2CG^8NeyC9ZsPS+cx9x;zmqFi!2?5P?CQ#| zxzRx8NO1fwya5-p7?$^e2gN&^^a-&!J%bDb_<&|dfA838>1mdsz6u5+8QR@0UZ@t~ zl_G*zaB3P)_5Wg`QNio;B0!%?DEQ&4wmbYm;_=sf~KEn^D&Ks5k(^$h6oa;N=hJX6Q29ZsthoK zO3j**4%Z@s#uBf|k$|u94j+Mwz-xg-IL=7SuaX!9arD625KDJaT}AD-J=h9+SRmHA zn_E|HsGml3Fqh@OH zBo9NS$V9Fhks%U@l2m^Fmmy9>Y)S}#3?S4ah$fq}woQwGj0SO;T%xJf&u`5oqwNcm zM-e2|-;rtdj#gLXhYNGMtvZ&57!SfKxHPUWe=RBsHz{KSBJ^q9>Y4LI5$2|2mKcDD zaT4*W#^$Rv`|q;}QlKPp|GXQ|^!@u;5J)W`lDCzzRvaAP>*rCX#LX_`E$eq0*fLc} z2LN~9^JtvT+saBU*U3=n&(h*R^bo;Y0n}%1^QcH>GIhjGJYijSm(zNN#ma|yEmmW5 zd2OG?GYoR>##t*l-NyrGiliry%O=;u|I8f(V$tM#q{l0>La^l1ua)r|7|&zswjcUj zBxs9wndT?b?$1x&+f$);wMln8ri@lT+fRXMA`p1c@e;cV7Jk#wCNQUDpyD6Jc67hx zU2eiL;v!x3>&K_!4=$fVo8%bU4sMULR%=FzfJHnkMz^|xKJZcy)%R$5KInUow?w62 z1$cRb(79DK^9ZK-g;>2HBUgd&XxM1WtslAYQ%~*ir}Fzhn3fNO&+6)94?~i1{cdk; zd@38Yy((?jS5()syErB5oD3qB0@Tc0nK$Nl0B8 zf6f^vr>yL4j7)wkR4fDzuQ<3X^ZNF-er%gA2%N`as1nH)sZPk$78lDP+ObAc-w1{( zsi?$`7{?Cc%P8TSoNN)|_(iXGQNYcT;0xhvXGZxJ@khcfPo)mkzlg`fh~UiKNUz;&267n}ad#OaEz6 z%=y!&DUpBLS~_@c7dMcBkSm&Dl$WC6NU$QH+o2cO*Q}jtQ=Bv(4YGDbR7{3)<2>`n z{QE4#uj6%7xk!!hS&i&8paWS%IWUF)c{z`~L2w0%z?+oN8(g8nO55(q*pYth$Lh8l2Q;&&F?iLH}W#Gx|OGcWFyJ+ zTH4?GXn&jl(~bu^AY|GfjB&<;N<}(L?W`6N4glSbRFG6G0aC%oJCMXc1sBOg0`jMz z!l<`;YJXufd{@&9Hya85gaPXdNceD23beLoHTMW8Bx0BCd^A|ma>P0G3?_$smp-$pQy?NDI&s4ll3 zq-e&QeC?F5QMf_}h{{<_LKt0c-5yHM8)Oi&;kC`MGt$df^B~dh{P&5ivXxN;{f@H} z1z%bLN|&U8%lRnz1tjfd&7Gl0K)<-SdMURFw)%HqS8z>(N2rm;?B{ZkmJDMkF|dZt z zUu|Szuo=D^AIs>AxrE7BRw9dFM+PC90>=Fi5rX_a8h%AsyOQ@&x3!hb&#kV01-R4~ zLE~fsckE;zA^-$mN4urpDKFCshhR^%}w^cv;$(`G`{-*4ZRMd1?Y!OJb*t=+~7>ae)b$s7OF^^&; zag9%t)mD_ink3EPX4905f?tcp#)5fG5uU!-zC3f4Tj#477VQSldi5!#l;Pm|`ZJ^R z3W`&}STELeIafb~Pajo8yQ8Mu*3GyJM6_jp?2KiSM@f+VY%mG-Q2pD}N3zFHs?9?x zT9Z?2u~MtajtOM5a7<3FD$gpdChn)eR9*ly^ACTINHf4lOF2&PFCz{Lg^+&(hmHZ7wk- zRqUF=Th|hvE2B=}a8y20svQdol8YH9)wvib-0M!BdY5}~xQ*X^y|C)KA3-VyHNfDM zqHRKS(ZjK@-PZQ4C}U*tP1|%^lTaSism#oKKYxgHsw@;e*C16V1jeMMqoty(8}V%@E#ChnIxY@(P_H2eSa0zb+Rwyyyq!h8 zTcjw9o?=yBmP`Ew*2B22BO6VzgRG2}V*yuJ`O7~#ei}U%)R9Jdpl}cvuQXuF7or@f z5N5^dGhKDnuaI)So!AQ~omN-AUDP*eli^)!N^d~{s_F{(vSjTR>5zk9(Z!sFV}k4X zcogotF<`dy5oBWU#9A>&DP_%Rac_t9o)7_NXJ-HsA%);;+QL7(H0Rc1^0`@W$W`I4 z5^T{8haH`KMWE+7zNz6L&pn%%TVk+@!?jXRrf*x1^-#^bCp*sEY2j%o$`hq46m2!D zRXY6Be-rKdLbcm=A{bFVgXl&;-Id1k)jm7)p>HdK`x{|s?sts6_QWLH7rsyh)yDvD z+|S{igC^{M$@0%bnM9EL_7y4pc-8~#qdES(G1Qply^GKG-HET+K}jUOfwWy?^O* zhX-`>?W7|CV38(_p!d_^pUdf+v`-J!x!)Tt$;+x_x|pCWrKRX6-b1lea0Y@4VNFH< z&GJd4FPScW9v~?<>0Be zs;~DR|5Yw+x}2<+u83@RLHv>w5Zx^W@x{pQF345^WC@i42N6s(L z#KScgk%fQuoS0s^fA{3aI5zQAMT%t%YOQlQI^PuWxVscDMkHAO$;6TLNruI7V0uU# zKBR@~BImh%d7~JWXa>CYeU$`vR`}ux=Q)W@MjUDLt}I{Fm>##2Q7+5RokbvvIX>UE zu9G5wAvBknpUZzjb1)}tEwmuHigG-x+Vao!6mT;ZgC)|Z=B|m2un&EOtW-_&gn~8%SMwSK2CdU z%WT(egB0WD3g#eCEG!9m74bO%nUVM^{bb^KVfOICa%ERfRpuj%CG4HzzoA8BM>2>b6zKo&Yp!DX@+f-8 zV{F^i5AXBEI7ncr`*|weD>qNJ4fJ$V;wrMWs0eEn{aJdoX7%yN;5O&x5Ke~X8?}ta zD!OUq3!td`-cZN}!~^^Y$O8zz2}wY+4d83S2U6TwV=Waet`RK1*kAo3`lWD
    lKuRI6#IzVngh#9K;_IBi^HGAJJol^VWc8r*cWIuFX6->%qw!xC9wQ-- zzg#`1Q3O8j8y&vNYE-uXVXD#H@1D&S+BGKHT({V?oE2|cFMuS+7nVA7+ zc`Z4vG7xZ>f2G=T3G?NL#~?&(nc04-JCh*^Z*JnAEDm!+LKh(S)g(b+0Q8AO3BRzo zugL=t!xXsz9^Vc2)d67|2F&pIxluexzp;k@s#-+_Yh*7;V1CBb0USufO`=kw(Qd>^ z7MIRKGIeDmb5N#)M~nVf*|$avkA{Uop^zCFz+C8}n9i=Y(Co&H05JZFV;I7jNv$!W z2#n_-3vQs?Auo7o}OQ$En!~`QH0w_GnMaIORQp1!uu8%b+omGi21b@ zC))rRWk#WjoC~pe4cTTw0hDd~eN4BMTf7ajVrERZr~w z0%Tqp(wmQEa)=in*vjQ;@i|+$IRPVu?Fjwb8xNK!fCutn!i#sB(w=-!c2|4WFE}%B z7Y%_9+E>Nry9iH;V@V3%TWcJSD0N@ zFayF4Fr$9%NCru(6z2(=u6UiS5+U}&QH6M6(ie`C)rLKMFK|on^G>|I@enA3kzVMX zWTbH{LdTCQYjPuNZCt2xh4^RG9V zA8sVkwq?vII3H$h!JQ{_Ll^m~1uv5A+XDs)j>~@Q$>gs2!fg-Bp*`zW;rpI7zdGDs zC0`=m@r!VF1q~TWF1hWuOaAfVXhdN9j*tF%oe)b&^JnJ$&7EsPGuQe{L~WeQGaZ00 z*L#~3`tnzD?e1R!Sdi!=W*=GN+o<6Gv(H*-^1>}W01KU6@4+Vo%9wCjE|?fA`4ep~ zoLGFTMtHY&74CfCZA|oLu3J;5cC^w{X8jEIsh_(dAWpQIq$ z7{=^wjsHu|fsrPG9{=0>UL%D_qo|)6_8m1k?wPC%IvB&q$P5x7pE{q_X_c1p$7wya zfD@`xlnMiXpD&Iz;us?W4PBKoo8e2Pht6$+)dnN5X=_zl*35Pi=cZ@Ld1Xqytk}l#hRdL%=g>d6*2opX z2LjP$#`rqWF|0=eeSEi{d%QAKnKS$}z3BXmpj~Ak0IeFr7BR0RUi1=R$RS9|V{!Dd z(vqPWmzUme`ksXBX56aBKF+Ja4b*53JHv=x=zi%_))7Pm@HiGV|H$9uZz%4P(fxbb zv4{u&3_4b%X1;@WuE03*1l$|Q5<$;y@)f|$D`>@1Z^jF`uGo_lKq!{qTAldrJnYW) ztB&ldLwG%laMq+sL6?`Jp-cmh4iW(71BHX+-vI!P?Y4bRWDSz895%u#x+CC?KULL} z>{R?2p)aA`?Y5gz2mto4Jtx}E&=74$p`ZUzf!5%xYv+xOWc(WO-;g|T)1)I7V(+a? z7S(Hr-Bq`is!?c^_(Fl0&1>KD^M{B>m5`^ntIeB=mdn<6OJWxjo45NMHV(*iB>4@x zULPecaj!}nAxt4&)Mn~RK!8f|IvjxpN9lDn3;bjyk#botXopkBY;TLD8J`~|ISe=6 zl_DE*lIJ%#?ga3a-K)U=Ptb=1VS6nb%F@bkl_xaiAtdQ8}-f95x8 zsMSv>9P15|>w4A{9RHR3XOC=L%zZ@&V%To11>#Qxy(q+S>q^OWb@udfweg@&~DW?4hLhd;f z;-?cdBICQ7z)g>MT^0M^hb>eLB2tZm;nxEw|%z8@GOWkM$YE~ou@O5_S_*ajPlV3qaE1vXMb zpvIudKj7J~{NB+6lk)ZTo#vz5lt-pxDM1E%2JaX64;yUW8H4E}sbi1Mj)+!bPm6w1;h+#gNJ7&-f7M+fyVH)bNn=GlD>QXu*hWXvB zz>&tESG`%(WqWOZHFvFREg%KrXvQhIA!ussv<{%2RaIul{IO5-~jsXxTE`p zI&UYT!z&pZp;wOwua3$>IDqq~vjmmXj@M%-d^siP`ns?H&e0BIohtla%@sGAzf~G9 z$i+RgS((U_mWzH2FG<~}CqqFA$Pl*+r?BiQ{e71;rVAS^Jc7#L%Cou`Q)s3UTYx_AJAy9VbKUcGS}#O*|;y?*O6 zwtfD9trkY2}-J1ii`bew9G6PClSO8BMT{o8SJ?Gn&kdfi`wv&AK4(h9sXw zv_(ABwl^^34zpVItoo+tGUQkhPr*MW;b`io!p-=4^*qL9qPZZb6JT}~ zS(9|73x6wkY_aFAyp;8d4XO`!Z{1%>Vu1&nl)*X$_0&E?@`6*loecpek@qQ})ADer z=R=w=SC>uj8QfW8c>6n}C8}266n2_Fk7PKkIekV~{aw|AB2&(K;n?AArITEbg4sGa?4p9=lY z;>&j*74q#c9PO;cy#FCRBoE-=5WNuyyov6B8`8wv9kcO`3Z^CRSK^Z=eD3zd7)Qw> zLB1+5qe!d{n-8a_3>R=pjO6`U*PEfzPbOJqPnZUerBl$Qg_Mc3r=H7MCi1bvDw`W9 zVl3})=W9%IJ!1G({h1oRajQn%?l3ubAsVzxhNpL8!b`I0VJ#HHC=j;j+C=M!T19%; z=Cr&_^%yWk*E;1@(jWJ#c{T)c-iZXp@30$pT>qCD5N1(-*x|xV$eN~9satE(;(QaxW!PvF_ViB4SAX<%Bp>DH1)hfEP2@sePYZnlP2N*&{$)_O}+y^ zTOzk2fpdIgSwPi+M2*t9Bdhm{EZ)YrmPR%w)9iOl3;-HJI`%p#KrSXPP@OqV+M$C+ zidGsv)uJ0~L5#M~-d{Q-v2}`n$iCsnjHK0Jd99`D>E^<|UHkfq$SBJc#T=?mDm~o1x?cKYy53SHK6wAC zQ2IS+By`go?pWoL6ieiItUQp9N+cn;fXWx*(1=RxdMX&emHziR@@5rXUPxR~? zN6mC2`MTO0;mE?100etq{uHd=k8JFF)+)2BujST8v)*<8Ukx7f-@c^Hr7Iv{2uiRX%OLz1&_%z3{D~t%ibJ38gbX zs?^Ul)}H`cbbP6>k2URPX?ViU4G@+fn&1{h?8i@|l^=3KsvKza_YUqj4{0 z;3&)jokqiq>!$bHSM(;NnY{OS_a*HoR~q6mFF z_+q9NA0g^7Z}j31A9BKcDZ(iK8V)Zcez*&5Qn%QzWrM(=|2t$2ER0*Yd&**X+7KXVIxel6x@Wxt#?_<(QIcoI)*+UHB*J| zJdsN_o+wl1vo&cy+ZLDI5om)O+My<{#{I~~6)YCH1(=?1P)9`U{dXOIlL!EKV>>(N z#f6=-Z1OqMn6(5?8E$Z*s1R-CIh5W!fuEi_z&Rq!j6jr-hWe}YU`U*=6C)tAE?g z)N~Wxh)+)gX?A^kVC%5W*O$ggkrQyw%gxL#abHuR`Mb}ApcVkY>fx_^7$NdQd|vF3 zO&p_YET9+R@SOtX67T%{w0XAqYO~mWst|<;_Y3GNL`45N^m)!Tc+0d~8qYRt6r?1r zB=wDliiUe!N>$aFoy?n3ULgj9^Uow*Ea?t6@rtnRKZeiCmYSm;LdD3vB%Z@SY5wMg z5!u-bBWZhmu2Or0ay<|6TBG+0i5lr6f*6TaE^P+~nd4A3q&m#vQkxKX{g|}lc zRsRkAvtUc>{b&`F@f})dHho*Xxa@(?X!D8oB_3&cpZ;&U@fXLzhjZ^QL8M}pf-FKB zwptk=hC!Y>i5*R!ItC~$OY15i)o>ck2l|=j3_<{Auxf`#{X=fV1k4z@SJ-+OmUG+0 z{nj9hZkGCDHCK{11?BYD(oRb3CKTCLQA*p1gh*+TVJL^cK{bGl> zmC%(k1wA&&)G`frp;tne#Yf!w@?`{+@4t=xgJ_`^0~J`@PDeje$61_c1fb?6$sRd) z*|}xn>NXqE=g|Jc2%;l7qA92Q)(v6!^m?Oj#$VMLI|+zp#{AEd3vok% z<%IdmLZtyNgv<-YBh7Aw=H|oF^o&kbHD{`rHb!J~S#9F4ecjf11nL-J)X!dL$Ju52 zU1g4)k~vfhdL*9O2g^8xJaPXSWqa$S%oYqTHdf8g@%`{Q3*O5rGKQJr*H ze2qGO)#oq-F6M?^#kiA-gHhm5vS?*2+o%Nkfp3-B4jpT^l)4s>9J2Qe(ke<*`_zc3 z(Z(6eYh$}GynoqBS|gY>MQrm}WV6%2fvE6=Vm>}f%w1w*jU66Y&8!+UW2xO&0-j`>@9Kb5D;1Uhf`ICocH*P8ZWdgPn9w0w9_4Lq*~4phHC zY1ubYQ0)W!WLcV4pfBU2zX=}_y5o-mgqK&rDzLq+Hx6J_ChZ~iog$^noPvCao>@Dq z$118r`CRZm6JQpiIX!Rx_vafqnv`^oUWc8>@O1TusYb=a_=uAqehP74KLt^%ajys_ za#2Ay-Ao4ZyVp8~F3?(4PN|sLop5er)8YN-WHeys{m;4bs=zU@uj})Mf30}WHk^gg zDA$t<*O&B?JX>>MqotO|!oWt4gXG-e>VW&j&>$m3KwF28L4hF<5EdA6S@Jt{6Mbz) z?Ix8|h#KpnA$o_~u8@SWsRAQb$m~sK|L!|z60VW=gWx-ZyM4?RPBCKhJ>ra7tVKlr z50{!oS#_wS;77Qep}j{E1@QfGwrHX0^?zbxtI8Tc;F^jQmmp!^PhMfssM!?sZ^}rx zzw+>)dgZ!fS&|Hp$Wsmo?c>qWy>vDiL=Q4kH>AG2*$Pw(>p@e2m(rYNaeS=cneA2P!vFuJ!GJk)6 z-*oy+kEzKLbjS9}9;q-t_|3lIxF9aoDmLExTD#l`%&5~%rB(5k;6!V*p4~nck3RDv zhsqZBbn}_-pvQNL&T=5)ir5D>XG(<^MxPQJ7ypaYSjClqc^YK;k_xcn33&aM&-Nwn^>KG`;y3xn zY8~;VRl~CRXeI~L_&xq&N^AE)Y9wqVBqazcfF=`-c)3VmB5$j?(|tT=+^dY(K_CH9&0M!DXCSmW zsBU^`TzR5PPUoq9(ww}Nsou53XDXpz#Roi5hp@_|Nrry%az0vVF#1s-_yeb>?J^@6 zDZ&xbdD8Bn{?*On41lu^`&##XgO%L7 z3>){@NS!Nc<>z%JUma;-1I~wf2{*gC=IXC-e9&nd$AYvmeiHK6kY<}O&bpR{qdDD) ztPD@eJ}Fl2`{z8vcW@?^j`DF;$(ZvtqRm9~v*FhW%7_7wKy!Y#l?3_%$;=i7sKPOx z1glP?0s@Ylb}wd214ReNb=X?l@HCS zIm!6(wn%!5Yu#1t&o4+jbRzR6GOCM~V3g@(SEms{1R)Q+nl4+@SG`bR{18LRu-KA5 zpxtQh2qduHTts+UBhv3qd9XDN=U|06X(O_wlsXBB@ZsZTu&=t$QGQ85nLhK2^}Bg; zL3*+}5ohV^A0>JW{}A|V_&d9K`CpBOQ0TYwA|qBxUB=s++X;wAj77D1W?uT@wuh_A zLaH;lrpB)?vMGGp7NU$a%No)ZsVDZj%G*jmypRcGP6oH75D@WcZ3yTo`|F+Yskt-1 zy^YA?9|+q?O?m&(abiz;h~$$$izR*IOthjxSW^hjVB3!cyUj0`RtXH3M((2xjH~#q z)l?Y#zP45Nr+ksz+YPd0)}%Noye0 zhM|M2#|l}lUgKh}&+cZPBwOq_9oiUpUEHmIc34xF&(w3Ow{ucUS^;56P<_?CEZ1k@ zt4SkzueR^CwuAeQ=0TCT%$tGpwfOnFn$^4G#sG=u#ggZun}DB^w-L^HYNhc`nXBY^ z+tTMBcaSlanwio(efJ|@aRsl~C=v4mFNo%#AN5o&-n4mYA=n{ABQ>w|- zw?$pxhANG6K5p*B5i6W2`uD?n>DIAFr>91pe(t)ux~{HJIO;TQO7deA>v?5pCj=CF zVZZ1`g7TAIngKC((Tq;EVcLq3PTR(YD7n|F3BdyYTIfniEb4+nHQ6kNf!EQp^yS+K zX&z3-i#dSaiew(sQbx@aE}u9`m)!6gYwQdHJ6d`atm=@hbk@e9U5kVmr(*U8&~;@(0=hgB;ZL4N4J(f!8=W5@jjoe{}t^EO_r6 zC(e`)_86=X7lf+v7uAK@PQ@H_-zC*sfw}}=Z})L~bkL`F?l+2YMBZGKVWUc!VN=c{ zBogvE-0^1i!3%^;$i$YS=@dh3Bpx?4H-_r;ASwkZWsH?R@wlb~od$EF8Tv%B?5~gX z)Za$9u~IDXrAvoFMbBL_Xpgwqw0~sHm%svPL*t6!k zygs!;(WL9X2=nm)A4T3YduSAy-}lO(dAR&g&yRm7M8myr^dN#I1I+I-j*+73D`>V2 zV2@1i9F%-Zt?KzcnCwrV-;3X!DejSLn2eUr@5|rT1eX;^>$!__Bl>J4?)Z_ePADzr zydxFQd>ehdY=rajH@~|uV!$$Pq7kKX0u9u13gH?&KUpXxJQ5G`fYr<(x4VL%A&7^ zP=M0PtRmfl!G=(P+Md)ruBEoB`6}F4(wb2I9IDRxc}1}p?IiK z0|InEtFeK`%sm$+%>C!MT+W^!wF3>voj**u*Y!yaYa&^`z`ENXG77{(KCA5;o33k> zeH=4bW719qM|-mHYhdByTpW>8aebY-S3>*vZ0mW*Bv)dDc&T6EHx`o#%k> z2yF%mr-IHozL<)#2iUS$Gp1Ywzs5v)d;nVgPp0a@)dPoN%e(_W$Q>u+vzjeASJ&y4 z^k3#TAGl+JF?kgR?DqA51UyT2&flmbf%K)L*i19i(+zvuXtnK+m%pu8OB8;4*2g#T z@ZFeY%QhA$IO>cd0;38OHPA=QfW@id-|RGUC4|qI7Q)n;dYgEZlmO;H>10BDKqDNg z1JoEDcuP#`6gz1}ZaZNpGT-`IKKT?&+;u$I$W<15t)pDjC~B}mMn=qOBt>6B_y;Fm zqOKX-%&0x?=inZZJUrrOQffr<+6R`9$Q!vy9UyE>ZD?*@@LpHu>hgMo)&}jd{YP6} zb#C4B5C$lUwyt?7*Xsohv6+14%y?0vG8w}Mv_QDz>zAAU_ziRvZX`YC-fEQ-i7#;H z2c7+^L#Cot5yh08s27vJO?cQT`PnrS$FD5x%oWD`k6QpR%0D4y|C@TR$XEq9#6vz1 z&+&>%@NMHh8vMGRvw68w@N|732|My6@bxc?zedUoK9-~3;xC*B!x}w5al~2l9kmPA zvNQkX6S0Z^~`^ zSn|>z$Z3-eM4uyGTtgZ;-w!%;=ODCOgGV5uLU==^&5eI*U1`s^<(p$JKIjHmA)?CI zVQV7=7JoOtF)K-r@BgCy4f(Fzl=T4yv?VX0vJigV-33Oyid5#49EF znAO=rmzHD;3&nf9%#Wou%}U6uR&8|kmebi%V_7K-FV^()UJhw)9czO}V(Ry*_5Y+P zikRRq=8gQ8;oX>D7BgZ|)?opcO64RfVni5lGQi_-$#bquBm}uNBI6BKjD#wBlVi_x zivkE&lrVs1=m>yldITF8Stk;D{AN->G77;G_m|>SZgyjaD69d!L_q0{19cihB>w~L z>-ewW{YBJ+dAghuK$tZDVsuJH+{pW3CP#gs_OqYc`0K)N9pw+u$74oGK4aiVSn6JoZKI4D zSEqpWN%lQFB~46Ci&-9Cs9@WYJ2H|C;$+BhS7cN|F@|eMb5KYEc;rkt;HF)^R^o)M zAV}BhMQwzO=JT=KfRT~M-T=(yPshQar)l7Nv!uk1MJrx^0Ax-s2wUiO*|y+pGG5}U zuhow7IZIT4kMDG?{R*C`1c5+8E`FHiP93%Q_(8*~mKFE~c+KJ?C*)bA)$bdQkt*Y$1|C^;S3O(8(=AAnR< zT2Nxr@{CN;M->%gv>WZ%z4lQBo$Z8b1{kFUuqa;+z zI}}?{Yv?gDE~IbvqJ`wW0LF!Kv{Ie;@U`dM5)t26;UD|Bt-Ve=5+mp}+VkZ!EOCdu zz49EcGgtUx0JIfb&TM{@#=IEnNh%AX@?C@fAYIllx~aDhd%N77?R;$)@$p4dxxS14 z&U%)Y{jn}RrQYZ;-eq!-XAd|WZM;5OcOV=Q?H)*>i@7W{IuYVx12R2_hv?y)YMG9= z)Ugn$0i$u@XNKmPmkaR_cobYm)_>hr1nH$Csv15GCISNb2dAG|GVx0IE1&zDxAo{5 z0G~>V5poiPB{_A2ouw1W$>etN8o#7#*f}@F(0?{`bTGF~a2$!~DzZ$g)2mK8ziiK) z`KXA6lZK74s_bY%Lr)H{S|V|?Goxm(f*$r(`$|^*0Ainc%XpH_){4Q(+DWH+PbrVr z8v;8OIkOg4o@RN|^=jUt-mG`YlwkDy{xgSpW+484IB^>ak#mJ>vY_$ zFllH7)ISCK2KqX#o-R`&cLg34M!>%JGg}Kww`X;>I=Nq6jER2ITNW0>bMY&_n^w@5 zPr@MW7k99p`|khnV$6tLr8nE|TW4YT-Fh^UR?fs=@+f;@VNPft>~l($3%9!x0a*pS zLHt(7_FM+st@WNd894@GI_y(Wr@t7V5m?DYZ;8R{J-pHN^vL8G6YNW6^s7IX-82rA zXjzI7@En0GESYgOedE()8U~8*h#D0)4pCxkj|`#9m!pP(n~xj(_QUN0R#SxfS|2~A4c-AL<8}R#J&2J23$QCKdYHDbQE_R&3!LQ ze)~KhYl2>H8<}!n`vN1>F)t{%Y6;AnNcJ@rLydfP<0I1QHSS}2ME})HSR(C&$nT_R zNhp1IYbto)3>b2#n%Ar$gC)64io#1>!9-yJVera zJ^Eik5>TPZ+q2cHho>Vr_Hb!QuUdzSp}|{>yUkQ-59SR>95ky#bquFbIp}QwDr)~r zLmY0JA%yomJUgX3CWKV`QU%MAju3lgl5(BhQhyS|uX7@|z7SP@akQ zZ=Xz8AZo?lj6oC@IZ;A~f=99wD$B{<<-IyolZ)d}i*--v6MXKU4u_Z#gLuN~>v^WG zh&T+c&j)aoS*Uc&-YN#L)OGZTIrgLS{t6F{Eu=^FXZ_)E~nir7a>$PlK0ti>G+ut>#TFJ*> zj>xN_XSF!0$be|ZaO1AGtN802HH)EX&AvsJmVn`p@&%3jNGRqzxUcuof}1n2ib`K2 z_Zc3vMW=bZCm?3_3W5SiNh5%u6;#R+CnvTXkd`v^ck%dA)H z_>m4w$N4z90C0Ieblf2;PKnL?ze}hYDu94M&0irY5xH8%*#T9@z+SiBHpNj!ks}JB zDaJ9Kddy>1snkioBI<^@-%keU)LU!|Ur62`(L7BKT~$e4rwC!rr)M)n4Y#wdBV0@O?=njP=# zVyCofrMaH=m6e}s6`wp(gi$|dVOLO}UTT74GXCe*eDK~9BO^;H&JThpvxNo*78XI* z<(B=Iywu~mPA~CDfq|nc;hnYzeC7en@QVnT?{24#kUuFXqTF!Y}up5rWtlGi@bpHhardDAVN@S=(y|X zvb`>R3GlMzNYr)Fsjs^}b2r51!TW&U({!d@{-C`$4xuBcaD_Xi~Re zq3b8x*@}mWyvRwp%)kwAg8hgeoZwJ`-kZafc_rC;8$Dyyr=|M?6uY{Z@N|6Jt=shz zR&1?`3&}mSzsbL1Hix$aD}nJI&KKRTE}S|=&6^TC%21<_?XTb#$--OBH)JfR8e6l( z6DW1=zJ)YJ`QgvKc06JMA6`gJk9NLIOTJF45gVW*x~*ob7S;eRHl?%To7l%5dWdd` z@dt2sQgOR&7DtF*U-E2A8W+#bHTVeNOu6P}rW5@T_~a4zB^z z0A`qU;q=myRnvu7Lfni2RjH2TP&ZH0BC-c1)AxTG?SyiLJdEFI(UlnIm6~l>-lBdO zRaV9K$wh||D9C;QqV~Zg9`Xu;u2Ov0{PwQx56E-4g7yrjLXKA1@wg5TIivf`6ov{5 zRTEC(>ZZ++eNd&gZu^6Z#NtOKmdGs@_-d}3^`G7r3+w}H+DcF8>-~M3^Qx>>Y}Vqr zQgRPmA)YpM5XWP1axlkBK2*wLt9B8YaMl`)f)}Pdc{myLnc?y7Mm-ch#T0~azKq?q zgj`ULL7#7rHlJ=x27*Sv@2BYUt=GF>FMf>{%~u(4e>^#K@BzC}_B_USD^H$o1U=|W z_B^OhfUiU}xg@*BNJ3y4g6O|e>C%dEgMCk+-ERN13rwD$H_y0qisaU_GV&Mw&B|V? zHz7GD>^#va`AeD2rJ89!7TOv|{J}$>OrtXu4A_8;i{y3?mpwc`Xmf43z#}a)$rx?v zr?~*C;H~j1MAzv0%(Um_5!+JK7spAjz}_`CaQ^`?>@L$~NqqIO7%gg6X^g(Ky?G6?MEM14y z7Hm5u5Tf*Ps&zW&F)BcVx;QLV_VXfbB6dz>iCjt%p9KM|B)ABsI-l84Lc=IPP3ib1 zXj6GPE^fkzvcRm^$nd_NFg(*-8pE=`;Vj@L6J1B9G)e`ig=+YIjPk3>|P-F_yxVT9a9l$cK)&epV=F*{QD zQ#lJuV$G%E0wRD}EFv=;)`yQ(nO)o%w1|@LL#Uh5i=$L`iexSm{g+2QQz!#%ra%#} zzYQm!&CG^M`FtmxFaWtI*1%E@8V*rl#k+Zx60ey`u1|0ZXeZ=02hw(BePFy5=A{*F zj>t;DPr#D|GDA}2rk8?~R{@O(NM_;G_`_}#@csHPZgj-(r2e`u=Jdkm$oZ4%W+cOn z!I*U6$$T8{$;#!`ura|J@{X;@+k|fOk)9yeAC}5C#l{cOS2o!3XyA?Y*KS?*`|}f` z;O*~uRL}eS@iku1Z(JzwQ5^D&=3c0^c-lR_#KQYOGo4N@lNw$-!7>`^g=7=XYWxRI zHm7H`FDE(a06(y0tMl+_IlJTcF6O&29UBY3cB=t)XfVGOfZm zgP)^_pP1FWC~IbBXIECz-riLd5qE)904H*jRHrqtE{q-?mMyNpjb0Kw$*CSeUUf9! z^NrBw#UtWQo2v*%hXoJToY0+3)0Tf_{$S(av0)`K6BdfKcGLa}?WgI4?A4XA?#;!O zQaXajBx{U*t2dnDBA<}Hp^y`(=?E&b6sWUhuXp-4E{fz#NI;6bMAkPqdwiiAP6Dt& zN}eKFWB`8v>J{^xj( z4WI4Y(2yHxz)s0IC{*a2s%I@_N-rIMznqPVgW;0&gidm)#kJ07gmfL+;rA|#dtY)* z@_L6H*JVRf#bY|apo{YBsO$6H4b*@6sVDSe%_`>vLVEwW5SW>$S}BXx+ag=+KTy-Z z-&JB1Fk8s*t?A$|{P=E8E1TcJ{_`eJ6;e5M+z#8GG5Dz&KWLg_Lz3bb^v&wWL22eH z2_IK~X~DCNmEl-LYv`|o!8syRuTOfMr){^kqM@%TFKF*Zr#|ZS?H9bF0YoD}-grzu zRt|gPPeSj>CJSy(f0VCX>cSW3#b6Nn^9IZF^#)jcwbu-87A@ z#(L-bTkpO1ADES_HFM5+p1t>HBSKprAXeJ$(}J34+wY$@LLAhInqC7g)x>V**JSgI z`H4}j6-8r@uJ#$3Q=*J@y(eJyuaSNdWXo6Q)66r$xqdu%km#~>2o`A$I%;42i0H6h z)TLz_t@y>uJ%0SdzY_iPn~i33u%r$qKD3wvj#TP?D~L6Vb}^%o-NH`kvfDAvn5=1t z8d0v+Y<;I4Kc$%>6nputG3TbT6M4AiWgksYA(ffH?O$A7y#R77v1&f+KafuIrO&!tRa<@*IGh{Jj51hAz%IuL-*%Hv*q2`f zYrPu-7AxaT2*|CEIr78Z&Y6_dFpfZU#7yGDis8ttC)v@vd_t{eO24U0{sj||%qa&I znpKcS>8(XD6m^f)z)#ZM_LlV1M-frX-ZEXA++o#FjI(z%9q9R{v=LcSMIsiJ|EI4AcuFitZP)H4Pb~9*VM$>v zr)<%ti@S;-J9wmtFL7GQ+d^vAfGYInPp5E*991nTv+rKORR z57p-kVdLUy`B><6mX)T{daC+&6b^LnA(I|8teK$e^Z0?!db{8G9~%G0E-DKW{YOt8 zv^jSYDF*aeG;Bz^e zg}mr?c|fv|KDMs=b!;Vp>%AnU>Xp;n!*j@HGuQi~&i3VMj~SjLCSYzB5CKf0SSo~} z2o43f7%#!+Q)*?VuK~p%txf}B!s0#-A7C{;@4je*uPH;5fd&M`C)|zj{t(y_9zps&QH2siz7~O4JYq zm?z&tox3>X9>^de3Rx*>n+)i**rE9dk#$7?L?WRIL2gaDHx>I&(HcxTu3zXdL+^~+ z941IE+-$VCpWhifvN{EVcv7Yh8$j0Q(q#DoTB5S#Mls8E>TzU7TD5bIf;mo+unjUr zph&z!m$uSnzOf;1@|F}HSNfu2A+v*lXp#XI*k1+0(pixo?F>j3EuLxH9#{M;7xq&d zsrdsn-*(Gb*e+jYrs9$ie~hm|8XmJi8o!s_&$nQl`4?abft4wzjus7B*J_nhe_Ba~ zUJDD1y^}W5n+WB6KHOg*UB1B$8v-k-!MpDcWTQouAy3f1IGK-Rg&GU4o@c)Cv{0nj zu!9hFZrn5)_yupx1t-v_bUu88t)tg$_3Q2BejgLuF+TcR=bO0G&mm&}p2Kzi#CfQG_$Pq))4JRCQ@Rq~cOEQAxz+i+`JlB^40Bj8=xBnFk z;HJT7(j>8`(>(dvtH%39N409yrC(gSrLWrPT%)JuB5PaqQ#$5~FxHfu@ZUC>mwMQA z6{ue(xjQ}o$FTBPLEQt38WA9zWL(dICfBRD)TT;m+-;BOm&P+StyGgWlf&K-Jar@SK6|B5C+5{Jwb|J2ao7nu zfNwgu2r`3oLJ#BEK28!+Op)T=VL;0>iFX0d7i`AN%}QwJLr}v>kBNY#=X|p$CWeh@ zwaIyD<>E~+2;&JSW?yX`mxIq?Gt_fZ>v0ipisZ7LBC?ecv6re=)9U85SP8uY!K8h3 z!XnDD6k!PD_{D+nc~RYjlocl{Wd{>tVg%%=ZeGo4W27#fYSd9CwU-Dx2j{+yo;=nG z_iXfAvWga!dh?FovXF*9ZMh5x>PnQNdCpImTAQoUqf0{U->o&Br+MC?EaSMxx>A&c z6rvNn`at3DLG+Nt*z;+ za=`3o?M#*sh{1%KmOrX-^0_vqdR=6zj9+C4T;S6kuC}Et!iU-l8>h(<8em?}`Zhg* z(GM*+V00*xmYLKH`SN|Fe-zIMdaO+x^jwA9qj#EP226R&UVbd6x^4^`_+M0pKcu4G zPAHxx2T_0bqk-;Upo1kiXd@~s(K5Gh*(bRq$=%9re_Whi09(OB#Gd;(5}OV$g^vlP z%gy$le;wZNMHsp4$85j1PA*QW1g=Iyk{fGje1DWS8)=Y5#n>XrAwhrpxXppQVf5Xj zTRLixdmGHkzSypQ2NK>k4|u_Kbs9{&D_PmUDNBfZoQsF8zNbXcK+ds4(M(^EX^Ea9J|$u_~F)k z^x>`eJm1>EoT192a{b_iM!4|0qG9G?6WWk#612#CKt+^gOGlKW{ZPe!GW zktl$4%#5U3?7=1l!K*9OcB6%(5z=2Lrc=wCtXh?7sWI^3j42|a&{9(=k<1bEZMfKZ zcph)RR{yZJ=8R!M#%Cy|Cy14)1UB%2TkN-}LzKsF-r8Biq>;*mp-D|*K=g>30t$J7O{~qf{NM)fhl}fL$CbLKWl;=NY?v@ajBz%2Y?A>X0WOHe8TdRo zT&EXDc)XfeRBH?WG%TK=GCmK_XHFN%i7ghNPLFK{ZP^FqsD^|)ljFTNo|46F*ZOpj zCG@(3PJ{I0W8<5!cvV%EhQ23NfDIQ)@2vp9@mSgOhtx>D^XvST0rrQ4!M==RsQtk460K1nmdDnyLcC zjho)G@6;Q4Tg&mxgjYRm*3bT@BE513nm@ka1Hv$|VKKJ4W9|GM+{bxmaZ5nZ^cu1g z&A(>(TT0v(>#7_D$i#ayTQzAYDi7Akq=xG1@}RymaHgFSme+o9?mECR`-Y|X1+)^> zcKgM^;RaJnVs4Q`5Gc{pv#(s14J9{5l|>(hL16*twU9Q(NH64I5Ef^_%8W!?Wr0oL zibN5>7iQrnv>vOA2~-wlr!&?Gvy5g;#SZ)>%r}@ykc2%9IvS0FiEgUn<)}6ZjEv>5 zAx+OP#=`nOq-Cq!NL%mP8>Dh)qsxT6ZV`>#hh{cC{%)YI~LnC3`~dJ8h-)A=b$H*aa)DF)0R z{+h=k8W@esVKG}+<&yO`qOF8dB9=WgUq#at_9|Q?H>TXmQL-ap$nq1sY=ud2@{1{T zQZ3ZP7&2pRa1ryL4XeKGl#XJm0g19U7M|8M^lUV@AFd>6mK@Idp8$Fvy`u+uyIMo@ z;+#&>Uv&_6=@Q`;IBic$_l7>x$Uohw#qP8Q;xlW!Wa()Con=!&W&pYtOCxe?L7SV) z)HhW*PZYm=`>1m_=ZoV#-C9|u{`B^A?Re7_mm<1?i-v#d*cg$>p#qjf7EztPu)LF9 zI_g#4svFz{t1Ybzo$MBv(Ur1Vqba}|ndUOgih3tyIho>Q1rNB+;Ku2gD|KKAGtPEV z-1)3$F67RKJ)kd=+a9yyM8k84F zim9Rwl1~;B;*jykM?=UX6V-^WD8vZaiTrhPO7kKaKcOkvU?kA+)zy|)-)me*_90eNgrcb~R%$%qX_T-$Ltz~% zK1`};s7S5NP*8tw^~cj(oGx<_!)I8dD($;qQZ8*|x)5mr0ot-rb6n9{qm5=AZS8ag zhzM?JCwRSw$HBpcj{Z=i(^0HnBS+T+K6TxG@a?(a2UEb}v!}en0S^k36C*OSG8r~* zD$I%&qp>gg4`eL3QE4|GG z{Ogbi>w3z6=11Kwue)M}EU@KZ*%a-NzYg~xZUkyIPsHdS?2qS~pYJXHS;v(Bi9heM ztVvItFo2+#l)@6ZAIsV-MK&q*KrS-E1CPS0wk8fffR>^~gCCG!ITuHT#m+*NajQxM zC#@o{wh#k@p|FS$yG)aRygFov>J~gozb>@7{d@LkjTq3(V78`mwlV%0)+8d5XO4*d zehaUmTJ zG9ez3R9$Jw4INE^aV?9s17*zR%CO12B!VysK!lCTEX+5cT!e>1B`yRTxu91Y5ejLS z78|kLdIR0XCM4d5og05lL_j_Ytn290rb|?YBLd5YIxuKV|NL1rKmjLIk^tbh^J~sX zY(~Y%_V3K}cQW`6G=_)}(nF*`SE*b+FO1=0j2~aczG|D+M`g}96+c}QSP#fXwftPj z^qg1j`vZ=p7zicJNs`N=T`oSd2Iw^uC5Duxcp)sVhG}L6)gYy_8_0+NYz{62q6c5k zYHwWvT~v*6>AtXMvolaBRK2KLfSY)In4K~f6q5WSo5=E8er{#@u460mo*=nLrnm7w zwBF|NqS>IziHIs&r=nYA4yxVAM&eRqP$bktR%0XL`S8Zc^6(H_-@q+4|;PA@mbO9~>s;>!ZSZ5&=s9|bY z>N|iQ28gi2tc7s_LX9n5T>iE0f(d*jC2hnP=jI$C=rNelLg%OAdndI+bNuv+WFo=CDw#KtNr)WB*9qeUeg> zZPfjLhq|u_6rR4JIQ_LOm7}Y;IQZK&w0b%G{5c8)8_S9&1zcpWUhQ-x3^ey&Rg|0S ztIl&`-@?Nplj}o_HG`V!#Ey|B==(`%m-q`jIbS zLrHOR0eKb;c_{#?Id0O92RSHlv0uJB_A4|J<+>TGFzEof;b^&|gpF>M3`oI2PX^-m z^-yP^%LJf~RC86cl8TTPyk!>u!mYzJ)k>635W$HVzRN2}Yhp;>AXN8)-FG9VKf|wD zTLbgVI}(09pEJprI`5hS#z#+HP*`X-u4B9IV~x;sF8zQbaS?r zGN)RDcv8!Pca0dqV^L$cg!O<_MxDjE%oS>6^0QTL+~ar~?B&z-n#0@U<^zG_cr>C- zA%_jU2Zn5ZcSVi3ArS-n%S?s07n)ABYQ}OUQhEZTp>?)8^C&VH3WZ5{jHvHG6rz_( zQA=fp@S@1}oXZHK*)mkhvw!h@`B}&C=FFSHi*M*-5F}%8aOJvDuF6tO~gIU_8N*Z?}W{)G;J$ zj?(MZTuHBZte7G4nv`7HBaW3Kq*wrHSvGhOGTmn$FlR6vW`Z;|J6#diy12G9pBgE(cb)a$3T8&eq4obvxeyuh z5DgAKJ|3DB2nTO1vkvkb$JEjg1*QwPmsMt&5lI+rIjxZz`->w`reNZ*;{>k4W@d?g z-L+=STqj=Vt-TetA7;yRHNZHm+`MNLTbI!R6Tp3w?iin!gb9O1smTgYAW9km(JGO&t#kSPlcofMhWVh_W=-*<#ReI6c@9z8>(T$>F7ZVLaAmR19A< z3s0>&MXsBbSL`Alx-(8HaISXZ>!7xBY|>32Cz66qFLraBJOOht!!f|sx;s>H)fr=f zLX9{|3ic(Kzd0w8%+$x@fJxJYvT#E5di+}6GBwqJ@-^+^s%Lc-7W#4p8M6T3u915=C zho7e6Nn(rtpn?VZ`PRoxi=WFD(F*F_#aqqR%nWaNL&d3u#w(U^k*@a}zfggk}eM1Tw?!GIy; z4#gY=#>%XW(uv%_%1lwPbPW#LATso_42~r{I^dJRAkM0erDMYc#ZvBQo{WF>$AsPa z@8VSQ`HMX;05QnSeGEUwWfUdbdkheyGU+{+L4JLZR+~RM+t16gMK{Mv9|XcQojWhL zN?y)Yvm;4|Lc#a~(e6fJ=ld2!zGGF}Jf{$OtMhu8fVuTg5VQZ&1gwt@9n+aGUH>)? zjJU8i#5L0CF6j4iYOw9Hpa98%|CSf}pVjogU{8&wdDeS^rhK=Cm@q~Ht0X}|aDa<6 zWF88Om`9V!SGn72WmFnfw&}*a=t0kM>!X`H!HS+3XQuAFc~hJ$V1kw`md>I}y$Z z+io>8N1Z5&nLqS(UtiasHc1*$hAfJ|YpNYLuAbdiLkndofl(bkl9zK}t3rq-u;4?4~~EcKI6ylQ=p z3IbsOPXOV_K+8o-{$eR_hG9#M#T%)V-Dk$&yCJroRS0 zYtfdPas`~U|%RnC&%?m0SG3Fk8`W*|N)R=;DHY@5$+lLjRmTSn?r^UA1ds@2DX zPmQ0IpexcM~|XrRtZix4)ouTzzuOxi91nGQ|^G~>D&w6Xg7h^fJCRU`R_Sf z4e-yOY_^_#2$~)d?D_sI2`Wo~LTZ!oRE z;7{vvaQWa{o->%g-1$JF`~tqI9ltUKVL&Gmb3RDFduWDxxd1hkuIOSoQAGbD%LW)7 zjX8M${a#~kTML8?REQR0x1<<(ck2=S?{bOwn++WL-~fDxB^)L?NUTB@Z!w6D0j2N& zH~4!>gKp4b@^{tH+3dOBAS777+7xR<2`S@o(P5vQ#%rRkJo;ikKsc9MScGZ z^+8fHuPI{C@Bzz{q*x#}NS3Kq+M_8m7g)hrjH47%OP;hu$!oSj*l+hEBx%8KYmhWG zfQ*`aXV7(5DV_pl=|lzcBsG%33jkH=M+ zBT5-Ft>6>_hX8oN6}zPK-(^^|Axn#hd=_M}97VI&T5U8rOJ)g4U_k*7P9cNY3XFdH zuhY@$vwzG{qH(F6swjU7g{PB)bKQ1aFe$ppLB9aFh&h`S*UiaUi_w`7!m~YvZ6P4s zUkIhQ*p8?al`E<1N8=KdKh%IP9V8I5$B&-dV?eJ;a%6?kc4 zYfpPv^JLw*^j^(pPwhWHBdkDF1W4u!s88+aWTrRjT>u~O5)zZ%@(7pXOA zzd?$JoL|mc46dt`e6FH3l2IteWMiNM@l6AO{gjmGC|RLdGv2!eyWx_QF<8XfS6 zXKng8KhQLTMuGi%&r^k10>Q#Ezt!2QKv?B$>Ac7TPGy4t8P@Y>8tFkt%0kL~o~RU@ zW?N1Uj@jfc6Y>MNFe62JDifl#iG7}Bq$a5V^I*DziCVIFHIVhz>TGE;4COA9Qj!6O z?I-RG3`xT=!yr#KP~>$f(`wR!fjIIR*4mO6d}yo|#tlDe*fR zGTF&p`!ZkB7-ge*f6(XXp#gk(!1&mp(dV$A+mjkjGqOvxj(9Ta$;pMXg4K&nMUY)= zgN!L|nR4XoEXO_rRuGz;h~E$D@jMJuoqS#ksk*-8aQQqestZ~qel8Fytcu)kb&%SL zV;io}g|+>-jx6GBXKuaBC@pP*GN3*~#zKss)Jj0(O`aCkObz}I7b*8w6v-XowV%Sp zMEdN2kk7D1mO(%xe0_@f^CUO&cUnNxPkaG7uhT`h27JjnE@Fq9o75yB zLo4ya846N$Io0X1mrAH}6bH=+|sZpD1#!rpH0v-FHY zOSg(1JbUE%wLPk!C^8T}Ie$6IIxViLiFREW%wQ$==A@qDPbZ z!;W@8)^LL@kyMkkXrazcn>Hsf7f7~2v5$Bx={QQMg=r19gma{sWGaiF5;aCn^LZ(} zA;U(VNo7w1C8DrdfLe*bsW7N7x7&ESq4KRsyNZ4beqx+8$#{T;Gl71Dm7GFEw!PLV z(+oId1f)RZMO@ix@w}`qwkpG^N0$9|)@h`ulY1>PjBT^QMv|pWch@`2xVIQ4NqsWZ zo_go$tOFWaMW#7f0@43GWk&Gt^cPE0C}B3(;Vg>NUgpquHgLUXB{oG>8~gOEQdJ#m!gumRY8r(boW# z6yFf%>)ORpd)-3PdEEZd$S6mY6iR;^JY$Asw*ioaEjsEV;Akrdx;S zI{;^ndUttW5gO)m&spO+_2-XanHrx!(ov*cT$~)T+&9kQ$t>>6yBhzu^Oo&y+ky4E zykM}|lL!&%d}ewRY*u^*Szcg7c6qaW+x+kK^{q{oixqUD6I-25T5thrgh(nZ1B<0t z<}F&R{&WJU7E?qaO%6gLz|T$xPJ}pMiH3-z+mCkrschim=YJ!ebrh&7DRN~{ugJV~s*TDo}iNcEf;hBbG?lk<}=_!IS=qN9aVVaIann;OgxKaOb zvGLLigZ}6$GyY2^m>FYXCqKJGj- zyJhOx`ID0+wecle`xlhY=}&cY!Mpvh?zX>mPJTG0t5i!VXuaH^lQ_?$USH~W)HJMZ zEg1OTq8xUf=db;IS(}D<^>{8Hn;*J;-Z#OGRkWKh^h11@Vv~=n zG;Fl=L)t?*ZQ8paO7*UD&Jy2$)^;Wc0DohL)9*r?4p06eDUrWIE|%mJpJrP!ajWCO zF{gLuJzYKvaXsHxg%ZyteF>T0-@~B`z)y` zmg>u=jMteR6JiuF8DR|h$_YRMV!_i*lSAfC-{%4sUAb5=uzdLw5uS8_%q zt8%#-YRejv91IzZ;lyljJ6h;_mv0HlnlTcVGEPPOAC#E7e>9VuYlDNg2a^PZ<>8K$ zggg7$+Caq^aWbAPtJ=^i6Lr}Hta&3`-SUPAI282$PZR~oD&w5w=I63x^qiW_665ph zn5Ll#bsG@aW-r_R)K}iRl-hM(XCEu?@vqLEY#6(FBtA}S_~BhK@2BO&oB$}h9uk$0e;PuA(437LJ)Y~YMm zK0nfpW?+B;W8mGWvb~Xy=Im|!Q|2&I#dUbrY>WTMdgS=V8CMxiC8j82|}vtj=}a{*9r4HF;UN)GAU7ljCe}W}Z)A3!$uUDLWQV?^07!qnX-A zZ#!j+up}V=^q2rO##iTb;RFBqXnP($F5KwaB8qNrfm5%tcfbtUrcCyrDX8|9aMZoCTl9 zehOe=OD#0PaT(NhI;`_V=Z!qpYCAMpF> zaq~SDv*-S+vw_#0wGvP5!MpB*^8o71-r>xDp^N_>PCfuAQvjo38!nz^h&G41JcH@n zfen2n%7K;XJsLt=Bhnkv%qIdT#?cbYBKUh;^oyu5mtm*`vk}RqbXoEk7d^*x@Y#*@ z)21pOF?-49(!)RZd!6*Pxl$BFt@B~jC^+FoovPhOja1HGZX)HE%5Jq;YM?Lz?5t<< zeAy=2m%zF=GlJIpL0Uv&1xy&CGzt+Im>;X0HgX#|f>nm^>fT*1abycgA(33{$Jzr)(B z-t^`V$@S)b64Q|Z;d4P5Z;Kb1q)zdk@3n3J7zacI-RwENp_*Um#+pt#YQp_lttO|X zfp{uTB49+U+Sf7?+ zDADkn#Q+JctCs}GffOMu4HhIm<+0)68%}MBr|(Gq<1EksD#j5fvJln?G)loVBUvLM zu;)ZYn%>Ry0fl<4h~}5=e+%~ZkJsG8?k3B04F>NG)-A6$S?>PVJvwP(7?LD?^JzIA z2POVaFOY(t%VmUl+ZmNsX+$(*fG9v&l!`E(m72^fA|hjCWMpG~U6KF15z-|YPV7aS zH5F!b)PC`F`19w?q2SDdHq0vIvK>Lvr15y% zPc^~(@~6ku&GmA-g+EHvoEl4#06u0;G-4+&&#DVTe$T*%<+53oCNm+zi!KUrO)>+N@-B|KNW-ifvT5}Ec7pwJ@)h%SeJ1hlLKNRjOGznkwsEw7_0`8Q1j$hY` zv|mR9Z_1>&W^9$dpE|CqiYklBrCMMA(fkj!MdJ4e6k06#7|;V`Iw#qjnHg+1+W%Tl zZh%6}hj<-hDsq!&v-^Gq^9ZXARrueALr%N*t2k!;kL?}{H2A{MwUYaP*&cSqdV{VC zqR@}$S^8XVh*+9$)y_=M_fvR2yEGrSe>M1c)xy28iL1C`hT$@zyWd`(S7#qI+;Q&3 zv?HJyc&wMR>;xZgepWpV`!JV_wXt*i{XfRa7p`$SGaYDHm`qn7Hp7kwXvCQO)9X%@ z=u(}Fx3wz$38K`K@@3vmUp-Y;wo5avaxb;vU}ZX?C6aAIk-)azAE^4#@>vxxO{>*W);s)STD74{D=WaFq zP)8tZX7qiX6WoerDmWOVaL!7=_EXa60ARc*A923>^*9-qYbC%cxZOoMxxQ8Ze&N1! z=$afKI&M&R#T5VSvzzn4aA|cs&4?tA0Td(jR^}4lstGrg(}EYTr7Xlq#IURq(MI%^ zZHJ5e#Sg5^=o1Cy&-B|wz(ybi6}xyu06EH9$wNY9VAXpKiwBjWY4i|)LE<+jN|acE zyM-4KqrkyQQqAW581x5DjzTE5IarzSkfF$(RB&G&=D0L}PQ8rqX*wnQh&YR6M=nZl zTz~TU52D|7ewTlQ)7=UU7^(lZLI$EN=l~4OjxPI!0~orQEeP%4b^0@)_xkAQ$nh)q zX!1}}cIfQ|ok`O}d_7T5&vwHdb;xnjku-yVp#Ct&P4wm-72v<$7lWZzH6j{+D1BQw z5TN~wNRC#9ecoaQH!>_C9z%<))3Ri)r0=_i-p#Doh?@&4bcBhuO{L>dRF%EwL>$rK z++Y#Jlk;%4!QGOVr_5yG|2WX{wjku|`~KRs@bmr9zx$y#koV`u>&U0={Yc2^_I{%A zAtQUv1I4~{TIRLo`!wP2{e0~H&2Hkf+IwtCqvsVOx1RNfc6`K4gx2d>^81s~uQ3~5 zpy;oAo@H$Kds6|+Qd3ohZXjiX-G2}9vi+_ux@-BIo=5y^U(i?N&+xw!ZwfHI&#jm8 zHGhE76fZt*tPj1qx()k+@Vc);0pt5l7_e(L>eh@!XUE47Ck$kU%29l*XE;S#ER%kR z=?zN9rxYGX-<4*k%<P zlCeZ9wHnNC52g-(`oFu_;bDHSSc1ghAnCUnNRR+yLY47G3Z$*I`_NG#r21obnqtK@%If9zjedTXc(e3Q`N_t(z_Km>iy>lnQ+?9lH zzGf5q+=P$kdN4gN{gD=gTQ|2^=#27$&+i7M;v&4S?+9^FSBY_(cp>KMSuOsxsVuq; z8-nC}3%CK|;{IVzUR<l_zw{&mKM;1_xWV>O<`c=$HdpW%nD+QsN<6PlkBf-OYthuh5j`vcqB zPS+^S`kvl?)046Pi)j51rlLCgtBk|V7z_0WBlPHKz}6@T1VWC-k`=QN(%g2eRgzj? z844RVr}$!(Q0PK`RnRVpp(FgM6b6${&Qn|#+RzBIvc1&ZwM86Ec)|ZidINDj21v<3 z&?_F(ZCu6j+x_1>F-^|j5Y0^8gXO?IT~$evFURL3)gxy3=@QYnP!j!DmF94bP%0rO ztp-!;xiAmHb+sjIewA4o{a-2`--362Ddtk8?k#_eOgD4xGktsd0mTZ6yVzvCx&n_M z%cTO8Grx3!3008uk=Z$PBSML?;tNT4=}lM%5XqHq_!KFrE$KkwMG<^37 zoZ^ijIp4gx#ocXtXh@%v0^&MQm1PT{tt{!ByfKplG#=bAd(VCK0TW`O9JpSrvty0q z1!QnwC@|>@Ij1?$jiTR)Q<0PIm+Q*HC6qn!rKtx&d|+zP&X#5}nBv8{(O=k_G2(W< z75?%nMM*&vURGU8MlKjR`+jS(k{WtaV4sGs!D(7q2hv1usuEXShR@TETc{o{UJ~d1 z2cvpzB9g3B(O43dQmPvVr?RY?kzBsKtNn|hA@$b?A>S^YEf3k;mw8;wPpC^+HA};l z=e+eNBcZaC5ntF-O`@-z_QKa2%)XfrDYhVq`28wDS3z-^TB$;F6J)_oQO1BoYUxN} zV{yd*jGkHe;oHML+ElSh?hC1%6mV3D!=lPKS#=;pQeH2N6DLNy@ip0b4>~8Jgb<~m zQD@WPB#)p)ufJH_+Ngq3=BiNvPK=5dpkY-lrRTXlGF>@S(1{i@y^dSC?R~aY6Y}g& z)P=CHbtN97tqFZMg`3lAz3A%plf*c`f6hN&_ez}G*x29BEbLc1pGKK|b_%AZOho>? zKCjeB&kq95es}$`5c1w#)x53L3NQY6R4ZK(q`T|be#^0GmLC-Pv*~$wEc85=g#gcI z@OENAy%7U$e%+(7=W{Sr)Y%yQX#?@WA523i(!%LIy=SDh(i>&5XzyqHHFr3#KpK6o z=jfgu^WzDn^?ylC8oD0SW*Z3l{sYT3jV%bl9)~d8(2w^CjN-MRIQmdQsoTZEE-k5t z?2xO>_fqXDH+T1*t5D|6HfIYRoh*9FH z#i4>@<#q2CE`^TA@KAO&-v8`YO<*(M-#vpg;se+z~=Y zkiO}TpuTNM-{3pkd>W}B9`RAw9j@@d%Gc=LjVMq|2NK9qGv`*PQO>F!Sz`ankQpBi zd&QOrDoj?vIbW?4g9wZljkH;;)Tjdxiamy~nAkwp_Ui$}FL$xk!JRZlsP4`inVO0# zAToRI8Dk@R7xxU97VJKE7TQoL6)z!PBP4iVuW|d>D;ViMYn(RNT-T`_oH#It+HAGs z`Kb>3B?D34QIb1gdz*iiUl-;I5^(&uY3?4d*QxvBe@vnQA#1dqoo2b+tAo>X6ppGW zZ!44|hvkfJs16s#oA$SHo|fk3Sl4)e7DR)68QPC*0n5Ip6bf6l?CGogmXCsB!!s=^OVy6BJYc9&`YVu*Tmp=hn6DXDhiC$1>|;w&w5a+d6lIl1m5dig z9dHx-8DEKl5kR#&8ezo<1b#7C&;3q*3ggM_KA5QMpEoE^i5LKm-RJXTc!5cB9D04a zpTpCeEfKlXcAzQm%iZ!_n}o(bt=t7f+<6qalyVX8vHP|AniYNxHo8K2$X?mzX#_8540P;y2 z(m}9D#z5$FVB}y-y7@pNBQ`cmys30pb~ObVqH(m=-VGzV{psbgtgC5#(y`NgfKM+= zGS!*y_XgjW5D-^1qBhKz$qad~M&&m9mq2(8#1S&)D%iAPdO~$ZJW9rZPpE3u9|Of| z+HLyPd38B`gW4?7dz5Q?4aY2ddch`OQ_DQoZ6K7dFHEHvot~U_M1EaezuqZVu3P_H z?xDag_>1^3=?J2 znOxX+-P<(uRq>KMpEFC+Tk6DlU9~E9`k($3Zx$jXAH}7QOfhvHI~|y}UWFc8sl8ci z-%5cCW2CMzosF~{NA@fAgs0#{MHPS;K(DO)j_$<(>Dpi?bFgua)R z1u(;PgC?R(6AU3PBp3cpXg7%*u-~ojK9QhlK3rIqh6F1a<>uOLvHeULWMp2%dCVl7 z-77lG?2q$uLU+?R_?lN8OR+-C6*~@joeVdH4p*Cfv~5@QHk*3{I;P|%M~P!YBI)lu zjOTlAyx47exae$Lz5ITdnqc;K#?i{v@Xv%{O*(zHwH=Th0t1?l;nu0e7)b+v!tZpdlRVM$+2Mx#3*$m)d+(8 zV!qWA3m4m_Cg~9@IV@4pbY368l=%INE!g08Cwk~V{q5)Fi#jD0aOdpvr5ZN>&$5nv z*IjiLQXtz~!Y+>r&X(T`WS?T+{cm25L@JrZ*~DabzDO>kO^u#tx|tI3Z9T`oRTdFH zRD`X(o)wibGE%;dM-f(z3{?zUj?Rp4Uql!}_MNKoe=Td&9T`8&`gF0j^P;qdK-_)_ z#_Rg4fEKGKL%(2J&yv6;2_;KED3|$={gwF_8+uB)Jb>dLJjRLzLlcz7# z9}c;Ro_FpL^d01*bH8|?ECG8jdmIuoG9`uXc8UC3{YyLc?Movr?l&M~GzZ|c4KZCF zwFU~pmPS8#PQNr&AsDbEc~c(Pq@q;DKY{Eoy7g8JX5cAd15O<3z6I*KPaKoH@dP0B zd{`3oXV&{iz!Yv2Zp>B&w=0KJ8L@=~HR=JVqok+BdH*l)>(87PTb>@)0m>jMV!YWX zI5spYKfSO72Q~r2)J7>N4`0NPolRcW8o&OYGu(lrZFm)y%khWip3{_03)!~^eKm5 zoy=nH6x?m(IjsS^g_Y5EMYYx+q%zf?SJQtiHa5xnIPMXCBbk5 zJYOPvDd5s&kjpfY@g@HnL?)4n0dYk@Nh}gT>A%#n68Ts&Nc`!gU#0xRH8CyXp;(6G zFijY`9FNz8q#u6|cVO=2;7cQp?TVb5AeP9`Q9&7nvM@-Y4|jII>zpq=&)jI3!J;4p zha2FhBqvC62K_Finl(oS=C|`L*e*DbGAK45Nxcl1p`~QXK$6^ri)=Hr zTdg%%lMKcCtl3FJ>H&*-RM$b7cG||jLD$q787dd83j#a~wc zHk6d_ESdPCMn6>xmLI%TYyUOXe`+vu)4#tP*HY{_*t_}Id(ok9csvw;LIc}gA%N?O z-1>wp#CO2$wpT)L3%xrZ?wHtSHF&Dl^?M`u4CnR_J-j0KW5L_2V9h;w9_o;^O_B(_%+jb!Y z3Glmk+28NP0PDsv^XH37V@T{Dkno)+h?;)84H4yI6i@YYS3^%vrZ}iCvDM_dorpSE zl4*YvciMRRg-THb;xldJP)AY}YB-rQa{-@iEZ|vPA;;SKJRN2Kx8L}9c9VtBcD`3J z%x&{=#v=7h3b|U(El_uOp<;C0pXNM(ExYG^_7<{dZ@Mq6kE~rM_18N8(hG2Jq2UGI z@gWsuG#1J%3z>S%E^IjM4y^SrXe>n=wXt9<85&U0zzI$tIw|N9A;d5Ug0 z%^FU2_Cb=OGlCp?Ulx;ej`6W@PUU+nT6n2GOcj){zx3jFCHdzzaS|6Hb@2Ag5*i{ z>Qto+e%x%VU!~?)Hq75yiql4w;2U!Oj076{FX2~mWKy263}0r*)sd57E=MhaxVKs` z#~CZ{>gUK1$%3b}2|2YhO}q*k=9lp)LR4iCY12ItCrE*`R=-p}x_>~T0{+9L5p(H1 zF))8v5D}JOh)=T&5@8Kq&1vXoU+S0S`aU1el|#5aKj?ndE?Lhg6se@2!!KE%+wH2w z099sUPC_TN=RE%fzQXWPP4F~U`u!ux0ak(S4oR4YciGfM2Y5ZiZy@cJ``2UJE2KH| zr`6L$ttFB*cY~et-<5qDRjWSd4mQjJ4L7pj#kqNN>Nk5gzprP*SgBmZ970^WK<#S< zkrJv_gv@(vtANHLfKrus72{=7@Wy+KB9`Hr=q zY8L!Qs|mN!K)rtv!Awv11l5bQic74KFq3u2#=km5d&8oKkF>osIawPJ1FRPn`jV7~!aSg@t{3ROfRq((uUjpTe3w3rw= zUJ}&DHDIT~cez%cZGTJ`HdIWRKmUfr8tfoBYN3_9>?ukjE!ss!jFQLiQ|2`)Ra$gk zX*eT}(;X?Vh#v&qOYJrZ9u#}|tLYnDPafe?*RSfTv#yKWm_8QK8l}IXfJ9}sG##Jc z6a~BaY?{%1w=Pl_M18IX&!ad#CI{m_UW-PB?g}I;dhd^|UELnkCSSS)^t}hKA+((Z zpZ#uefpik@%|mA0nwz$TvlB~Kjj_>RmRAv@8S}Qp2#0(ZLeE0JEiW(NjaSi@TxC=YkEh}LavOs7 z^?g<6NXrG7`i!>n2``shL7Mjg#tP5X6xX$cE$0~$#6hzDefho4Nzd)PBJRyVr%^{9 zEFdc_Bah31k$-$rfVaoYQ}FWWwmQwFE##nu#g^-&>xF;g&ZFB=e^rbS3Smv#Zh)R^ z7uLMr)DAudO(mIL+H|VgD<5<&be?(%Kdsi8R+RUTy3@5#kEPA#tS{;CY>hX0Z)ejB zKHQr=A^W+lJSX_In{?m9?Bz#na=G1ioNRl5JL^6~H z_T9^-Uw>+dsnhN-qcY~Od(T4FziQ2Ye=o0Yh7fQCf^a_*QUg}KE~FtzCcR$kH-Kgmlm7zjd5Pm?=9nTh2YB62>Azn`yIFsLM(}nQ^31g0kHwzE%>~ z`MLFTy@8%($B=*Ji>mWJT<31k5fgTGJ-hh59v}x9r5ynfHEFFs?jThh3UK~Wt*FBk z40nUaonVCecP-BMGM7te=H*5IgA(bj_dG~h#qQ{hB8adz&eR_Z=S9psksA5P;y5d5xBAFN zvrgJq9&;Q;kwE;5s{!Z0Xd$5vZ|L*A;9NShZtL;koEa{)M6Uh3AKeUfEyGH+nk{%M zwL91G!{q0Ji^h;vyp-3Za?L+`#>PM$nj)B;q6|C*k>ACG446i2hX^ILUB-!%8ZC^*k1-fFWLrSvSr8{;g^>Ek%Y>QC5540%)m*)*>jcCa7_3rdT9Lo?oX6aux<2ZP zL%$`Z#a0nI@HKzS=0#GS(eldbUfAy&ZJD%Y3KvLyAz{zO8J3rDg?F!5L~?$MFOfTv zTfN}zs`>$MRj9U9&){j4?hkTq z78Y5P!hEYSFo&6$2_K3l3m1#Yp`o>gWxGnolqGMD8TL1q(dhTrq9k!jw3d|1qfCmD zx=|&j2oh#ZxM1;@GXYbHCl&uYm&ODx!vxVJi)1cpq!c8V$1HW&oh~1~Yh*Pn<5&Rr zo+aL!ZT0i1mNPTOh$I6PWmrN?#CozIAYNI~ntJP*#BdbNa+HRWd2UL5C~|f8abJO$FqZ^IvCAX561qtvCA+ zSFH;w>7`fiIqEnLNJ(VPf$qll_ZY5_(AvEOOkaDnh}W@_Jgv_kiTYiS zOR^uFO;8<_!nG*AxxYlnW;$A&K=8b%Ms?*)GfStwr{JcBT^o42HT`QM9(G9DO`FP8 zu|UzOp?1I6R7TJgdH`_wug&yxcE}wcGMDesuHWP2`2P~ys4LB%r`#HE>piM@$`4YT z?qaY5i<~kxE+_VACG}h+_1&TlmRaX3C|eo}d&RaRKzg6*Xz$J!7z8c9y+htUZmS5P zZmpa@4p>005pTP0)fN*=hJ_KObxR%FDWZ5eQ@-~#Hf}=vobtZ?1NEG|)YaymbJQ1p z5S;r2U)3A3&<2ZgM66yi_;iilQ}R`ZjV>AjG_Q;dn z8tQk~MKUHwhJdt3&87?z4elZ#hP*l2!PoN_UoC{F&PR}L_+0l*Y= z!&h{(L`(bP#^vXY84{s$aW0tqYw4&SM> zt`a3V*Irc3-eb{xOz+B=@xS1Eo~kKM+k~Ok$CU?|{I>3NFxl)n<9cO{e0M&G-rWM=>W&?q9e{DWI#+xxO3VT? z|9@<6l8`JyX$VUl90ii&_-WA=sX7PS;7K#2K0~fK5I$Bo695W@cqWOIDi$5ARCE{WA zM}A@x0gc8pd@@!)!WDlKEx414hO>-|xUs%UM+9*p6zOTp;H#OaQ)wuFYVpr}Xf6=V74^t)I5`?t|u zR=xmO%mPnPopf+#JaDm2+M6h8Gr$l?Nt#UB3*YB&fSV+O1{-e()64J;wm%dFrUzO} z3^P#}I$zeBRD={8Q%r>r$1;7Qi5UO$(>NpAm+5I0CTx;r4kb6f+RDoODpMNv8Kfo5 zaP#YEMwl`~t6Iurah8Nh(iA;8htf(_Iy1OL3W~M(dN~>92KZoOSPL=+@d_AxsCZJ4 zWP_!+v?S8t->Fsw6_AuD3&YB|2!FYu8RRi*sokR{(K1aFdP|aw)k@1{$1reZxcaDh zSaV2JQ+q#H_0s>dsFuC6OYk$4f0u>;4ka%T8h)(GV~?cLvn6h@ZT`x@|)6Y*r^ftyzdM2yecy@`rIJ!MxsC&O3|7G`Rs@_d!!TZSpUlrK&u)a5w>$HO5L_XV9%<20` zsYfDN=T*;>td~ml+iH-H%_mY)XtPK2PTFX8=o~NW6>(~poU1Cnoy}U%%$1Wd3Zg$* zS|H*;GS$Qbg<#~b!@gfn#wi?M8P*q4xShZ4?`&)kNhH@_!K5-1(>@Bdxm^z|(&%Uu!$?d!B`yd=Gjr z&kOoDa;R-y_hYFll$eSUg`xoengC+2Mpx(~KP5p-E{3UAUQX{FLjAjmY>m#>g9ZJE z*HKl#P<}Jk#N#euTdcs%isVWHUb_w3kK62OUB6*Iuh^%-3XS?iST8qQ1atFke%e6N z&8$Hlx6TW@wbq7`66#+vvPago^DCmErOB9~7*3ku(N6H6<5>m>jPYen z&k8!&$Nw7EoZ~9A8HJk{%fZ4xKKc{xF3Mkrs6icArR`|C1$xA>Nn(*pmxv!e*S2mbxrI?Nnxt zb)+appy0gngi4*!!gELbMz+D+e8z4#BSfazNbmV?$db^S(EC1frOs5kK{yQ7`qN;e z&mM6Y_%-@`g(Sy1_!D`(+gG9Yw{dEc48N6r9HhdAY zC<^Z5gXsOYuCA{7i!%Z(Q_(+IjUQWzC4Sb|eGj?<&HMA?U~M}pVS3<6S8&Ad{56j6 zX9=0&Z5Gc9245(xTVUoa+$Qa+^^YBc_DKnw;K51w>Ux`c&x76=*z zHD4~N9)PC;{n_%3KR}aaWZ@rhp0&-WAJtt$rl|VyMZY>DCRa}z zrWkO>!x@m(5wKyPOIhq;z~-SBk`3)=1gT_M6p57KKo8XO$gD35~o^_hDrLIOrnPWfYJ-6w!OUbIam&u4qF^<6%z`N6+%phBR<25Ni$B# zj)n&7*XcJ(Oz0*~L)0Tin$AqIjq=SLRonb8JO1YYe|-O`Kr9Tgj9~a6e|$#;X@Vs| z|LsU=32i`K;m@HoB#KIWuFMf8^ZtnR&oN3-;fX!NR_yE#>06HElvN2>#=?OvME0Q0ax%-;X}ljy3r+*%LW8SakhHQy2U;R|sbj4G9>Mu+eOo zQ~$hVw?Zg}zb+s5S_o}PaI+dXw0g1L!>X5VH@Hq)&5V^TTDMo%QQPQ@zxz7PGb|LK z>2)Tvv|k2U;RmwFE)rqT>DAX$$AqI@Fg!H!NK10#N(O6m98@uXSPv31W%=FC6zeYY zKP)!pa1OFQD$CZUbT^{SD|pS?>=bQwq%t0nJfH`#c#pC7rchbcV(Pw5d--`i4EePi z_Ct^eeqhU|0fAp6?`0qKc0%6HGj4Kvf5bBz6^J`&oF-Uo&@L({Z3JyV2Kks17gA!8 z>({^GLc8v%tQ_2CST85SkO#p}_fNzTc)80QYN$oO$KM)ij4peU_+;nIYcU<)u`5x@ zN#R$s)F52=!7fR0?KSTkEx=#vrFob}X3f6`BlI5+0SK|a$4Tb}n3Rx&wnU0p_eYC6 zzB@sEoy!I4fJH!ZUO!0 z)IB`#&X5MN{_6A;h1dR>AAri9@uxybo06V{*i5G z!Hk0(j-RSi=#ztK6rOVtrx+a<8>4`W6f!*A(`RDnSgXm<+KB)NbfWz#JZ8XRO}W68 z!EDU0eutSQsm!iLgMx|{7aOafAcu<#u?j{EM?`3MAcaS>(3%p}_TxiaK&w?N@(lMO zbY3Q{!;PXfUl%BHALt9d-pP~|;`Hrlfj&vb_QsRkA=wM{jilbfBFp!JWQt~XcTI>E zAqH|zyLInLfd?YUL>^DieM=ng2e$Y$gjqvKj%hTZg)A2D_?V5RxJ)JFt$g1({=MLP zry)r6@5Y8ehCD%3TN1d%%H+>yhtRoJ@4clCfc=@g7Y14KGTJZWS}!g|s4n`+^CQc~ z4q+(a5!~3}S;VUp=4u3g67S2yy_*^1@84cs^?mdBl-d;V5yV7U6 zoZOM@Gx9h;znz+vnB%mcnw{wR+>oI8%@s-ha9Jw1doumqP%bD~3O$7xoNZpwO@d<~ ziIiCRV|bn^G5#n-pvijGx!288f&1czmuuEzP7_1h?hJD0{Sy6qGcv%}_vk3^+oF@A z&nKs}UZT%wI&x!Bfbbw#5CA0nyyI4XAm_aKdmTnckQ>O`! zvI8BCJ?Lja<&On|R;mFLq2C>{(%Ig*b}AL-?NA;@I#em#2DG- zkWhsG&_K`D@}L!x7qOcO_gr!Oo@s=)F*j9$C8LI-Gw`0lzU!LR!$IyBK*lRdWw`i) zkB>@DL9WFVwUpckH@0vK~C6-|l0STuUlNykPC{xN&p-5VZ z^nfam{(UAl04|#>Z!e3$8YKLyQoRdK`j-=hjYLZ9+1M`%Oq0UY#AFD9%|pW{pDjfF zqzj@49_Q%)BsaILZMgQS{!KBiq@xZU9)`*88H@o%Ek{#Hun-L_Vhs;aylagkSnvG% zy>*5@S&Y(}`k(-6nT-ZGOuC6A8UrOd9^r)gxyXbpJ^}#1#98DolBOs7b>FgW&TX3q z`!`rRKqtj8JCi&gC-M4+1ut!=2rXb7j;rBb*a`-V%pMRcOhxm(_0&Sj&`Lf%7+AE< zv0aswTjjyce?-zr^Ah7mlF#n*9HvwKX8b{|=Osl&wthDwzOY{JRe-I%zgW{;ZEd=rbNeEwa!E~> zkN4+$oca6Mhpoig*Om6hEw`1vf%t&tnjEHkSWT+s@pvOYw&P{4h}dz4+N4B`Jw z3Z1`g&_93f(fO3kb9Vy1+(cG5JhXAL^{{XMY6UIgG=FOAU>&8H95|`hbSAX^cRR|C zr@oqzMf>)AL&dW<Xji7v+1QS0srZVV)>sIHCg1UXZ z9uE1K^d7S}&JZcGYkAB{AJCd3LuytW=L0ADP@B;Fw#Ml;NR^?!)=x(eC{7Q3eyjKAfe6;_;rDv)?0M=DYJon(FPz2lv*s zlV^FA?sDemFI5|zotIUqOwS{zd}u%7pO)KV%_p^P_%Q80MxF~9xxFIGoIV>=sKz+I z)v)`MjK|r}uBJ+Fw?^pL3G56C`R;kGJ8_Up)1E`jWb5niIXU_6VLG-vb=B1$v;O)8OR+4-S)Z&4kz6xpHoE13TMI_F?%IT{z)OB4O=M z5OYvBZqWYGU^#caHwqET%qJuyd{-23nf@*QuF}vl zLJ3fj@Vk>lF&fYX5N%SIaKC92a(x{(TX%VprwDrMVOJ+=J&ryA)2#f1h#hqBL(^y% zq$mr30PB@MbAPg&X4wlsGleaid9*+g>I5Z~c}tgpCF{md2|LdF{Fu_T1jeBj>Q)>C z;#X%7dfBFmbbOi=CI3dXdU>=VLzWQZ4+$|$g6L0Y<|l`5eHwmFFC>1g@0~jQP5azs zvlD(#4kbSh=gJ#oLfBw{0Coe0=ISl~yy`*olp%IjBQ|k`FY;9ogkgi#0<`ceFFFe( z)8zHC7ZMFRV#2C2VpIbJ44Yj0Luc20ffF^k%$mNPpp3aHGdK}u+zZ#=;4rcuK))t1 zKCt((?}72>X3@8>lp&P$O!SgiBNn~$IE&yeLI)AA=JV;85+i zT8A>fvTuC`$!0tu6ny?8s^_3Sc?_}VI@lSkdW}Eb{M--8s6N*gItp9Ho`l+Xf-+V6 zP&XS%iYY~ML^gC@o4?4O@fo1*tT}8?o#cCKZ{|CH9%Vjnd4^Gk2Xxa%r`%glvl?jRzFQTO-W2Or_T!aJKHGOe59gLz%a^7?XZd*B zDhQqmBG&(VJUnrP`FY-3c_y0G$eoY<{2k5$3uDZSa_b!8BxaOBhQaP!eP1V7JSXQ5 zH1c@l<`K1m1sL>|XkY;AJ|v~WQP=b`e1xayoUL)iRm-p$MekkQNL+`ui@j&(Z7BF$ zZKCSU(sBQ)j?ZQkKz}Mk?XSOXFP0AN)E_(+t0`IHeT^R*5fxV~Cm?Ps^|R~0TNQZw z{(UYGf$rZ>MRK8fZbE^wRlW7f->!!d0pj$oN-eDdGegP46Pq||`3#G3=*p5FCBy-4 z>f(SY0980yp!zO_xflWLo=N27iF^UO?#3nbB&zdLxqXfttV3HNG3hTMRd$Pp_)>7b+|q|+O~SNFeZm$J{xY&hi@4@$X$;N{#ZHw^ zA;{N~)ma}?6AAgldFQoT-4VsA5+nZTP$B@_*~F{N`BI><7E4B>u5b{%YBVsNR5bM4 zn$b_0@a4zz6cdnLC#{tiqMEX!^y&>VMtWD0SR)FLa3GmXat6D(0gz~DN>C8>x}3sp z!}%i3=DJ8gdIGc;9^h~hwQ!-in64yt#&dEbwAAR5*J$+Zd4=ozsW+o0r9z7)Z1w@> zD7e{YZC9tW-F9xKSZYS6O0UVRPry?8o=s@kbG_#5sv5F*sc)J?EP9{yF>V|_XrLx9 z6M70_gd`k)gVpeP@8$yAe_Lib-{7B{-KQjaUm~$2bvP)?nq^)Wm4^@mL+? zTZ-%YmB2{Q26B5~XFp;*l3u&I^eyT1@!4w16)=1;u(?QU`pbrKvA>u_c3;oyW=qq) z6@`}VpgKCSwXA#PAj$aTj|qX=Q#qJH9aa`d*^*0@ZRIO;UGTfTeC4t?xE_w70r&u+b) z2vCTPg;lBY`NH?XkJxE!*?p9;DXYfkWxH;owZAdw<(!WRWxT25?4&LZJ#>Fjv(2}* zP_#Gv{yh6(SkZUPp}qMOBF6VpoZKuCdYgvn>8}4tL6P10(e!e%BxK9Ug{idK`E8Nb z);}HAu;*gK@jj~hN8RCT(znsS>MwWYaR<;9>;V7tK*A0CXIa1Jp{on`2YsPi2NnNQ zg51hYM3pM;gQTRSA{AQPCmE_Fh?G7KeY<{E;f8;*@eEQz*Zn>qkd=29lpiKj21VN$ zk+69+WR7v+F=DP_q{4YHE4bvp(K|0bp`PmbK2C>K^G-h;N3#q{$0(wpp`Nlp0^N*I z&;GcRn>L=I2bgM?t5?cUVzMU6&@weglZ!bMdDSYx!iJ84P>NJ5QiXcS`vWY6Ddk`= z!p)yXaO9UN5@ld407cm7JdHn?Qd`jdX@c{Up+ROFJO{r)g4WcDcVrB3QHz7!ov;KOf+F}5*&bsv9J^h6Iy1A&C%QZIOy1ZD^)`; z8dWan!WaMj_>p;HEt|KBdCf{X4bF$kaKwsYl9>C2AfOY-U4CnT7 zz%~DINjN-@%_R@ktw4dsnyNibH|)gzrXt+VaM^Jn6A|&>JjZEwfhdQNf06w|yfD08 z1S^3ho~;ErxYXE()F7ClxD%c9T{!0LP`J$~!{nQAo@QU_=jY2=SQJ*=S!0$v@tO+U z#CcNKhgHm8&+h~L&P#!_v4YT0l>VBl?S*z~0;P({|J);5=*Zbk0MPgv7z;`oh?GBa z7dvX1orXgsGv>u8NfPoc!o{QZ#VHGVQ%HC~3>VE8U%oaB#FEwy71PWV+bfCPjZh}d zt=~85@*0=8OX|3?#&=|gdF3}4KlF*^n`@VKMFG^>^~f2+lc6o}8IY`4E131C7uFNL zYQc#IIFdVuN|ZSFk5JXk#Hg+{kTZJ7V1Zb_C4rhz{)CkMpw9irX7}x7Gw*v^#oU?< zQ>HZ4ip(!dhd$-r6h zp<%poEc^;qAjDMTx-?F$jj9%FP&iFwJyYDp}>7{j|ZO8kcN4xs>n^ z-Yjd{P9u&+K74A}2XP*Ftr#{r=zgtVHp4PsIB_|R^eOxNOIY8h{?)sTd7scCWC)5$!Fxn?> z$#8?-%i-8OR3x;IEAW=tRh{d7XihE}jhDFR|K3AZVhupC# z6Z`O;chA~LXeq68>fy+->AI!5n^17Y{?sioE$ro9kem#yqzqrIu$rb2ujah1?!9iGhPV?fNH0bN{1?~K>T+VeQZ;9}=g5;f%2E62 zA-Aab14fK<2ToW(Ko)~tV#r7Q3rW%WC;uTR14nNn;})hsqx?K+q;9BEW$PLTk$rC0 z8p!|H!%0H}ubG=g5My*k($FL=kVWD%diTTX`};dhWH7q6XcjlYsJlfG)Q|(w#$BWB zn(L!s7=}TEE*UhFJ9xmo>KyRKS&04BOWN8Nc1sn-0mR z21IWKhM6g-OGwZ>LlEMZCX@Pzb|n~pGx=cE9Z7pIMBY4>o(0p4x zii8-@oGXFEvLBRgsFDcwTf+}ENRPQ&>3$7QH!NBnY+Q#2_{Y4Su>=yUan~ZsOe$$5 zGzyZ!%^+~7!v-g#8bOgFkP1V|1VW?Z@=NGah`ef}cs-Y+I9CIXg6I~cM0@=2Lt;b) zeE8x3D$pXRU;gP8GDoDDVt}M)Ku&@lYOz3B+eg<5Gf3Tmo z)oSJ=xjEV02}D&g?9~Oz2qdpieu;2@&yIA!rGF$hD#UiApz1HKL?@<3wxD%UHSXct zO6%2NJc0s1rq=a8i2;P9v(uP1Bxu^6m^yB$R(BcQA;Og$hAm4sNc4D_sXy$wjlLm> zX1THMphs#eor=IfRjgO7-zb{7RqOMytJj)Fr40GQ98Og?a#F|YE^~pGY!&zbN+|T4 zTv>8G|LjF%2iM8WtmWimaUpebL~c?2_ZMl>N;Pb_s-44wY)^Bhi^qHtkF@b+7r2#Y zY6VjNzN`Qz9xQLfGGf9q2m`XuQAFDYg_zL~e_OIdqqC zzxq=3GOf=noAl=fNi7Jpxa4u(*i>8#CV_ynWR&5coAO0gflaZL;Z_}Dz$S{{?Lh#k zN{3CXrTM$CE=;GxjMBl3gf}e2p-U9ShZ{Hgb8klCl@Wjs4Sp~G0Xvn*QDk{KFGWL2 znkOe>a4<3J0 z(t(E*%&UU%YD$%QpTC?hk14}^nP+K+*0FY+h#6A!=A#@FnEHCJeXmO6zNakTag#i? zMZR0+Zk>hES=?xnR+P-8zkSJ_HzGDHJeDb|R|OboDc0YN{33*Cejob~_@8#$6a}9g zthP++_GT5@3a*;5_1j$4&=*Bc>*Dz*k4}kx)ELcbnZ4cFWu%GUa-9>DoLkUhV5pWt zF~A3Sh8`fyCN@e8z5CE^42l6*W4f~6@N)K$uw+Y4qQ zSz>`1H0sQdP!+v$zD7|x@Aid)odxMzu67|$%n_9|L;SQ@r+K*4_d`EHue&+@{VXhH z{P(phHWA=TBZN2f8PI(EryP)1RJ2#YHu-wO*u?*M|qvze1#@tcAN}pY&$N(mF-Em>0 zfRE##Q^)**f}QOs=A5^)sVSufZJ(+J^wC;e22Iey_kBn~ zWIW4+DmhJ2^i|Zvv1nEy$i$k*;4`Q|-e4*;Pk70HF)I`7xTR_M*T|f>MH>kY-b0VW z;;Z`DBo6=^MSwwBEyCse8kr#2v!G$UO4Tk3MiNp=)>^28F7+}1*I zbSdt0LykIw`aPnM1hM$nuojb*Mre@*em+vjH&g=eBW+SJNmWa45faz zZ!7z$M*WYfD@Cx)cnhf#g(zHn293z37<$MzY|op61}43kadFK!U1(?}(qQN_APYNB z`u0Rm$d6w#ExK;TzX%7?XN0BtfZr6OO1kRjxa4~QWWeG?+Zr&%POjU)$kvrj%S80_ z5=X7eLXh9O>fP~?99;rjzQxvdXIK+zm`vy{Eh82Y50ug&$YqfX`42@KB>Vs#5KZ`D zzEER)rNY>1C2F|gKB%<}+49Q#nl}Nmqg>ru{Sb#VbQIegINK&b)yR44jEtIf43;yX z=q6iY*!69k9lc*M+v7L!be|{d8ScR#xJ=7#kbwb>4yiP)V2LT+l90LDwSMfy*nym>mPSh#KT5 zQ`~*jP)`pcw;P8e0eFnFoQmq_dGFsyjZ2sM9>uUjVx~H{&-~O4K0}cv*ys$KB$g&v zN6faQWk8aX0MRv$E$p0vp|h)mP*5w>nUZ4uLj;PZGg&uMGgu{{31HGV3T(_|-6ttn zlrMZu_)zTVw9=Sd|*)*SZ5 zee->h8&b7>1ErfX38Jeu+YRDnZnF6?1hXKP6Ts= zMs8}|H0swTP9Ejo5P!xl;UHCX8mS1Mz4AA?#0*S!L9H#SIwIvL8EiG!IwZ9w`aMu^ z^8`MC4T`l`Z7yFWUf!6=Zb4S?5nl|$ydL#@z8Lqm;l7@uy5(lQADJ)Z?oI;MwzO8- zYcDXik}vG|I}{q*Q;JyrQrVn{IgOD;Wr5th2$kC@F~taFwiqX|v+M2;fNWPn*m$`I zJF9ku`l~aYT9pP086X0HZ|1vM#eaJ!hn1U=F=WC9l|L+o{RqcQ(>lWZPZz9&(k?b5 z1Gm|-3_?Lg@#k_>e%Q;LVBInws4&*G_cJnj8o?gQuWGCscDypHfK*S<&joLX`XAN=Uc1qH%!H7YVLLz2NQ5!=90u2J)AR-E)~}pD&sRd`KL~*N z={C@8vd~9j`MZ0sTh%&zbp~1k@KZ5=8enm)R@Ez zB8b!|pEG(Y0VBumG*`XMRr}%qCcwLNeCbTM14fC+JW&TEVx$>Vtro7v>v(4z*{zC- z+_)C7MnkBE_@P^qauH&IH@cmOP&gQ8%(*-#&aGsa=vWHu#J@OxoUu@WXO5hyk}OIQ z*?USH?%jdFLJm24?&+i$mpZ|1<0PLrAzT%2%w$AKVAZpmZRr9XU}|B(?X+ePb9&=$ zWShG=hLFn^A_Rii0UkGlBuRYO0gx<3zwqDafQ$p;g?~QH^O*aAkblQ*R|uo1n|-fc zp?=55`^$C=#JiirfDIru3mg{~Ol9BT353Ug=#JY}^u11c=zQn^TtX5a_Ew!H;rw+! zB@Q3xQXq;h))?=YrvBJ(y@P1q|Fr1+r&&qRcY36TnRrGoQMEUrac4vcyxx4xxnG~i zF-2}`)6PWGgq}@6rYfe(Y`RzyP$j}Aa8r?2C&!|#ERxuLN&L1A$44$&RZj`K`FkHh zd998ill|WxwH0g;;6J2i-Jj6nHl?1TSbdPr+Z5cO$Fs{aHVpqIy9$9np?nB{e ze|DKym}GD|asWMimh*^(@*lO#ak+Ybcwr+_xV*@PTU3D%BTjlxJTCbVIwPWPjdfMJ zZtZK@LSPrv=2b@P_VcgS%n_;t`cV*-Oh3)Fe1O`96Xy5`8l3syt~_mt9$h0>k;X(W zq)jX`Cg6lI(Hy=pTKdI%n$9KE2dTflAe$yKS#uk!q6XIZbk{^aE$L1B@)F1@K6INX z5f?2vRUycti2d+c^+&gJ_!E(dg)Al1Td2; z3@i<%yFJdA;b9zBbub!H?qm2KSgA%?(3-MZZR|9_dp;z3;bA_y-mvgM>|@dT7C%H! zQQIQO9ahrs4TZ>NSfc$x()uaU@dF*eIG?A`|B8wcm%OmA$% zrU{bBhjmRGE9;{`8S}<|I!%hkFjlR^)*yDe)%SIgxAdiE%fW`1YO$p#iuhSt!40;f|(>xtbS6MWqd-ZGoca!>+!9fB~BOlDDHJtk9gbT_v5G<55 z^h7+mGjMEEj4971|6hI_JSoCY`vEVI-#Pmlm&;0iDH`0(8m87z+W8Iy%c4Y)g*aj3TA=|Fn5hupVt^ zI2jD%BU6ZLDI<4P9sV?Q;2>IdUWCnAN19@3QU7u(VwfMmekejpz>NM{|F1BQHdAM2 zwg;*>(A>VOa^5njfI>F)&O8ah*Tc{)6S~pOARMvqDA6wg#fGde7%!20rpA{&Gv(PRZfDmF7D-Mqo*_ojM0?Esk1BD7 z3_(P&Xn=kMyICnW42;FncBcx2aU+L)>%NpEVoqF03`6^;?Od3V`{7g}VC%ww}(86}mvDTJDk8iVJEdXFqC4`s*7`QnPetn8|n&6PH zFtD_VpySy@)52Evbd?v+9*O%fqE)mI1N1(g7s3p=L7=~OO@opZYmL=aUzM$_P9TaQ z=d*?d+x2z=fJ~7JC63c_s^CTT-S+wv{rHpe{^eLjIHIGEB#GmE8_Clf_4yB{NyI@C zAzt2P*vr_j=oU(_uRQl+{BWrc-Bq7g*^wapJCX^$i*^voCxP3RQE#X&Sv_bCU8sd0 z2wdy#JEQvV*DbdHcV3kQzypPONTC=eFTd`H>`)T2d4FVKrBw6&cKhIfLNGKKljgvE z#q_Uj^w=tstgtxx=&gLDAbQr2qzqTx99!l9c0PYpoQ0JRJ+Uwo8Ddy)|CkC2lqjINuc5}l^HX^}HEvWw*Z z3jE3q&z68Ky)mTYN+qq0q^?FsK95Zs7GQTNOvZX<&nOi(Bnx1@Rkg@UOS)lPFjJ!% z>%8BWLJ+T(i!RDa$sd*}?JZXS4)1av@`T&XI87owS*{f5MJIL5Zno)_B(kX9vn}cLo=WSa?6~b6bF<2$p4O%d)V-tb_oqH0Jth4j% zWuz!=ay2ZT*__!tsbej!Pfr_I#w9meG=e}yDxpww$M$|gNjCpO(^*GF^@m&k3=PsD z-QC?O-7O&9-5?!9NQrbvNGL7cozf}Y9Rd>4&3k_L-uK^`wdTxPGv|Dt*!#2ZIZ$J0 zt&!_iII~tfyenHgCc7=Q42(bZhiCnU=xSKHeU_)9I<#o|q9wI{8LpIIF-3ZOfEk-Lx{Q)a7bvRNKMH))_UbBEqw{Xy>n4>M zp$2Z;`{(dJYG%9@Zi^LX(iN{`L&R*bkuZ7v;~+=o|Ipt5Ir!n3-Fc&S-EA|yD?C|E ztmL#tFMO0ea_;9(ljmOsV$X9XlsK;-f%MV%WQ^}_!OE0MUWg#n6cb8`6z*~wZi3oc z6)=}dO>KecEB;UwoUCz|xzN53&NBRQWfV)h_><(3wtUwk#jf((!0?>lu8XbPpnd%& z5rqN(vdtqkEsqjS6da0BfyJY0LqYWmKDu~PDc-=b4l7zF)6)yIKxpZLy@q9HA<@1UaZ3IPh<|0Pc> zAUKHN2&FKMT}t~DRUe$f4x)G9IG9%Rn0|~g_`ev6%`eI&9R7uf4A9MY4t(h0i?8i ziwoX<3~DjVY1tIOpO$Yd8A^ZmylL8r+Qa0`BhtbywZf+-W>JJAX}68)jXno4)$rCl zlubTBr7rZ2Z(b_+y=IP>hyY0YjC~{j0vl9`y!~bq72J*s4C+aAztMhePFEuQHr_}_ zkaNX!8q{xSP-m@_j3@hGO7Ic0*N^h|EG#fAOO{Ef=|gG@NqxeKrk0# zv1SUo#qH*%pEKAOi_N;uU@7bXm?WtA+(l;@xk$5H@$@Q3avq1yG5nOGA{u7W#Bj83 zwvVKN5ab=)+nt1{lTX~s*ea2?Yu6Iv328ct3NZ?Nz$}wuF$;O@wE;qsiq9X)ODQ~Q zB;(EbBBsV+X*9I*o;n%l2X&#cn3>*JCF@w4xHweb z5WWmE!teVnBwMbYdR?CsZ)8x2e%%n;%_B)X(A$h0Yu#u2f>~3hFL-_F>wcHmP zEZii?B(AF>6dcCS{tZC0u|U;N_T(%kwb@bUX$9g{oy3FpC{(Gus53w1hG-6o>Mj8^nGbGl1-ut!$_a*kfgU|5F zo{aLM)xd&p{48rD33SOH(k)zPrxmV6W^>jYJ5OW(`=FhlQ=);FSXb<0gr-57O|1XI zzClD;t2}to`98g(*5lQ^HVDQ;gQvr`@jkpMhi|yG-kB zMujhVw&qb#y$bE*(pm6ZxPn&({yd6d5~MMvYHM;0K;9w2%7cTu%Y6gq(uFcQo2x&n za9@@A1yw`2u}Z6rS^b@_p*EkRn3CXTQ-)zA=B~prv$-whGnE*5?I_TtHAf0RA5<8w zZhb;yqDKmKchlnc0M7;U=Jef0#1uj+bsC6Dnnmp|OPC*cR-zeV#K)#qu(zZ?vR z-pAUxmbcc@I&_|f-a9m@f)eaR0HkqvT_rghOiZ^Xnmwi?(m4m2e&=-{X%bD1q+FO~E+L(ti^B^QQkvivQD$adB+cOtaYxd14}5OQ9e8 zu5-$X4D<%Q)y`uH4>E<~M}6th?8FkBT=x9qM@3x`8((18n~GeWbssTis+{03~^ zVmOsI_ryq{|LeHO?;!QnB3VClbc`FJltz)KW3T2I zFe-xXvvA|-Z~=GV<#H{us%f*cifg?xB^;A=Qj(co4%2aKmLi>baL%^Gs=Nx`L!T>4 z7N_FQCr>)q>D6jq+ex;1Cpq(RTy(i&k@w3-H%$$Kd**?k+eWW@?P;+ktxpV<*!Tr` z#rVWDDiE$)Q92St#Ald}oRPm1eW$e2W}hRF(Vzd(dQ3B&8p@C7EAx4wRcLU44olE( zZ=PABTbWrZ2GHC5ssA2+eRgvST0le%GmMQ~tbNVxWgo=Ft67>#e^jwlX`ZjF0gTa` z=HZnxoCm^6tKTwAzrkN>(JmEuPnKIkxLXkG$k4!8A5G?#gwo5wrA&)zDpbik#KCtY z&rkJ+NQkq>l`{z;*|E>L;&C0;pvmMsY35SN-~07JwaJ#sS~1mZB1~&i#J-2}O$z?p zU`!Uj${!9Ffiy%cN{=5yy9wi#+;&nF5NNggPqya>gVo2s!@fKM%x%9d8I>1FfU?Rl z8X5lyb5!P!f;=rQ`S|JVj>DO;Tgppv6YBOv%n15gBUr2|`^gX8Dm_f6oz&D&tBd{E z3{@mxQB)Iys-uK?n2<>&l$%}q*`kth@hJ`t%a*Dq1Ha_OjX$05aXEX~UEonjrRTzq zI~n(Zlg8It1vc9^pNPQ2?zP`p_j9rmF`n*Cd<)Xl%n=PKmIA5CWaUAddK72|BW{&r(K$qrGO7qkf=QnKO>zh{+w3J4144Yhlp*vOWc$p{ zG4GM%pr{f?df-|K0tm$I_!?)E@b?u*o@MBK-so$A666 zhftb8ZelU;l`dO@@LaU1qvB+&Zo)j4Hc3H@w6y@~?uwY@eOV|ac7<_AYyPfGHG3&u zVpPC}i?f~J^{iG_mH`;!6g#N5JSQrS6bjMt$T**f}QFhx$1zWyR6LfQ4yVx_w_B{tpD=Xo*I}r>rxw?C@!JXj?m+biVK!$7pnhxR zvD|*Kx;{sYvhY&V^)869Y?^YeZBG7GmdQi{l`FLM6CPkc%muH0>r`&*VPph?|GZbjD1(L z!%w=9?!JY6VmUZs$)e)IJ}JQiM@g2At)r1PAXNvwPBa4~uhuq`2bdnZ&^G{!igSHEk9t zTI;?iOKI7Wv)tBHrK5z+IWcDz=~P|*jPOOD_2Wk1V1@p*1fM}rd*A$VblaKO;jGqK z{mFof_nEOe%f3#9pR0B5+)p+AT1z>DmAfJNgFBzBGXucq7uK=UN-z<-=fs@g|4k~3 zsk@Lu1ON^M{gyS>!@4-Z7+7c!wh<;g#r7X3*2DtMUo8R$cYTVfk0^W4MD;w@&%+E! zOf*R+C#B#Z1_DT#@swBmLvHX0u$jyCR7*KvH!}VH=T!B}DOsa0QTG(r<`95uk*{N1 zx^3eTu~*U)Vw9ME-J8et{`$Gw%`;yQ*sDKSUZ@BLCAn*E`!ZZGpC}saV)FCzN3`h| z9FON&^Z9Vk!@t!N;0`w0WWlKO1g~R_DXOUmq}fgnMTtZpv&t}~nrZ(7R~%JvAsfrf z2sBMD%gnP$>+@cN^??iQcq4`a(;hAJ&Q4SmWkacf!A zXltzI)-DFRsNYJV5PYB3gqlZe>8zeM?o0YghFjX6T4rj0#pbZq%LZ7?c!l@nY0I@? zEVDgmVgNjc-7bLF-3fhD0tygf9t=_ZkXcFLf7pD+C>MH(!uxE{(I)qi!byqLfBxU+ zbk^$_3htUhpAm7Vif;3WsJ1z_q}3L zo4j2seS$lv%!wlc+kNRbxJ+A<&ZLTZBv{P(ipx*nE2pF%gU^da<=E})Nybgh3eHwU zuG1TK!umA)Cz6ib7Ap26cx_^DyUN={Z3=NDna}|JzFU{0VB5#V?bF>z_LHQT&fCb2 z1{5po?`*ts0UJvG^om>TX9TK(PakUUKDXDRmd(t{zlnsH@t0o;iC5lM`@kw20@YMhBs}Qse=FZ&$cMT!tEKiWGjqAcquA<0&VLNJ ze<~^}|InqiD|A5DS|do*I*1}jHd)1t^?*@+949ygwzhNjjD%l=%|v9~^zd0StyTKstCs4$)sZdhu!6gRm77c-gCi4U#7doJU>s#68 zy7m1o4SqwdPTnC^`M~4;#w6hNbJz1Be?S$Pi;MF~>t8f-p_A40FBqpfiUT87nYdUe zd5SHP(%F=hkdW9Eog~hvPosuaoz+!^Rn!h7>!+F(4@$istH2pb|cV;3sTNWK>v6T7GQk-(&mk}z#7(dhNrad^ZO~j z-G0fx>t3FZk#5vX#B3b3H9MaU20H9+O9;lsQwWIp9mwrKbBRMKLx~wiP03r$535cI z*cPayOhv*{juRQbI@NkgDyQ@vbX-mtj$o2RQ)t##bC+Hj_J`2RwUDa@of)V;4)N19 ztv;_TnCK9bN}%^D=3IoReI)ysl<})X)tc)Ku<&ieXw|qjGt>BdW1+nLYP|3T%{$4m9LMQ2 z;074_&svYct=j!6XodIoiW7m{e?nR?ynJBb0(%zOp1s%S4d$EElgCr<4a`?T!KI6i zvyL0_mz^Jg>-F53WI;|&k9*4@2t!|5*?4KVzq}o57z3qT|MlS>uyD%!v4v$O2&8N$ z$IiTe< zAsT=Ft}a}9wZ4VTO2Y#;m7-ZGJIeK;0QnuP?f0l3mWjEI`pT!tx;UA%2_x^u6R^U~ z3dtIF-EYfVydQ7g^_?OWFc)f5QY7}wa9u5k*LVC(U3fZrD*P>i(gU_oMEz}E zl?E3KRey#cukm_mugqsZ11=*NstL5&zG&@|@or@rxZ3I2UG3pFQr73;nph#TB@hA% z8zdqdWuX|S@(4dTP6<*lI@ESr;Z3Wzn4U}WeO<$ijsc5~Bp>OEe zY)+e5ce8o@di%7aUn$V`^YmvcJI-71xNBL+*$8}Q4Fm1gZ>OxMv9#tGvNkt-782>p zhhQbf(Sp5>*A0&p=CgJ_JzU0r6RV{+$Sn8J;jLQH2)ec*TWtR6HIg3*nkS5cFV_>l zr>kXIz`OJ(vg&59!2=7=b{Mc$Sph!19e1-6%oC>%`z~HC8wQ=*e^2jhd3CL|1_6ffR(5yx-=}zxFcj3J4K5t{c!s z{j}!%7T5l^@3%X%Yl}DAr90_`iVKV6Dv!k~f4T6jN%-C45XbxUWQghSEYE=h0sOW7 zrGEK!!W-2pp&U}ry7U~Afc~#*aFTpL)y1E-VF!CeqX!sM4%G3L^PTX(3d^luV%S^aMp`0K4JK0H_btD5KefYLxWYFZjDzic=| za(TU5q9*ZZ)-oe@@#SLOX+!YE(pj<$7Lf3}7VkV3jkb2p{GA0ug$8h)19@EPt%u*( zL7u+n?$7n$$@I>5DD^SVWa@6quju5`Y~PK6kNAa+gmn6(2Wd6 zw)^=-2noqT^7K_q0{*d23LaPkcLV(EPvWna5g-P<&*O{7|EXgPA+UffP?E4L%*C8` z!-NEXpvGeO>z2Ap025+Q0Y8++{;kPQu0|i_lbMnv9co2Jk|j)N4<6KRD`~yJ#*S?) z>0(9r5=#o^D+#d&3#A0(p4~-=z)>?rd#!IuBM54>LOm+78tKmZL#}}AO!0Q)C2Yq4 zMT%O&T5<@9N#6h!4qJA*V2F??^XT+zm${V-Q#x0;#n)P$@>0LD2BQxOcRQ@{v8X?` z70e>L12icq?7nD5n5mD`RN#%ir9~r_kY_js_$$v$JkG+po9zUpS#-p7I?ooBrShoy zHlyVqE6>;jcT1$dljg;Y4Ha--K0e4syy;c`601`%E&b=d(vD~-Tobz+H==@}Y>r8x zxQ=!KUR?^QRVYhouG_1;F>ODU8G{+`n3#NN2#$XIhdRU$DVwtoGcHcs%=~B%Ga$_97HhzxfwS;?qZtV7Z57N$ZzEDPd>fVmV>oQHRR*KF?RN@LeKFF$T=a zGLYi5V*R+j#b9&7?;us>N=LtwK1>viThq_RHt@Qmes4fa>ZJGuGDFf#*(7^N~W00_A_ZIrD=a7r}q$A`NfOZi642{9i6B zBFP#G>}E_5^lQ_|%c#?N`XdIxB9yv~*=U?9>0#`B1#mtp9MPu#E#t=tN#&ckDzT=s zWL?(a4d%4=d!^${&4Hb3>mFiCwzHSz2+%dwmB)=`kaZaT$H4dBl2pAaQ@gpb^A|r~TTb5EzA!s?}p~&$>DzGGFK0b33u& z5~Q&Pu#uu9Vi+`;h8lzhNjSyDByJ-vZe354dB;Wz0G3XRLx6~JB1XBTpM5NeXS9yH`IFCGk6=2;3 zo-Qz8x}P^5CL7^R3jic+bRQYL$CG$=fy*R3{I0#p*PBwCgeQAv=eV|YtuUv@ogFk6 zxPurEctCP!DY20~@Q@w!ZGQz5Zu+bI*KYm%5H>DzenK`|kJ;$`l5T=m-EN8ql| z&z2kV>O6RoOUpla1SkhNExOv02)vbvu#4b4Ljb^doiAXSdG@sq?%;oAx084jFccwa zfb3bXFXPrCoh*$YicfFo#LT_hmoWiHh6!w_>Q`BB|CvU2#uQ3qnr$XYVAOQgJQmgy z)}XZjBMq840dCvL0NVleF!Yp4thokURAm@#A`Mf2xi+T*fCnnM+2S}lJG(pOYNjYt zMz;Iy?eu2rM{>j6#%Mkp>k^~{ZtA7q9SjT0WwtESwSrB}g6ZsEJ~dUm`11bAWvX|b z4=IYtQ&i+czz$`YhUux8pI#e(tA>eX9zJ3_0p@?$=WFY9^Hj?-6=F8b>mNyYK;)s} zi80xzlYCZ?`ZyL=4FSKG_IqLPc#JP;AefA<0&fR~hjSXPM8p1sy12;jD5_5fNJztS z7v9^&^@${#Pja!ZX#L%K-Lw#}Q9~*@T>{`H?sh9zr*4DCKWmFM1qFN*!g*1^`m$(C zU2rpbg9WXSr8;aZEK!sMHX{W(_zNRLMZKa0;Np5EU@Ce(qfS?3mSA#Kzn_qQTZXr1 z9kVimLu-t6KUE7$1?LR4)fR*eBS`;cxHn4$3*0L@)3a60t{lls6}FS|V*coSX&+ z-fy#aUQg9+)Ggz_RWW!sDf=Vw4X{|d6(j+uKW)ZENdnN0m3d@J09U-EK@Jr`!hST3 z6{B(mhg+x4Sg47`0nB)7TJu^-)it)u+(+fK%(Nbx)&4mLVsOy_FikT-neO)NWo!TX z)_tIF3K39oqr{Y?qC(T>y}~3`H1Gtd@pH=7@FG7!E(AK2 z8D%80-|+S2|9ZF5+x%G7<+s}N?l{Z2dB5JnSV&BWLnU~s{DvNa3j-@jWh$SoRiQJ& zW5su+XZMbiSK+bli}WvoQA;kx(j{d>mdES!J`8q~&L@!HB~O=}s%W({@TJjdQpamX z-({)R%HH0-sEgbZ+{gYUsyrien?ZKl6AT2-2OkHHJHZFlYhMVi*!jtquKO|M|HvCN z8@>l)1>=~j5~l=Zqzwg!jaAv$F75l?{#}7Pr<$xIG_heUFAh6JDxV!% zEWR%woYMS~`p`Ln%#)-u+<*_Tr44hh5#vLEbL(}t%F|nfP&Z9z>8HC_@O+(jsy) zgRw2-$@2-lH&y~*=zy=z)oItN`T z6wCC@fowr{xTN||Z3m5C+PiNwEdD_B<%@uQ1fW#eMwcH*gxN)Cs{0mrh{&&+ax^VZ zi#=R_g?Av2$%HC^$*58i-uKhFP|)tcUA2QHz8;^?5AgOeuv*oEslECpv zpNbZq|9t^Xh*d!vyQnh98zgx}HtZ!9jz1Wy38-3>ittFWoHT{+7^6P>P=@O_dNyA^AOmGFY;JatOs2uJfax=q70{Bha*h@q{TJ><{#b z^=2m#qPJ^p#)_#E-gnnRSe&xZB&Z!#DFsqqTk!(lU->!s8Q?AS5TV{z=|hzBgwLi- zQDI+oQHX?tWJN}-hc|&Ma;yaZ(AbB@ENGcX`Xa%|48R+uo^G5ug|0Yy>c3Go!-VKQuEx4BP`~>)+k9UV6MSucL`#_^vYzB zvj+K2Y=57TUxuo8U0tyOKCT9(MxEVXyi(x{=Jf5xgO6dptT$rTf>RNLN*&ZvRse%Raa zDZcY%3Ztw68{dhCv>OVI;(QZfhy+9>zW`94ev=&@8L|bTUp_c0ZFpq;pST9h4{P;) zX+S8;^qR4-3R5&kKCB~J8qSVr`8SDQ!0En>k_Yaki$9EP_;=+lzN!uSUd$i*8Y?M{ zg2BHR;FD&<|EwdztbG%nz4>BI z@{!jl_<3{X13-5;037;HmeUJRS<1;F(y38YugeC#tUD zC{u1-G(1tb-LghFOms#>(spATAJHG|8f`fbXJcKqEHdJ6e>ZAoIPjN);I3EYkEOYcL4JYcr&}EGcWjL5aH43o=Ch zrMzF=l<4fiR^$d$Q3G7R3aUwj3i={rQT8(u$5MSsQ7)#FlimM#AiQ!Zje@0)1i*I( zqK&zKJ(PYYori@iPYEkAfDF7lX2_@p|HUB`EN~pW02U#&MSoebMghPgE4{2sfDOlj zUP}$P$)$bohyVc5S=nJ|fCJfT^T!3B4ppoSo!;|fUULLFRZNI`#1Pbj4i4Wg-D5W~wA8I67A`2!|8~7VLU>ur2$w4y? zzoXD!0nNBBp~wg;I`K_}?X7LG6vHXOqA@FhUw8`(gFwUsk@>Y-=e~G2v;vYi0=DQ^ zHg02R`2)8Ka@V=8E>|MJ<=<_C@LvsPxx!LLC+#&P;DS-gjsx!4$zwpNXM_3n(ML5% zd{%P?ge(Qlyg6t(IAMiN#?PLD0Iwc9&RW&{9j-w(>-tSUYU}yofi4s7G!;U#f@gI| z_s@yrWAe-8%<=^jf#4O1lbwx~Bn6a-Tn30bBMh|3r2UT!y*DijIsl?Yo}L=>GYrng z40J&b;0n9(-4cH#ShAV1t4}UNRAecEF_U%};07FoQU`KiY!Cr?b>20}@@LD9Vr)9D z)xGfmf%wa!g(9B!@jja3N%EUneUAz5jM%fs$Ac^0yDIwzKae#I(=CM|>Iq!J1BL{m zm+2H#b{)ZiJ9eR^ShJ{gog&r3AH2-VI5;`^uI{vGIq3*oBSgfo6XSj~5oy_$3q=qh z%2HBbQP%n>e&M~a!EN(=f)GRPWL^V)w$=?A#p!_7bm#02-|J{Z5KJ-gdmblsa;pMtj>aP^8S~XPB37C z8WglUY}^f;A-QeFnENyzm&#cDM_f_K(h1LxmRCx5h6P7le^*_aDkdJD#RK-=;R7{E#;t&YYMiF$Yfamf=)uNplzUw8R z*pY?%9oE*Q_7IyDnD3HYLZKr8S+D~(HzPrt`$;%!NDEi(c*!=}n+fcqMNSsE<9t3+ zNgPZ zJ6KEdDjr7Jc<|Td)$6uMbk4ie^LL?*mx-VC?Llz+vw!enAK73$>CbjKMRC%mqaDF} z;j9YKFZr0*n`JEU+F$Is?t0nX0%qKGYx6Ewx4{75IsAIRUQ?&r0O`Kz(Py)YRe^Ui z>~@$qqYh1>ZkOnI!nth=0XsXCJ)B5XOn~LDqF0lU+tF&=Rx5r<_!fHLOu3&$ z|Hf5YfatMIUWd~a5wEA1IEp)i&ogowDT;-lNPMcN2i!cZE-(85_o;UFFIW7*a4;OnPaI5lEpgjZ! zpP%k9-1#(%S9d>1fs}Klf(1q~Dl!(Pm=S0yPJ;QaiSlF8LH&bZ>bOe4VpvreKP~uJ zz3cJ6iEEAPPc53TUg7jT7ZB;B!-m;`WUCEo%W2ZH<|xF-i}e#K;&}3{9DAh&*ZJ*& zhd_?E;fkkl7{_!*L81yFV2adet@ZEbL#uZCMlZHX|pnsS6CKp`No@+Md9pjWG z-IZN0^}b6QCz0e0hX)uRNfE;w$Zm?sD$}=O)xMwzW8KmVub=|rt<_cS#lKKX;=^W8 zmwYKYJ{-S=yo)~Ck@BiUBa~(%K*xmaY~oR@)d7I)!rDOYZ_A~spp)ZC@iRkdZM8}G z`Gtkxe!PX}KXU0^|GsQ&8FDt7Tz2ln&9HSn$#Dk@#mR-IUGG{9rv^nAJ`BL$Zz6 zUyVkKK0H2(MRa10{l=z>(Z2E8~+)_`R3@Ja2LuX6z?yZeTSJ4=AVH*oaE{~v9QxnC zywC>T3lry~I|{Kt8uH&My~SdRJ+@AUm}1KSWIJ!6j17`hG)@U`V~|p@tt8M&pxaG$ zn4-ioUwIN47r&qGc4U3V5B9tOPrj~~O6v935!$TBpIsdeyT1c!Gi5CHO*i6X+D$MAl9Z~Dk$Eu)m8oVeZB)#d|G zP$m8tk!k}%k!>m}l~x6Q?v?t;ON!h@p8ExsX%fLsA>MY>+BH^bGxd6={N9)%t2SgK z_ZZ^@TyNLB>9M2b($Qx#?NhOLM9xKRhxCpjhVU5+d?I3p*%x!4mgNvqNB68ob;&+H z9b&BcKUx?MJFGt#R}^Ue?!@Aocc92+#1P~Dix(Ba(FcZxPYS@T@uSG3xI|Fr-VvpfCs(Ni+6`0%q z;{_!Z_M*W?94JavEbQtaeB$O@h6AQjxXK8*)A`KB93r&21TLu?Y-Y!8#+rK-*ql(6 z@A?&eK!S8yc|&2>^0K89dAU)5y>9O|e??aL?4B0R8|u7?_Un6cm*6c0(zC#RY#@rp zEh5v_XBwXbt>>t-9xdo-YaGnDQ@UKzh1s+Fu30)p^)(kF;JfIz6CWRVd@%7acHQRk zf$sva^y?lDF+s@ta3w?q$ZsTz(P$=^phEL*{LyznqsWbe?#hJ?j&P zaO8_24{fD@&^Vy+WxsgRv2@VAg~Yp~7^=zW|9DbN9(X0?lyEEKv@FDLRcqW&E|4;mT!1DSD6$yajW;A~9@m=rt z%UR}`+s4b=Kl)~~uKN0!`V_Hm*_{kJ%O5){EHXUHFwjc>bbf0i17()KDkazsPY*K| zIQIzl+CiD^1iZVMgCr%1DYAKEHO@!=8mGy{#YMlO5ovQDZEktJw>ll)`<>8%p^z-j zFOl7t@JT%>A*N_(U#|Jzbn)#!oK9J~75vfoRZzm9(k)4$GL%3}1jtkDWFn(6C~JK> zof>NXHbyM#UbcJRi4UJq;Do7(3$G{>>qdtP2T^2<;(-C77rkDgQKucj9iDyVYdU3j z)NSMp@JzrAPpv+clzA`ZZjz+5N;f1NVItuxX0&Ca4u(otJbL_NvgRerAyNqEY&f)6 zLRvK`e|m_P(;VjOp@{rA0 z4}Cte;bnvd-X920h!tILYqeFjxSh%Wc5&j$O{vUe^_kS8#&<_pD)`ER2+k%N)w+WE zvrOu{-^|r59c6#R@t%^hV|;%{l3D$d6F^*;VwWjL`VrJ{5fc+TI6DVrukip!vg@|W z{?CEC_=lzeo@a=!&q^k*PlzsEd+d*iqoG~T8)A*Fha;lGO%08#5|#uuj35-ekE4Em zPg8+$&o5^l1!>#08oU?qv!onx^=PIQe|}xeN`FQ~JAO3X~lZ`3Sv= zc|e{Z!tARCt{v%U6fc=YgQo4-hl`g<<6D#5fUvU@eEGShwm)mR?Q|%x8$YQn)MSJ5 z%?K1f=^vY$*-z$d#o=`T>OU6}C`Hqfp`81VoyV;;Btcvm;3Vo6lwpAkuwR8r>6t}` z0uv`q8^%=&Y1-Kx@0xq&YtG?;MLOL~Yc>F@J|eCB zdgycnziQ)Or!ETwnArsJ%}+ba;sO1&8|7rBt+huZ3)ve@_59bzZL&9UEus~OS*LlL zldf^WWv~cmgw2miXM%?&T~9@bO)sb8RTXiLz!#&zN_lVAgdkQjpKWI=0R?J8SBLoo z9PjKyA&gQspSwYEp;OXV-|4Qv1~JZ-gERvqk>ZwF*a|eA{{kBjNu@aB$SN(V@tA`l#xCd!Ds^bz)b^>Oam~ zr4`fE6b}lJOZhhfCLB!solHz#cQP9!EA?u8pC71I1MyxU>v)hIfeF3E-B#=(t9E8f zrA4HvR<5N!Bnb>v<>#9T#E~M4&=xPBzgJSLV9qYfM1i-S#SjlCTL@u9pKoYB?CRF4 zHdmY7v32`-+l^zSyc8rFspdkV_yFE>&ek? zenXDePxRjMG7fxd$Q6V%oBq&*A)flMz-v}0`8n`Ce!SDueM^JMM0;4eDdMb_Dm{JwBv~Wb%Ibu-J zzi+bl{KiNnW~d0MfnBhRI-k0kMrO|JI^R#_UfH<3mLpp-x_=h^vBrAiZ&I_1NNz7* zjG^{G{=B}wr4VfFzl~grMF^|1iBPsJi(rFj2%YEYqILsSbq8cCjrIJz9H*HG%yYdn zakgR(bDhxdplbT+KXfqYM1lNXg+9?7pD6RM{ww|Y5ve%fd^G*p?|Mx4UCY(ftvEU2 zyvHaEVEOm=*4Kibi3UC~o_9`qCa>SA*&cGUChwortj}(`y~Vtb<;1g2{0AzZ4s~%F z%g;?-_KrVEdISfX*c`~q4cfLp?z~|6x zd^k*LNQf$}R*nhLqOfCheFo2}A4gS{MY{m+hZ2Gai=`saT->ef3}B`wB1~t3P5BsA zljgrwebyCw)}ySd*xe%5wox2ssx`-G?V8YTW^^D{s8U%C*sP5}y zDDch=em0Q3bvN7lTRzFs#Ue-qMXMkvrJ(?!m7;B<<6ht9DmbRRrUiF==?|udDc`r# zA7Loc5ea-K)53*7d(bFA|1wOXCX@LuLOD3iJYvaFB8f0-N$ivB6O zo*=4$YQ9g$P52{QZlfM1zK>gg>-gCXpUv1}v(R<*KA0b-!syZ8e&vv}QOw)%PwALS zCX^dwJx+nXZ03Vvh$)yW(2FCq>^?sq!ZcRED4JR0!$lvgrRlh201pL+;bIynbc*?Q z)yk5qZFWbj?E0=t8KMRcKl_q{& zOqn}?`p1uRfp^2PoC_ZVH{z+IqvVll9G7bKEBJVLQv9AmooWVjvwO#^X?7ANa1vku z8b@69)iXK22eiBt_pR+uq;|%L0%x@8?Wbi61VFG+TacwC)!gziOnnpo*`o2hwBqqy zsvBD~roNVvhmx*Zd=D|HJsm+L1kUUiW;kY}(_^O#QV+-5nu8kDAZ6i&Hg>ezuwf{&}3d1Lzi1|zsbn}i;ikg05`&}70L zh{yrc7=mf@d9bMP5qj?|Yj*uA4(mCM-m;}Cl1dm3B$`NDet3)3f5x0OuEUfH2DD>f zkq&|kWb?VY4?D$ixuO=Ke<&EQrpU&1mHf+mZLWN$1z=XxBIO5yB!@7 zurUE31=x}}Pos0k2DR2LWTgJ`&Szh}AB4~JXH)f7zq!LU1j)4ouR2V8Jq%Ac+9ZfV z!a}2GtDchQ-393U5z^QSdX(Qa;)5|9b6G+64Zg+M8~)QR`Xe3QFJ?X`q;rWBbLct< z4`;21w=SZ><*5~8FM+XP$&thxcOro61r%8F06|!7?EUDDnPnmkqDhO?$M3CqL`ewdxGlEqNd(A}jqm}@_`|0~=$Fify zmB!Q3h18!sFnx>s(ood@$J1E{wbe!KKDc{vcP|bF3Ir({oZ{~8?p|nt;_d~CG-&YR zE$+dc0;M>G;&#vbefQoylYcUk%$%7sd++tEXZ;@X(ygs~*B}+K3>fPm=a&IS?g@#0 zLX1^Kk@AQmo3Sj82@$uIy@y-@BX@<;^i&AkJRh+qUC~>Vt0~0YXq2yG^`fdm$IHo0 zEDxQdLjc}!y4{r))5tOy&S#B`4HiH}e{~yyk`Py=h;YD&XOg1K?CY{&EBq|6)Ur-KwEuhg2^5*Z`m~+75RmN6Sm7@9PZJRNpZb5}umHL1(Pd zM#;TELami8>s%J_A9+-~_eYX1bh5DVp^Wjcx2$0a&a+Drha2rB?)?{QK=HE|IJf?*jL6b_jr#Si zi|PW9IVn``y=+2ic6=qwm;b5a3jo8M@Iw4Wad6WRf7;jpnO&@{mJ%=<&d zO^DMDFawn`>stJ%h?S%af1LaQsB=<1E`K74#C;Rnm^RNMfhOPOX7QR(29sxi!zH5KL_skIAut7>-PbWi1mydxt z5F4y3OUy;XPWc`e;R(#(8S4zMm8d!E*ukj_%s|k%d2(63;gYm&3sQ2UB2z81!~FRs zNq|qX`@VKwqDvx|4L!0=@(ata1O-jGVruaSFd)2{;Uj0-j3`bbS0Y5RXelqZm{jR5 z0)gqH#GGXNg-4Fi2m}Pr!3-_USIbE*Mho{JXkU|HChhb-Q^+UKGw=`(iSEZb0Bl4W z>J?eJgiF@4wj0jK_+b#b5`81vES}qj$AX7Kxpr0I>1kg{$;`}*z|>nWfB)O6uE)$w zlFb{t9#;^0%|O}v4i}HzOwjXzY%kdWotAd@Xc=}an!F|-s*rm7wj>1>LMjH3Wg7X; zz#Ylws6w%d?cfc!iv!$T4qsWKXZ_>V0c~QumPYkE?TAL~OtnGD9weS(p%_!TuQCPW znA*IY??Nm6)T?l03HGy9f0It`C(zIIS?Me-pN{?^0cGcCRG`0-{(wV#2Z1%cvc`)j zCOrB6Jm@0TMgWRN@+E@#Ay|du-ZEMR(b9}vBdGL-&b>x#vbg>1hX8i{kED@&jCwF| z3$6JO+LMdkhM*?k&ExF8seTD)E#P5t_b>zy-DdiPH9x4{ZtA5j%j$T!sak)WmGCs- zNs|pWp0|&f@N8x}MC}Ix<-7M|c-ij$-!5R$r0*;?2)|6nluU=*+9z#*FtHNaV^gRw zH>bET3kveZPEbwP{iZ*BC<&fRb@hWB!5EX;{uZu(8QAWB4WIG8y*N!t7g~|>v^?rh zOER!PaNgwOf6v8C$UdP8sz~88m!nW*OpE%mYQ)cV!i3Df*Li2`dDj>~FdWR1ArXAg z|L*b{I|&C{{k;=~AQ857R3-?q!%-{H2 zT0&mjVj*@lMP&{s)ljAG*nn(C{LFUkbxXfoITXsgm@94ZZ_>F!LztYRkDawC@=C4`?0QqQNd!hAxQY2UkBU;o48;w%QGNky>U zyq^%lyT!ChW@nI@0awhWIxWZ(e?K4a@<;rOCYh)uWqS{8eKFd6ZL}2{Mama z=c&tb#4%6M)xUppr5li-pbCD;L3%L5C#;AL4O)k>-2`Wb5yG4VW}5*uTZX^!;UM~R zld*nhv3H^C(Dv8~moa2aQ4L8ao+E?7@O8oZVFwa&EO* z8^zXBrpRLzFLFE3WoLmK_d48+Gm8a>6HhBL*0#`5`+63X@>@vz0NcSB^t-;Blg(2fh;PWF;e4YEX=hYTi0Qjc|G1f)Kh;rd;u0LebE|5 z-5KIUKtM#ovpDeOiu#h=3ihY#BF)bL^^-U3Pe7{KmM-4F05BJTraQVaj==XrZUe7*|}1v#isgT8gbL`U@$feK>?O+W9nA=W?~jFQ2T z0w$u0_l1r>B)VOUP*_R{+z)dy)z^Vp{_RJR;1L1|_BwnmSXgehKWTi8u@#6A4iut0 zZ~0FeU7VbQkHt6dgh?ZL`5R;gHJmKtCJ#W1o+irE!UH<7l)4QeX1nPD-tsr@yQ5VddqBwVS^X`;GgWm3t zPl3WSGc%c0Nbs<^n-ubd>>uvOo6%kFf>pq??0qLD`QUK0eDU|}{QE8k zS5PnXL3p!%yF35TW*CV$phExzg2G5BIM%z?q*qIk_4I2J{dk6*OlGVN)>2~~T}GHZ zMMthx-K2`s`LWSt%DwH;%hS&~QcHLf%Fbd&tQ!sUu;=PWf*!#>em-<>_^Bw0zR882 z#ia^F{CHdE3hcj-5wJK3j~YqMy8m0?{MN`F+5X5Lr?SK6<;@6h*4X@^Ft2Jc0h7W%%B#uDV|Lc-#hNdMO0Rr5Tm;vu zMr8O3lv`|9KcFg$4yoG}SmL-$B9v0m3VV@UQ5}(FH*`7Vsyis5{(ih)8ns#H%oM%Z z@Y%b_kVp)F_CN7WhhFKRYp|x1EBKYAMtmq?wZTsY{X*~{@J~Fg^;Wkl75p2dq6k8# zAnI3Uxx{+ECCT^COg|r)XS$PhFC?nO=A*Hb--kZ*UKw;|)lN?_=RzaP1$y_l_ z!Rpq72R)x)aQtr|+XxAG2fP<;=1W_4DcDA3Er< zI2YzFr%dn?R6D3IW1Q+6OKC>l4lDcV4*A`dM<~uY={kbk^LKpz`K~J>dbRN)=uj8a z$b?~HB2bA4DDAP3@~CUSqxychtNhAm@HxVKmuB~{M%-?2tKq1W1m&UTBtZ}v;YH#>X{ zvJ}o2#YIRyX;)a>c5nVmV3o1PSp6GCm#*b7fuaC{3hr<Wmmy4@j-4`hJn!{i46ZjxLXx!o`?w(M9V;fVFt18Y4D6X zUU`}IO1B$a_h^@x_VHYy7J%ipx^4cg5a~J~IQ;_P+(mlsa6s;pFBe%oh4sP98>`)& zH!Wv_Q=UUxS3SYuc*mbV&&;$PH;(Dnnc{$Y?k4IM0hHR&t2ME!GD$kxhozy?xt*&c zw>J;RO*}nRfF(gG1RQ!E#VNY~mxq~!g`~&wxezP|B6S=(Ax z(`lvj+)~iTQ`dF!jY!>eY57-7sPHELLFdg0MVrTJETj=W;w!QiyI`M#A*15RkW3X) z@?IB~8(Y)mSY^zavD_W9N6bn|qT&b!5i94AOja{@0r(w3LDgI(>SDS%xl~W?0PSH7 z5`?b60VCM$tGn@W_7`*@d)f@<9bqw2tYd2dim>eeb~g%PUWFf=w!A)38!b+qnT4j4i{&CS zIAUWkBQlVK(8&l981~uF<#et!5ikb%&T}LtEd0-&G8Q2F`s@KG+(vE3F6yF;i3D(W z{`eM|hK=RZedpF;N2XL7FIb=AopF$a3pZRgt$OK=#Rz$hPN|k?{a&v6RiS6R5qVhY z69XY=OQsq7lA9qGgg3ZTqJz~WF%lNqr|b(`s?6nlIaxIt^U0~di!#`)LzqkQ|6AEz z_rYc2Dx>A+7>^jA9}GqcJy4Na@A9#$Izd->oJBhMQ>hxbHpn-4qVv#l)Qw&ibf0N$ zcqx+|qLPJox_$w5>!aNCr@~#|yzR>dY3smXqB-SsG)V!#?sqC-qqfrqes6g@0vQ= zT1+2g=zLPVauN&Z@8gA47?9ID$|Qm)>W>dvCUus*0&K(#k?>`>j9MV@|my&ocI=b?f`+#`<+(Eg?9^UAMNSo6U(uObED<_!)v`9|?{vwJBMAl@TF ze*h-zyz%`GiS}_Tc4AdwXy~t!FN8m5{3A2gX3$-y+5K#md|kfJf`Q*g!2VuVkK570 zwx!48h;Pc0@9*6-Qeam&`_ECjQv~_HN59(ZH})Xh5nii+^;S1>tkI2r6rZZw#@+Z{ zgje>^xMUCE9b^=WIC{k7e{WOj5zO5?+{dniqF$NJcf`eP4a*ODlwp1k?E3ji31q^< zt!S-g+LgN;{B5mLuX-SjSv&_)ro|(EL*A{Hm_;qh$8sd8gq=*lyW2ZL{Np>}l1ueU z_P2mW-48J%YOw~0(-hdh$z%}2J-afk8?90H993n_vklRt`BR2}YnAAEucKP(voA&~ z@_XacrMWXzCS51=Pb)c6re2}4CPwB&T!0W+x0#6IZ)`XKr4&iNW8~uW_|C}VN&)O6+xEfW(b+wa&=+fR}E_?~;>g-EAtIqw)g-TNOg2 zh)K$Q(o7iWZ7CNZ>A0;la`Lz|=U-*Wo#oVmv%{?3A-%%HlWxeD2qv44-%&(F4_Bf? z1%*?^O_0Hz2FbLQ^}CHI8?^w!gP#jU>Nm!tvfjG5gqUP19<WeS zcNOIHg!aBP6@kI@zFH)7M@s6pLL}g39SuNFKz$#LffT>K36Us<&{LEwn6I~bBHq(w zkfc<7$j)FxiQigK@N+J31czSd)wCXO)ChLpNfbS_j+uTBeYyuQ0#f}Guk~S%#4q>T zRq+II-*?!xJQyO_IX+>jS_O#7(8$D5K!;~Qt0cm* z=jCTARj?$g!E~)SGF0g<8vZ`JR;Aoh?{~<8*k5#O$Rn{B`Kwv!=w?$MLUm3>2xnkg zt|LgGNzntvYNT0;WRFQQ%P$)mhRo4%-h$clja$hV-Io%~RKa2LY@#}d#kRM+^6|wK zqJBtAongE0_G=%;^}5?!RpJnaA` zpr1E*G+@7@cD41I*~#n?1Q$*<9STCKg z1rFG-Ie+jd8Qqf+UgaPIMH|*=a8@}42an_Fa5$*E(+ZNN6JLQ;afWKh@;bdYU`H!6 z2JyBbZn<_v8o!C%BTJI+)B-}olP%Dr%Vzv+UawnXS$LiXWf%&*tv>^+y!KB0gOZqZ z?V2WTiq8hkt`}ry_?1Ag10n0hM_-edIR6e2W0hqisR)qxb9iJCD=I8DyS!mkorQ== zZUx2`1o4-rr}F11sr0-i6CIfw?%rO9uJ(olAc-sATK(2*IjF<_qzN4|KhrF@xOuX| z=y0CSDvvv-_SZC+EEcy#hcLc@9yh zNTI)2nR7i@j6hETkH}bDI7|i3(1zVbQRj&SKOGIN&ilYsfvFtl>v^}AHt@d?Jrq-K zLLAgjM8!#;5R)~V6D>%MQIKJFH-$4PAFX^+oMUlq_;U&i3qKsv|0I+BQ6TO|NU)#Z z56VC!7WSgl)P~<{ASf0OzkSUS9F$%}3c`1QHWzr4@EBw&t_*9Yee~vY&8{@}v^?xR zM}W$Z2gZemD=WdhBYWN23U!rtzD>u1iZcjh=&a@q-}B1xXOw`#`8MXdZLhh@1tCNP z6h0#9gaqufE%+FU>=R@=kz*Q!4nrPg=}qOlcq~$qj$g5GS+pFLF^Bw|7S}sZ&|WU7 zLHfJ?bG zp=jTdW*Y}yr^+pMLLBqtcWV4HPy2NKA>~#`1z-h#)(i$)0_!j5@3U)3Jn%Hva)k`e zM=ZvlN@r_g>56QJ+=;KyNUyGMd|nfb+e^+N(kY;B#fFl#?On{RQ=T?z-TBxRW~r8) zcDtDmfPW4k?H9~BmgQHsq_(V2*FSd`+@#+cd}YGra4o@v(QrWi%Gq`ZHd{QT)03!7 zF*Cqn_z>}wdR6plA)MX7+SjUBQE9dZkYBwKT{|%noO*S#++ftZmPc>sX>30~4sl?n zMWngwyp{3X`bUccUT5@7 z;<-~s$EP-*u@;@t!dxmE-kVWV!`y==)lOs1L{%C#y=pnRN>MvQ2h=}f(_cYsnl&Q$ z*#J-3L2CNIdA2?k5oe6|D~EQ51QI>F1*Igf4B*x@z;DY?9uaPmp5}eSA2W;h0helv zGFuyXGvEheGwxi?0$e+m}kMo!;YCy#s`9Q;IkH%FRLvA5sjGVdi>`5tWe zbL@)mv;AZub~_#O+1;JZ7o)nn!>^lHId!JZ=F*#HZr=mXk|sds4WIk&_G$G@UccHZ zIE!v*nEm{Gw7GemQb%6(Z&C7ZUCiI@dGgy{#ygZMh_4kG?G!pVihQtH8g44I)5L*Sr5 zVT1FX=jIL2<(-qT4-_Fpz#NRBzdl7+`yOeY#t(WjLZm&^2qQ#VqJJO9ajmW0~@iGZw>w6dXe zGgC+ag8*PBSnJouz?*r~DL~jsRnhhn*`RZ}uurk}r!x)yb{n(RcU{Yic2WoWZ#im9 z?%&W*#cWFo#Kxr6m7?OG#29{JwVo65 z4{lXREF=YGIK@K_aUvI&->Wu8@kJ)v!vg_~%kj_nz{x_FvU!8(LDw3-ljqy!`W}5U zo$LMAS@y2q2|n}v;MYZkOGeir>{{f+{94fz#yo;@(;J0LU1yN9dw&}m+2I|GnxOl`Y3Pu+$J-0J{{k(0zF#Rv{J`YG1g-LRml)81D zX4M5hq2YVL3yws4gLJ{45WdjV@9eRbV~DxYe)C^l-MHQW@{Q__!d~#|y2tq^c+t_pDe~D>5h}n$sbB=^^cyn_GQ?H> zuUI?4^!d@6&lq`cM5(w*HTr>tN`oRpQ22erFq3;lSVST7pj?yWnc{7Y7e|EbWu2 zvmixEJfYP$-5O@Xcp;|+Z$CfMPd`=jgx_;yI15uEOLv({ZATO7)*6!|_l4Z7i-?Kk zPS}1~DNw-rt8Ew)cbu|_dySY;{}XHKXp}iIo$*lvFG2Wp)g6=(xq;>}FDSX! zz@!5MFOF_?Oh$*XpUi0_d?jeb888yI_qY!&9Q1kkS$zE>NYH2BCtkwiGosy*b!FG+pD{0W6L^QGg;?@^A$56T=U7ne5!T9yGNbWa&YPEX zIlB#+aAjH7RlRjs>smo823wlo{;_<7%Cx`^KXvDBzoVrMsI+8Lm_<-yU1#}M?w9mt zC&7#7B$6|^rSGfj!8iJ&9;N5}Q(h8#rRh{)j;((50SX5li)_X0v3t^o)fN#)Psi#t zbe#sn`D9+_1$WtU@?<)?s(xPV5Iu6-z{K2M*lOiB!xsDH)IwFivm&yZL`wVkS=jd zyq8RugP1&y7d>6K!iGQMN*<`YS6c#{25P=u25j056Jf^454M3UbJ3N83ia605XGz9?Gb+ZG3)P@}=(2qVenIMX zdi&QVLGSVHC*#OJBJ(26FvVy&$jKwi{Qx0ID^v)prcr+E#}6k8!;4tQBRbq78QL)L`pISP% zzRyGK+V+GZwLMkP&Zp?pHKD~v2Y5&x;mB?&Gbew0%gA48xS+)5UcLy?s9h||YU9}6 z#q_W{Lkf5hmc+lDfiI){o{dDeuzs!KWO(oC{E&Q@0mws>}5%b%cpQc^x+Y z`LP2tray86Wf`K&DdeQ1uBkxKtd(!2a3j;INlCTzyFF2dWwF(p*+D``a$JMgdO~L! zP1KZ#4&O6bi;?EJ(x7I3Wp&l;wx3j)9Ss!~pydICfM*~GsL{0Up*w8Bzz%@Dx|*BQ zh>|NacShZkL+ZAv*wg#JkSGc%gYqZ75)RmJoDjtRu38)ZCdjec{?)pe&r`Dxz$ z+n+D$1w z-P!qt-npsJ-9C0v`ek((o!p_?O}dxvQs$d@+_6tdG!cPNS-+cY zy!~FuDUEFP?regTCtzRYUbc!=`B_`dHDuk3wCgX{%|?4jN6pXNGq8Ise7;@_PwIIk zqpsb3JHb(r=gn6v(DPH!YFOZo#(?%&=s{yv{-(BHIm0j$GAQ`YuCwRwQ5S|$ejD4< zok-pD_-EhXms}*34kENcO45S$tBlqnDi<_RVMyT69*@y=OpUL}-@J(O^$|44*Y^}G z6;D$=0atJ}hrI0TqNfd`7_c@kh>XhbOQzu4J(cxTe$ZAmWvt{=6gAYKNe4^nI+s|b zBP}C#7duTDEqnqg125QXth-^bUrnJ}f%}=WknaC&pnp*j{^ZO%clpoq%$>Rs$l^j(BFUhwBv_y`LF z#p%l2Ek8%Euif{PI-SM0KU5q!@;VPd82#StV9S=5A-_{bWG68HF6K&$#qpU zTjo>N)(EynkPlSIn@jsGZ?Q3AFly21L>rU^fQl3F|DAX!C2_h{a8t}nSbaHYG<<`Y84iZd4!~xj4 zJdP5eUTw6v82#xquXqFZ`5Ab zLOcaQ&GyoZ0z9Dv;Y|T!%@7PJhzR0&c*IB!m>RP@oAi$-v;K63z0y7cnA2=*OVgk> zZ1@Y!P?cvddH1iJF5uXvQ0_P_j9+b4>nxm=Yr>k01AI(x4qVsXZ-^V3%@!mu2>&)p z3j^(8MWA0N%mLR1GjG$I98NDEb(0>8i&JX&JZd?ij1y+rZ){U^j2^G zw=$Lg&ddDvuMcKQTZ`viZ$I=H)y5cIzZW^F@@_R$`kE6iK*@yb+9=uBE7UJNR=@z0kYub}70BN9h7VT7)|KXrTYcSOOvTM(*^Mnp2aJ=|GvV#R zQA0$U8cFGxnxOqMy6N7({+H8M=-sG5Dz1QQBtZXCMv>;F%;Y{Ea3cNdlikJ z(7;w`zuw_GLtEu_07#krF31UtngOP2nFBEdqc0 z@dEX#D%_h(T!#>WTo%mr3KJ`kqzrx#dxRK{FXI%0PS$=VLVXk;m!2B8lsk2{-W6o; z|0Zj!y>Lu)Uxv=op~G6-S5M*LR0634`%BsIayKyeX-I7%;rG0kYxZ>R^x*5ZV3?QL zbwB6z`tGYAmr=8?rTd?fq2Kao5CWP#OYF!k048pe@C3{)6=r8EmTS5B4^Y1D_*42H zM*HdM33&Lfc!|4V!$?S4k^>+I}w3jAqbkS{eRH)4Q zV}B!fma}j<=;nHMzBP%3I%O&w_+#LyN6N9sta(C}Z&ppe|Fe4u9lVruU%iC^HM`X^ z2g`p8=tU=$gb7_vY7jAE$bs{d(FN^L5wObDnBXPYh~#AQwIwo`Y$H&u`G-p!%9>_* ze72}OAP!QNJKK_sZdy@dO=Nxv*5hwpwz9dN14~>;f6s~AT5hNYBr$jxg@w7<_4|Ur zNXK;~3!4&J1!^r!q6Evjr6h!WasWk#fB=O7%{;+F1YO%4h&U1(`JpCi%2q6+tuluR zjdoaO=h4WOfhK#$!_Fy(T{1isWA?mJ4UJY12vzRYJN$O8QyTa&vDzp6`(d*`oS|g) zW-7F7){wMJ3Ps*Q94lwB7UO2t?}gE=FDOwYIZZZln{*l{5aB& zR!(Z-U18hRQpOhEi0Ek^=)y8NQ|iikO_5#WY=CN(rWn9BMvgT*X#uQ-={NctANBm3r?VNWPR5 z@e@^{9aR>d(!1SWUJ$JDt%dr+r|-XF1M5=!JVyliL+*3uGe#OZPut6laSR(jjWP@9 zaMQQSOdwy9i72j?`9pO*Ys!$GZcR@zVy&@zo}RSImYX^O*CS&V1 zgqpU#36>Kff>>0ZKXf-=#p0xm1!-ejbPL^~t(__Mb)F|o)|vY9Td@(-_y1Hspy9md zdH!?aqsQVR$-6CilHLNG>O!FJ2UwJgZu!P*1$1IeG!`8cEY7av&JPiXo!!1K{v&wA z6CsQI2K~Q4=g`fQhgo$$P8H6xzf-Y3o$jFGN>z~%5kAl2>U|`^XL!v;6tM6ua z$cXhtvWq|j#2miJ?=03wL{BJx{Xr4@<97;i{4no?v!s-S$?ejfn7243@)WJ2NK6L^+vVbXiVkf6)8!c?rGStUd!VdMG9PK>%22*}_scNN>|E%=hQ# z+S^^}kkOmduBX97zi%&F`?YWH=DsH4(e{4GRQiN$Xr;*YR_oKmo+qWSbK6PFD#-lr zub&S$XS7sQ$7g3*TGux>PS94@b>L=u)Nv|tv)1JQ_ZOggVdLW3HZAvDT@fdyOILm= z`>Fn9K7=)SBKDHrQv1Ej$IM4Ky;%cefqTl--*!ksT*dAF*WfdX(wKR|wq9sAYZ2e( z9o`dyBa$IjK~+ZeB+ zFyH+bQ;~GAB)J``w#tuLcotWS6SS$Wb}aVOHW9%F7rf zD7*(`c6G<6$_An;T*Isg<}Y28fd%)U3IlBI29tdMIQnhg{EYjupS6zaM zA6|kmOb#MNb^OJIEzmatk4Wb*o?hMTGKZ$EHQYV-b<~WPr8zn{92p+oP44Ox3$c+a z9tdawoKP4yyfF&I_D=>%4)RY_t_+^SGe!61l!Qmc8VHa?R~kzY?i3TV4cmFae^$wOPz{4^?FTG} z$^A40euUFiZkPLiiD=<}+pXgJ&%K^j07F2;br$ zQ$UI=M9;q;lyY~;F%&3Yy4<*k^72uki!XLp8Va#uO6G|6ooEChyhlNgz>ZcLC8w>M ztKQfNYW_^}9k0jnr;-Z)S&!xA%Q}B*{ST?P(63?AfEV{3#m%>GIm}9qtb~iN)8OOWk$UhB7Kz{9iC?ITb|Y)Rx0VS5D=Eh;91r(1scu($3p-2O4cw!% zf)0xTopzFcFfqNCp=IE5ai3J@YS==D0>fXS0=>Zgh?;xbtW$- zhp1u5S)NSKZ;OtA9tUywDcZ^fKr~jBdH4JstirdOi;s9i`=^P!olL10On}gN%ugi{y zAZ<$DjLXaP;iF*#O5e=TuvIbyt^?(9iRpvrh5$EmkmSW7aoGCXkcWj|l!ZP1(s^F< zSF%>{P%n4Mm7nC%_svr$Na5;c?IF^6>xE4$6(PAZ4-|!-T#^E{QC1$%DsH<4V~iQu zzWmdy!|f(@C$qT&=QWcJ0YkHyMTmmQOxA{w@g}Ub(bmCM^Q(a5iNgJgCPs$Z@-JGR zKQ)58Zm#6Z;*A$D;`W7v1C)tOIlp;F+1QWOIOGRT1KNf3wj6Khn7CZ?-WK!%aWt7rVUMkQb`xNHYA6U@AlVYi{% zp@1D>fYP;6eZMj7LzLrpmn)`ogEvLEYXosA{fHkjD-6?Yr#^1jD~KdwCoC=>@dVI( zqWO$OheUnKrM!)JY9q!U)muw>jaXM)Ye1LNZosRlorqIl{llzqeI9rqVef9jK5&K zuM;!o$drJkkw-(=Q1}Ml5Ga5YYHt+d$hqwhbZgqMHjXekvBd^W%C=9HSLfaxH@bDq-Te2Sr+W z{umnKM@c~dSMg$kK=&i~h#Fj~iR#!B-;AhwS~-xxz?=IGvS9ahXo9v`>n^z4w9d?D z{ynK#aKp|U5I(YWP*5k38~1!!6kpld*{N0Kyw>J1lFAIUZaqCcua69mszx*iXKtK8 zKLiQ!?Qx3jvrZF8P|9-lFQU|?sgzmRYTsFTP7MTPrEUOE&DG7Mkq||;(|CJ9! zVG$$R&uTU+#7?|;4(95bkuhH)fg0JO6Skd~738)O-rc)dBUdQW6HFrKdXQu^B;-@s zl?GuH$lX^+Jvn#VE^_WshQ924l{&NeIZ`6ZziaGZql+c3^-8vm{_9tkq_@Tu4)T8i zIk)R^j|VM0&#hauXv&U1=jq`_Aqv-uYnIK(&=8lXy?WlUL?ce}veL{!d(V7IF~oj0 zWRjfvlNb>Z{P~Bs`MC8f`I9#RN~nMmNdYy`o??nxW%(2fPiI_d{5=}O4nimPh}9f@ zYlrr3$ZDhmu)DQb^{&u)+8*~wH#f?M4_~=&2kX$f|G`bL z#EF~>>07s}%GcxJy1Ugl2Py?H6g&Tg_&Y_L_a7@bq}y2#!Ud4S`!HI6SLd?wbi!ys zr)#>66Hygs5pyXeyH7!*%JAbiQ)JP~Zku{0O@fSX#9KD4N(jFlO!>Cxn?3Ap7|K4M zPelQ*=jhj3hbQ3n`l%D};i6Ier)MgZP{3@)r@B3^bVS>J9Yz%DuHs!;%obYxl`lSB z66>lI*w#1Bh`Q~Q{QqULH2f?ccVRs*z!H_IoS2Y-A9+^nLXv(qff3}Wn&1IdiXzN! z4^?%NuR6XGM^igGHOO>ynb*1A{e8G}PYsCq5*2hfV&CSXV`^ET^^65-WhaQ!CVBE$ zjLkYL_`VAG_T^mGmDaMc?}vD)hjcjR3=J#TU4q=**)}sP=Z#m@EX{Q&Jujcc;V0wu zLD~oDB;QCNZ0y*tVW`mebh70HDLE}M5JZ1jS#2>G4x{QH`w1lh>tS-N3`=ZLerBJ3 zH4r~26Td9W-MSu8`%!*-D( z!ofCuj(#}~*PbYRf(*lpp(5T32Ggo#)%1wU8xa)zK6^X2(4ki+^yu6_ldG76O_hkK z#Ndux(oDxKm^^136(Odh>}cud)^^4OeIR)aJtZUR$1IZ>PxejbL&ap*;9yDzee^(_ zjg||K&Q}CsFhvO_H-?v01v7!6)Npf*Ppd3OvjxCi z;Nel-_vu%fUH4upbJ()6#=U;?OVawD*$cB)O7U#672LG{H-M!32$=;v>n2gpQ!8JaNol{ zaR=MWfc)UUf6X3$kxJt;rHug0rV)lhK$}%i7y??qKwGET(4@ng9VDk|-egt>`E2rh z*z~e+a`Qc~T(ID1T;yp06t76cH_6EUnNLklc~p^dR?dP>6&`o*sUPj2?dTXdtaWR z1sFCR+}vK)&qDQT=2J&@kv( z@|Jo0RgnT$8}2&!sq%6VDG6!|anf{T`1p~O+GfcIskt6*5%(}iSzFf}Ym5m5H#y-I zZc5SI{>WHGC_ZHe`JZ@^=0-|bnLHSWlOF$p0A?`vtCDw*@9m1D>T52bv?lP4kDOu`1?!>< z2gj5&wVwss9CL*EGY*Q^8)OstxBBfZ#B;Fs33QfrIt6E>^>ZEb_srnSHR1mq1A`kFVgrNh!(l%7`POg z2#e@iPEdL|HS9P=1WZuWFo+j~496C?cnwv`I}Lv}i(H5le)zbF{Y{+yAyXyu?L$Hmk8!ipPOWFduh++K zJ3NzNKND}%x^Ju2C0x|jwOy9K)Q!+afe$lb7$8449uzIFjeK5w@NNK=vP<4$B9AeZ zvdOpDfwb44@7s5uLc2rXG?FJt_Ebm(ZRZpi!i`>=rUJK@o=&J%me=C09V@GgLDQ)c zNPj73moj>uD^PFY_I+39sQUO^>M+?VWH+47eeAQx z&ygV%@fpi(`DVd@U_V_aP_GWl*JjtbQQjd034VQ;OWwPALPpUgL9Cem$pUd;h)G;j zR8&G@eRkG!Qgr{e+l-6EWwr2&P`$O7IOp;tjojN&4WZBbq;z)UHzu2xNhSOWntC&W z|A(rtfQn<;)@~ev4Uz!C-GjRZ2o@ZIYjAgW2@)*01`=R^;O-g{+}&M*yW8J6=idLl zS8E|_%}h^sb=R(4yFLN^qpeF5Aw)pZbO7X?4R|wYh8vC2t8?UVYhDtpNqx=2YP~42 zQUs+-q;+U1MAg!H;kvP~JTLsCi1RVXav(jjDrQjy)y#XKkFI#*AVg;0tCW4F(og-i z3FJnA`4_ktT{&KA<|&d^DxWboWvBT3;x(w?t98gSuK;Fh(@jcrf=!Y)V=R9VcHHz_ z+zO0O7wT}^AF7wG59wJBWsZnS-es>5S(g!|IcZ!#AC!nce&SZO{#ofkXIX^3SxqAz zwHFeiA{81%L~2H@%QBi2`mj|n+_YMAb?x)`)Nb>}@9?X&-^tnpID7PlJ(*kEH#@5> z^>AvcjnvmKRm!`_EuTz!w^tHCv?sR1^j*b-jjbp`@wfQ&pQ=pj`W}@YN9?a3uczSZ zem4qwd_m}GV$7}1-I4Gk52E>;_kK7g$$t4{^C!m6hmqY3y4&QwVa+*q!7aOa9bu@* z!jhGgZMYpL%i}C@)LMZ7Sikr=305$4t~Fwtv?SC%Q+&OiZH|ff+kTg)rq1?#>;#YH(4&*!5gF(R*Ny(gw;BKOZ50x*Sdm)TSdd+R zRb%>|OB7jlD{6t;-i49cl7ODkltZ#m{7md)9&F$rPg81Z;mV4&G)ZQow|`_1b!GT? zIj1eig8B%q#Unyr$$9$`#1YE8ls>c0`ko}(iI3bRRtj)pf7nBKSDN0SMubnDpbk;z zE7G-olfhx-`oSfM23?ylU#3@5vZr{;ia)7{%j)-!A8PED_*^W=5eYj;77Zwbay@8! z429F-Vxq+TqvvYE8SGA=Q8CYA5#oqT2o38Xl|zraDH&rg7i*y4+sRe@*NCz+JW5aw zJ`6R+nLPDH$XhV-IoZ)si;rthjT*~ZK&kqakkB#6S$``tzK964oO?U!AT$T^i%#20 z36B;&uUf#09wB|`qLLK-79bYPh$0Ke#gUJkE6pQn$b_~i`%M%j0Y45SC(IjOLNXpd zCvb4m$Z*EzV+dM+77M(=vfQQmuy>X+u2|E2k{XAkuK z^Q~v()4h;&{b9NO)8#$wdl-QnEeS*9C|Qf!@9Su!k^@~|s_1b5SQ(ERS)Yk12UCNh zf~lwNDLAOM$H?&b$P8xt#V%^H3pI^w=Yh}h)X33&0qR{&e|(Cs>jd~}xmJ2KgDvr` zaf}(Pt0J*C)>EPCMOPEi(8L@5;&U)`NZ_<)FS7a^E+r_+ofZoUMFSaE(ZE>$@UV8N z8&T|h9MNg9b-BRvfoq>c{-#Fk#xVCDkb1MH$SoIjbiYcNwRV4GgtJN+96G*x0n$yd zPJ>Y2(7lTMq1InjvR~Hx2Cb_xP1I@-~MaMwE;C>=ax2;s%`sK@SO4@KaM)+C#psBk!$T#m|m4A;yo~ZJZRZiTa z4HGb;tDVj_Vkph|#*(8o7KrspLLwcF9Ib0Llfxv`2zdfIc$5`Ht$djR$dO?whVZiw zKdG~)4VMVsMg{6+Y+K*zEQ4C*BG+3Rx%cMX;o|4Rq9alK&J!dVo=JT!WxQ->%QGS) z8F2_$W9Nb+=Wp6>){l;k44%$Xysr+xWCk-xl)l#g`3ahw#xGlNq1BN-P^qMMjr z%=sxE`;~~XDJr0*XBmF`S*lBokJs5Wa&@(Q*g&H0PbqV(uV!!l5}ana~;)>LH86AG8R<=*QEwMPr4DRr&g^ zAD<`xz!-cgBu8_(4mye--TJ7E&;Dg|w(nDG-|}xu)-QP+YzWXGtSH5zfZ96#A0b$X zcBT5KzATe=Ty%}m>FRB)4Xas+YkkEjWja%bFgEjk-vq~5Aq|~qc0i61q0HBkWRSZ8 zVyo!nl0)LSk;gvvsj)Al?;j4BoJI7Jx2Na$-d|4_Mf!6nwtp+&(8zjStoC9Mja3fWWsmEJ zjAp*6R!cEs`A@a?I`6$T^jRxH_&^0w>xGz(;&h^>W`58-CHP+QHTo8nO0=Y*I-&Vj z$7IO~)HF3Y3TFMuBvyDFR1HN zDQlCp8B3`HD3Bn5Y!vVCrJ+BSLf={}q(#}P4{UuLG9^kb|6>+PZyK&kC(qpHf`9RI z5cYl}7mseQUk9pv6LD<+d_;O>a25ZQBJA54`+OJ$@+7UhQlx}6r4)1kLx-!A^dY{c zHh7@N1lePj1|RgWY`J|G39~wVA8DOpO4xR{zrFrGyKU7Igi`IAdppr%$%AtE)k_*n ztPkZZx)0ltDT1!@``|wK_yak-CVcW38#X>Amcy@pV6u;y1 z6&KUVv+(YAmQq>Qiu%1p#(=F>Adgcl&5Gq?It{KySIZ*LR0j$f2g?~%*G9D zVcb(0XF7K`0%YS1+AyD605h?#C|}64$9~1!?7l?(WUl8i_KBt8k7E0Mmonk`nZ`3C zaO($G6O)_LPv)YaG)V`>Ys5=fkI$ptUKRr zYIY!4bH0Y|s^pF-X%plVgvL7y3+Y8s45N`J#&hQCV_^YPRuen=4!d0K55vkCU**h) zJ@%@LlXmHlQGtNj?**TT#UIvn9o}FNrzfiHy<_oGwzogNoG}n#W5e3Yk$lJOezfR0 zE<;oWEZVCCh-zil8sdtiWnuthr-|IsQ+`O&_)3t!sx=8Z~BgYElM z*AcxNuo(O_WguyrP=EvK)t%-%|E|x32SjcrzHOW^-xrMt6gzL_T(C^XGVRMJTd$oD z@4*K!!)@895E;Wyzb-=O(?ciBf(-~Q$S*eX9!AUayPJ0dZrU>>o6gk1467@&&t6~1 zVR-N1Y4`IIIsVF`x0-W3}2|_cBhS+-J#uc>}45*;=v|7juCN@Cc0mJdP4K zLKT5=>_AD8-=?-@`9u;D9=E4+49y+L%PK%K%dp*7Q9<~8CP!d-m9 z70?0NtO}{kvo(@h4WDe3>)bZ&Fh4AF-7n=|)8r9Vf;*qr4v%5}BtDnUcf$ho{^!1* zTvQ}pCgg@pDD8EkTnn-2OfOkIgS(oYGVVrFuz#6QU<1ie_&Aah7;#7_aovUA1jxs+ zxGBT>4A5Y{1gek+IAKRvxqh_y_$xrpd|JPFkc#6wpAcBZA}mFae5(G*jM z0RtYUJ#B}({&(s+QntrmL`Ps%*(XY4UKHF7Rx28$DWU|F{`fRwAh4MJzEpE@%~N$s z7D0y0$w)KG(TKInWG-_e!`gcHKIQJ9>35;vV9P=X>lb#QdL&UOsxe*)cb{!dv3A^0s*QeXQonE}FJNBOFL6 z)vPO-sI!H`2hctYNPh@?+Dm%R8;V2uav)xoVVr(J+FbRAY~{C~hEox=EIMO7gVSz1 zhQkWx&0V!THU5g`QUiM*254wQtuL!A)5~<^X%iIrc3RXJroPBhUc*@md{j6U@m29} zPCR=$yeCkUu#b+dzWuYUAbb8P zDf?LXpi5%(Z9c9boX6vN1GrbCE=q>6r}6A&%dEYJFmbO zTDf*^)HN_51q8R~6+J;_*vnR7F0RXcgJ&-nmy5FIBmSLC=ceXn)gNj)It!#vCt-6B zJ&lw5VKYJ=YIYF7Fm15Xle328q9*-EF)DD#w-2IGyxo&V^pl?FO~IAk4qV*fU6_9D zI>L?%h&;TR8Ty2S2eof7KWk+%xFWUJZnaeOtipXbKYu0kI9Yc|Sa22H=u15OfX7TG zrkH^a*z$4Qt^C%D01ca1*X$Pcgsnde2v4RNv-VX)3PR7+sN#8aQ88u8x#5}hhUcIR^)ZikkCl=_h*#% za>>D%{?_|5AjHjI#I0N4sr_DdN{QjI2^H_D-Ms`R0DSd*|5_CB(pBa77upLNgNMnZ zzWC><=Y<6M*ZG}!Ib%83iyQ(Y+EZ0IEUsOxs?}lImR194?QN!;QzN_H*8|B*4UV$b zACZ$gvecV%e^<#}J!!lFO>$6a^B+NUNOE!w-%6SB{||Gc1#iZI$(m_fNjKtLb}T7F zK$HwU!SW}o(wtheEmU{J0lSW#&#@3sti7aJ6_s<3{0A2Y3>oKbck9Bw>v2s|r0zuV zeBy~{v#VLc{)acb=f4upzM9r^3wt4q&N z{bIx-rY^xvApy+!Yrn#+pVUiKT;|epwAfc?IIm`OMbKKkm<21`>(eSwb5-rcDX;sJ z_AVrXcRSdBtuFgc7*h%@mA=Pg&demrNX0)m+C`LKs9#~J?R;mu@4MxF{DOm7i#CmJ zW*@rCZkber=t-x)aF2>C;W%c{`P)qAgQ(@2b;j$JT23^0|nN~Nhxml%B zRu)47P4H>o*y%pvH8yn|;>9 zz2;|ioj!&^mZQS)(eQx_y)Lu1C`iDbcKnW601TX=H1N5GhQd&y5X;9fKsgouF8)K& zk+1hvJ@es#=D6?mwq9~40Y?G~E%Kt*s(t&jAF#Ua_}T{o-yV8*R9b!?=daC5x!jGyj9yp)g!CQ@R&B5?26w$jz)8Nm6rCV zKJy~qS$wA>n0Xcj8uJ)s;D1|Hd>e9u1F=L+<&=&fl(N0aw5EPZvg2_h+P~%7^@Hj> zxUxN3)c?Hg9`k#_*^H;Y?KIC|@RM6E6jsCH_NXXWcqmGR z_Lb}kcmXrtKx2149@R2^hBI+u>K1sFTxPjoAsG4mR73a*-@LclB-iU2oV@l6TEp8f zAt$t-5U+qSA1SXcutNPi5-;2DZWom3e*X1K!rS}4Wlc=^>RCAgXimX<+Pw8=rc77Aa@3H%*g_+HJ;KG(B8TJtJP0tP4-xx;W^ zFJ$q&OBM`6fu`DF%M)CHTnuL0pO^NQ$9s?MjL$2xCw>6CT(SRFddlPZ)?={(!5mM( zO(BA^cJj;6HP;!v9=>dOGk9R+oN1uS_8yFk47e};ig2nIgCuX`?@GN}PO)Y6GlE4p z@B9u!dHZ|wajBUxkwiFP}H5(@6a`FXl9a@Ah7j`d)s{IL`0_Yah4E zq}SPAVpn?4qyBTy_q4Kp8q0_Q&o?Vy?|YV{Sp@Fbcr>{!TQ;5-E>34keflQ6o{m+` zhugT=xAeTPO}H)9UOUwVht=91#i1nW&wp*$jye7NY0|p_9KX9$4l@z;LU_`fW9Hz5 zqw%9npRCm1vJRb1Za~9XEE2nnv6g*2-3y8^`$xMKtzzxvr}d|m5>kahOBtQ+MM0${ zE;Rv5neC9a$!XuI%A9&9qdS&oS60)rZTU}%e*VqllUeMEF;K9`_Al_u(*e^zJsb{8 zVt5bvT*{9e%x2QW)U?Aw$U=6#C5nCzA}A>EBhrT0L^}VBSSsdsMxv2=tTCk`xLFOX zKdMPuGGhRw>+n$(HOFUFXxI96Q{Qh}PdwEf2464MeOGZtN7B}=m`;8D!|OKFNGFqx zwj_l&$JDWehhge9z3>O*fG8n>>vXp+%={!ZjJi295;Vm-yI$f7xyi-#7&OAmA{_?# z_lk_9;c!l`=yqp{q8t%>JJ3wueTTQBBUay^TF$!iGR1me*)6z~JWX*yEnmBQ3; z7!ytYElo6se}Y~u8JPG;12pJ6EZLV6GTum1M9RS;c8EcfU`Nw~U%h5s{Z63-yud=R z=kyV;aN$2K713Qt1?NR_B?q;@Y#DP<9vB`75)!_(*lN;UOuAV{ntr@#`o7O2=M~s= z@k0oNXv+W&8=-yEQ3Q>6?)Ci2%D+=Ya<99}`C)+Nr=)xQk2OTTlU$xN8a7$+pX>Uh zP?y2d{b9zj)_p?k*VA%?`3CDkvy6wd{dtkA`IqH)gF-u{mIwhX&o#-!1;4rF;&+jxH(>Z?yH>G-44MNT84$CE*Z3j}p?BZ-$ZG0Q7+k6JM z%}EV-TtLG4Sn!{)D?(Lf4U@ z2Yh{Ygd{0O(A^Kx##_mfz{PHM`n!nOS_*NAP$T^A&eeQYH1sYH5cWU{-^pCR7(cMJ zt(X|8LX^SNMsOP(GunzV{VnzUO`O<*?BQZvZmDsnDe28f<_GWSO7qywdSz z`##@vdT&ask}QM_Sg4Eq^b@3?+^1YAzIp@ZhOCeQ#XvZmF1<6hvVgAu-F@)GWM zvu2PiI3EMrjsLSE?W09%6Zt@A0Zm%EsNZo!r<1^X&cHhC_ z_^8$#^rxCKPkONdKVMZ^7ehkvdafQ1IhFv?< zoGdiF5VSOQaBvvO5(@vrtH7NRJxqf&RO=eq79+<>6HbC)$&}3&MzeJ7?>w+P=EaFI zVrmq2zGRK@uszKdOvxt4m!pKTeDOPaU!hm{a_6h`6gP{n7t-6SrsD@70d|P_z z%*I%(^}Pe3RzuJzFhwkD1?2@cIr2$KgdASMu%qOHoG6eSm1zvwYbuE6=0WG?+k;U8p2D5g%G8b(YI+E6iZ3$9}A=XqV9=u2r?hC)?Vs(v`! zPk*c>PjRE=iW`@D{<<}>y*$>9)YiClRr(uDT+_tRM;DF`2!6CaXXrrIq7t;*!5LU% zq$b39&^+o|uk!ZSJIl_B=BcNHShuU1`MJdJoJrPekKT;p31!BoN9y;zL{niRZ`l(7 zQGf3#uK(gFNEQet2ZI@m`&TI>K1Ly#!2aQj73DR4<}ybNdM%CfW&AT6npj`j<$-zj z;zU>Jwys##bhc1wJ2gVZN6+^(bOjCY5Km5hkN!r)07Ol*g*gP=k4@Csv*mkm8SZEp zuj*nBEga+1qWYMB%Ugb<@0FdMuY`A$t4$3Z`ec+pj?z^q%PPMrma3~6E@-sCkXVR~ z)<{LQ`r3ngWxY`!a@PyBg*vBU7;e!uOTeLsBX_-klYs}K2tudRr58e31GQE9l&OY) zZ*Howr}UaM>H5#IJJY;p4efIy1n_ZQ*TO@&*r;+?)7;JuOF#9+g%Z}()lzQ!H0)FB zKmUL)#vZ5kLas|TpFIl=OF1l^qgxIJGK(S}IJ^n^%9=wHMaS830)+8)qvnWvUHHG6 z4`Mz9aG=&8!_mueHdNRS$e0u-$qZEDvl`A$&#&E8X%xP>osVAcN2q-~ zJ%fs?Qlm(q{SP>kNWD^0wyyi?yzPyy!jL9cS6d@r@7A@_UAWarPkGScVGIJaM+Q<_ceVy{@bb4+Q^L6%sJA@izQ zTpj%gGjT#Cb7qpaqpX!16g#8mt_OjivvIrnEk{#r)D^n6X0%X;-o?AOnj1*&fB+kg zFG-W~qQEvupUY}eAk+W$#{zN^^p zPW4=)or0nim%aN7J=N$heAKQ0R3m)JQuTA+l`19zk4$IzZxIR8$c1q<-59CKOXJfr zfP`0*=810#M`$ndP3OL~9WK5lELX-$o}E-OfWi>dO3xV{8?MYjQ@K*n%eO!e<`P9Xk+47T0L)<1=S`Ro*Tb-!V%K5H!935jrEAO zjFd+pLt=35n`DSGx9QFIrS8OYrwM!y7{FARZR}ojRC)*- zzp<#!4dyUF;_LeQ7vR%xt2Mzscfj0*%k<@L53HpnFdxX-EUK0@gVg_cZb{UqE4bQa zw*nz+JA%T+M%yO7=(SXt@ZndCO&r1u3<6kMKdw5MVMI&E!grAWD^=1mcCqutHQ&@? zmPpx2=g$|VLAGeg&onr_Ijp$Ac!+3kqfDOI?&V*5zBOh5AcKJyeSs^AZ0OiAPkjHv zS5GLixyn#F;5C4?iN%hAL;DS86hFCHmP_2QiQ^FA4P{adQ`zAtBZsmKpRK~r*V#nf zAs8JN4U4N3NvyP}bv5cR6_ya}YTisZLBfL;*5-k&>D7U6X!DP%0Xh>JPd6a&BRAIq2vX(?CeBGL~vQ zTWAoL1w9uXE8W^G9MY8|VkxmN&ZqVMVH@s=I?shGQ3l#HbX-;myKgj~I;3%&MDxXV z34;2ge=I|b`4_5ly^mnl1NKF|f95NF$4HPvh9an1IKEGyKtp@acxHmn-sPx*(ydeQ zUR6R)GbT;i)almgx9KnDZa#BlZ21dYgHlu*LcfC zpMenv{&hev**g5|w>S)N$N@gxFhJmW8tq8OOfOTXXkTc22c&Z~zL(m94jt%4H(9TA z-4YD7w*p_LhF3x#xL@49(YMeo9!mM0LHEa>SxUQSo?V$ zeK4W?bzOfQ7x!#IK-Cqho!Lm3#?aeR3LM46JO76D7AW_-t3Hs zt8@6fw)Ze>K#eh&Ie-U}OM3W3JiNgd9%uG5`~dYWBX>f}6>svZ#|+fBXkbA`6YZ@G zq9N;-p0CHPG^_;pfGGduJSH5ln&~*a!=M#zf#01aVH^!j9t~XOakOJj!WVKy+O$iLOc#qrg;Z#5cz2^4Siur+1r$r>Vsrq= zsXfvhP!y~vZ2AOhlIptuA% z%xp3=(P|PboLI^$!}7xh(TGXFPin)EZ7f25RxwMMC_BoD#RJ$d_>QEaNNs2j!IwCE z6mePY4)Cy$Kf&vGLs;z)0RJXh@_60UJo7qp!LH@*Gh-R31JG7sHN^myNB8c+Ou?o2 zvG1`r;IJM+3oH62#hGd1k_PFh#c7L!Wrlr}-zNmt0hVlK>ESw#FCDr0?z&ewea0(6)*0O zm)#EWU{=#cn#lf#7w<^piv@??E7vL7sEZ}uO(&59alGopgNb7k70uaJeM3eDu*;_d z=nEtS**EPX@Go>j0_drgGBQ!REToGVl?%s~*H5;6a}_AGil+yrUYkp6*KIp1(0pK& z(~zXe%-g`PE9Zn*(l2?6L z6&-z2KVsk;4J+o`I=TF*b4Xf+ttzDq@m{_bnmCNKZmQX;cMxHIXJTR$;r(?ilU};M z=ekS=x9vt~#AkA+P-$eMmjEo=XugpHy>E|QU!DNQ0cm>H#a+sq;*{3Y(;!P4^Z}SR z$XF1H0w0Q3L!J25%nPjYlxVQ@P-ALHqfshzqi(GVPN*~$c6je7%_ zF}6@u&Y#ON+Wu%JP4>_zL%xByCHx27hDye zp6V*+XsB(oTz>t;Kr)x*Q73e23w5p2G@e*a_D#BNvLRsl;&pVDVKBIWlEL^czf>c4+emj0f-b-uqxkPf{>{0Cd0BL=RdJQ7?B9p+(4kU7Y$% zxYVY$HM|*q=&IlvZ%CNlNC22dhmu2(hjZl@@)1O%C5PD2MNS})@PKd*vxT}1Uing< z{*hl=;1&$H?V9O4%x5{oEA@-jrmzARQ5Z5o2XmpUDx0yu-*f{FxbTT6440OGI-a)R zwO!N^mbZa8mZvpPadb5ZRdB_>kVGFOmL4@9FV|Y*0Y2v$EAT)U>(g~nw*K8Z-pX@x zEV})zbG~&_{4&nUUdvjsPybECAD-W1_CD_VJT5C&yWdN0Z|u%draF6El(=jNCc}&9c}o^>)xAM420|hR9pO}Tai~K%E$|x9JL(TI?v`rn@7p-hI_coQK@PXiTscOt zur#X=l4F=oe`sx^M3B=_`>v$GQ*l`tkdc3(p)v~G$W>RZ#K^P_|x= zvV;aC8dWSW+l6X}>i_Z16z9)yeRQyJ(53H8MIZ|Z9#=`Qp@Fmd4MFSV4gNq|#*|Vp zFfkFrpXAEE`*3n1k$V(IZuxOCQ_v!WwI3{WVb?8LOg4Epo!urM>hRQ>N9(>};mXKl zn9-w`lX^?_7Bm*e5QsC2B0!8S;?hd~;aZp|$14LOKZ^97GY~J47yGTSGmAqt$R|^D zog6EbNM64_GN|gk7VqwmD#L0-JL9&uw=TW6Qmhn%{~@iF*=S+54TYDIKp1_9Jhtp1 z`I@R(etghq&Q_WGo3~w#gJ*V* zKBG5_aI6P|3?X7s;6j8Izj+eAS@W~lKbotwjm7J&Rur*3>3EuHyQ;PKJI~0SEemsN zCDTs+!1PMwaaVE0=X|K#=hC|U?zv6oFp@V?>W8JQE5?l3m^ z1^^upG@}Pl47YW!=Q%@~3T=WaXPW_rLW~8JB~+Ib&fu5@nndhg+5X;kv5@yXMQYf#o*ag=r}#wu`GPKTvqb@6g&n;J)9z z?fffd%d^m@k9>ZKk)AG%CqGgBPa_TTVm5v!{I}|=k`w3ye3#~=ijI;;2<+jNq5#Hw zF^WrsLEm>~csAKk3V0=L9ioP530$aDs0zCgz(b9NG$aFulg?>L5TTZdT1pK#nK4k~ z)G23Xwe1gk%aPY4i*E8N&{>YH3roybyVqNy3~>62nDhpu64IsjU8XYny`w&0o&eAr zlZiH3ct6NdPkbdNw##MX76xG%Ah{}lO+G{ppa3nUV~|CW)18z1sFozTkRMza*20V& zn?CBu49H0%WRbED!*ChmqCf+~*&vye7UTVp%rALp=ZCl2LxhB12e-5%8q#G*#g)(m zflx9E5kfLCiIMXVQ8*uPBQ=*3+c;e*c$x|&JOM`8J`Mtbgsi?@MKb#0zy!W&RpQTm z;06^I0fa5IBjK|Ig;9eYlsctp6JbQa!H_pi7M$#*8aYkSLmmsm!u=jUGcG?(*TahT z^zTsX;0^F;ar+nGGIZ`TYCoL@E#EFb-j!cTzEyGTdg88U$2D`ZT8-K3MFeiPrZn1b zKC!?DkUl>Y`FqVVs?y)|DH_gIZCFj(ajqt0hpapxf)HYQ|Hpl1pu&123n!TwF5um( zlA*WXVsTJeGrF!`*w_QW!`2>joPjyJ!RS*f1iEEHph2mP-+G_0&4p`zDoowrG#l&$4)G)z&Le@kY3rX z@y{=5eQpN~5Cep~uYDejpFzjyggz=5n0NJX@MOf)TI~6?)7l)NoT?Hk*3rm;$Ea_F zpZXL_v>VSV1vBbbJT;&ye95B7$`-dJMbl_@697t&@N>&~%$1JCqT7P)X@c%xt~3ax z`TUY`ed8Y_|6d$GDLh%A5}dgrzI&$F_nTbO$H_|OVFFk>Sd-9c70cfUWZ2^QCML!d zW_k)0X`h`cuwSu9z=xwn#O0HH5kqFK-SLWW5knTYgwprBOQh;`<>%|Ld`UJEg-qSX zpc&ws(-_NH!%IMMi3gd+I2^$>q_zxHypxxLp|oWbZ;?|z4Ea*70vCpiK|vUR!wwgd z5fv3plywY*#RWXuHWyceEGdF|4O4lMExMcEX;Zy>Sq>rC_*RPuYk~%%x6!_^Ddgc2 z#7oea-utz3WwdRs<5@8C8A|}7y;`^fVWOhgqA;vt#xeHei^wqiALq}$g%Se~p!~}f zl*Om)2=R1;Wn0zL;J{_uy6qyZD&audahHa^DCy0kJpRNiZmI~)6{m4 z1EuAUDgJZS);p&&6J-d#&$n}mEmvI6XppUw&)}wg|8?v2;|2~+tVwl2me(FA+1RS8 zz_$f~LN32w_aO01V`W?~i5r00GpXt6>p@aH#1fy!;V?Cpht#wR;8zQ~Ly5y-B^%8%*joi zW%wGBx~jWxcIp1n*=;40lQZ%IF%!)zsI8S4d^dnqi}()BT;h!lH$g6acV-$kF~9XV zyfPJ0EC%Ykb`dhf^aLtHAhVD!Zj{5oNJ13vtVTgVnm3hK$?2tBA=Y!0k~ zDKC6Lm>OFT!P4g;xhGfEN&bT-vB@w7(XpB+VrT3qcpFJ`!q=~#wpjcbnG&4Y2(IjC0%+zQ04yi&8Bt#a3$v0y!hNw(yYz7+fQ8aupi;0%rH3^#Kg$TU;-=+0Va0;F=a$klQ=Y{^kgztc(U-p z)XPN-5^gy1%*Oune`M7t59`GY#tD< zBv_QNnwr{<9)`|#&^K!2gZ*_;%72k>9T>;)i}JqNc^GXQqddYF?iShQ?PvO@6i>5l zgstHn1p_;(+}CZ!IYdql_aaK1#2(Xg+?3{p9iJbc z{8IpHaRtNzc3hMQ2A|B(IWV2Bh!%e;or)O2d&maX{A-Qb&!luMk~qKox0Fh_f({mz zW#SSP+=Sy?#>>L0KfmCUvHMk=I-}|-yKvGKh0HBZcM`n? zq-LvlWI`ocj6d0eye?V}d0YJGNXmHx9>ceg1h-j~MefE!`7bE=@kt^v^C@E$ypaRO zI^VCKL|5IG--o%2#<+et9nEFk8RW(jC((c2w*8!LtL$RzyZJWyf~TSAbo4F$#>?ct zc?;O2{SqV>R#o;kA_fqzhoREZKB8^-Ee zT91KMH`kEhOB|voFDpO8Y2aWr+3daR+fBcpu{IAxFHyNDGAOIRWC?7=0WT6yvlEVx-h z#McTBPX^_!=fXFl3m!$1h6^AEq$OlBI8r)0OL?-!CRB(zEMk8ouhbI&|gDEtRM=pF|u-+9iH1oaZ z3h5K(vHSxe(PnO2AL*}p5_QJgT)&u8d!LA3O&b3I!sP~Y#lT)7x+;l?oz}gkTagg- zc?;58ej3YNZ{OXGD({qdO#bN7Ej{=GxARUOnep-5L z$S#yRi*QaQ)pwyJDG%GQuM+SHdWbrSaDO=EZ3&9m`2O!E7R~l?6e#*~EAZ(l-#{cE zk7T%+-m6gHt4M>Hq`yE7`HeItENmR?IA^vT-oF&T|NM6L!2**6wpbb$=~p9N)nR;t zUbkaYgiMYYoEB%{_~k#_52o z#rti^V&dd8zMaxuCN$37o7a*5P=NmP%aa`fewm`K!>|a6-_3+k)2wc1_#gYZy`E!_ z&bTWpXID^yi`ZP~U(wosgcpDP666d2%OKhaMN*X`8+MQSKL>RBd-jSZ>#KQTz^49?oxE)!833>Pe>FvlzmQmzPl)3H z5#;4J$>0Diw$TvL4&;oNxL6lP3i?u#f^)N>TFrXoP85 z3lUM?ifcQ~UXj+Qy~{J_=Rej!1|oQaD58gijH=&I6Eg_@pNslMgjaC``Og*p&mW?4 zP^$NTclzJ|2OZyMUV%`>f7o>_*!n8yi5>ALJSaBoRa+FM@!t+G9@^YA$@9@0y&lyi@4yowiigSQynuQmVqj^5fCeN=$4YDc%`rgS4ZP{~{I3$HGy zq@`f%ufUx8)DHUC?D;?X|DCk|Y5$(MA{_)UH%@?Br)(cRyJflia1t=ASl8 zA4(HZ-b&37osR0vLAsk?>!1AY+mjZ$u|}G{#7Z2P4H>2hI@&3bH6%T6nTw zNc}izgwqn7_pljrJqODdmD=nhh@{_;&ig+i_nHar?cy5<*cglvx`c0tjQb2lpdAJV zY;!yziBVAfpc-0P`RCA5cmq{HhX(q)H8=)?sPFM!R)zdJvl6L-Qy&-T#fu9eXb!`l zDY;gXh$A_|rPIHBS69m_Y0hc<~qiLT-!-AC;| z7}u=9U!8|wRJA}PlZOW@j5pz7&pVy=98Ny8<2{2gfZ83`~E;AD^q;ugR0Yy2JEj?<{&QeDzhVvK+Sn?HII`zQ6LZg(72*8NUC} z)R`i(i2JO>`R$qR^yOXHJ2uT|>35L`2BX*%@tUfI^5~j27P9g4Esa$2gWgM20suG6aDn{5v`XYHK4WTc0(EMMM;_4l0Z1wTKT z$XZ_BIJH`KgI>=oX}7=izl2ROV5Ybnm%Y-ZVZj$^zCw>SF2<^N4=3^~%1d;2Lfy28 z?DmPc!vnk*x4oWy<~>e#HC9|7dHhEdeFmO|{Ew1SrqyFhAD&{LH#kPx9-Qmj>i5vw za7syZp0bg$?hj~5@A_6AmV1uU|^(mdEu=|H`YqZt$H~ zJaU%^z!leNq)=XBVn%I!{@{ab^VbKixK4J)jgNW%(^V&Ge8nE+Ms#7wI7wf6f>;8`qmoIg>C)R(y(|xDfN6#D(tvY zi=@EBbQz=Xdh)9_?C$Y!$D#FNu=u!jccJZ3XlChb$=`Q-V1$L~>S^<0sb1s`Rpjpd z$|X3~Ykc*&AKOlF6*~2Q$k^d2f9R&%F<9_8>%=R6%Fm4)K#g5~ zJ}73%ex5#Vwlgk0_JRfOGgkuB%=vh91i1x-hM$iahU^)K1S{EBC=m=%VmdK~C6l&Y|JVwSNq_yt!h}661@%ir8&ht}ytbup$-`?Q^AFlQ2o+k0(Mi(p3;e*PDd@4r2Ka#LQge)?SwT7@%1)u(&l4p^=k$Nb!^=iRFBSH^B9;7 z{~n43oGl%H)K@M)FRlptY!(F<_axsFF33IcJ9zBQ^%(eFppNKX)={?pE}AqoYIHw4 zlxlZcnQ8Nw!GR_wiAVO7^G)iMxT&?>`J#rv05A~-2QmVUC{=O~WQS)|Kn11wNR~W( zDO1VSnHa%=5WBKoN>Tq1|Mfz0`Sv+@$J8;Hp^373lJ>EBtzHLvQ>ZJgW^W7zT7&7K zMOCkv0LYh{-y~dD6Ayz4Kiz8GG{@Qi58|@+r@O@Q33F1N-O{oP^_lw}r>w|9HQ66d zt{-pHkqlaRpe_%8Q2aFkQNeXQZ*>9bErM8%b8ClMCMiS*`X!Hz=mN#;r~aAejjRIU zQ(}#l!5cQyQOH6D#%fYWe;!EzPZY8&-o`XpW1 z>*-iQUK*(F)d!~FmtFa3P2rmKmNf^OJH0JXVst!O!nOn?d$;TH@(rhG?jE^Dfq=0+ zV``}WF!he#u6~V~2u$P!TnnEA)H({y;3SaqM zi?km6%1X-0wD(8=WVwf+uLS#&p5^k7R%U)$U8Ji{d_Ff7kpSR8A7L=qtRgE*oiwhu zY5h&BxabYEpKnJ{my*dnTX>&Q&%?jOvX-Quk0jyQ$hl z3f#URTdYteSI(kVY6*dTHI){Lu7bNTEC5ungc}@q@D7hr-`|VZaYRhZ{&Jgb79l&V4zbE z$SKtcp15=OocWyIYj1ws$V*H$`M!F}9lL_p(-(My6uj$K3OS4ANYBQ~uMTNg&Auw-UxaR+F$7xk zP4y%9Gl$G&wY*Xht6pDIo?AWn^Me<2f((m+F~*?h^#^#WcgW_V&2JyyXr9Yoq_^_P zvZ-gQ{^?8x#aKKrDNVhUGWX7%W#QK?!*_-+o#gduc}=3-m58%1pDYSGb>me+Cv<>n=tiUTX1*2mYku)g2@>W|D?e83`nX#7N6}TgP0_c!?!MR8(*?rc((J$#Q&D+J|4BjT)G4omR!#9b^|x;C z#*OnXCA|D?QlsCy*ZfDd&Br-k`KCR|N?kLjeEW<)Pkw|htAA)bxBk_NqV#>yzoVXh z+fa0}bpMws?(XirF=uxjR|N*io4`lnpO@bM)2g#`)tw37pJ}O14cfIm6j*9FnB-Nb z>gTe~DWM4fC!5GX literal 0 HcmV?d00001 diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md index 70d59f9bd6..968594fc94 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md @@ -1,8 +1,8 @@ -# lpc55s69_nxp_evk开发板的Arduino生态兼容说明 +# LPC55s69-nxp-evk开发板的Arduino生态兼容说明 ## 1 RTduino - RT-Thread的Arduino生态兼容层 -lpc55s69_nxp_evk开发板已经完整适配了[RTduino软件包](https://github.com/RTduino/RTduino),即RT-Thread的Arduino生态兼容层。用户可以按照Arduino的编程习惯来操作该BSP,并且可以使用大量Arduino社区丰富的库,是对RT-Thread生态的极大增强。更多信息,请参见[RTduino软件包说明文档](https://github.com/RTduino/RTduino)。 +LPC55s69-nxp-evk开发板已经完整适配了[RTduino软件包](https://github.com/RTduino/RTduino),即RT-Thread的Arduino生态兼容层。用户可以按照Arduino的编程习惯来操作该BSP,并且可以使用大量Arduino社区丰富的库,是对RT-Thread生态的极大增强。更多信息,请参见[RTduino软件包说明文档](https://github.com/RTduino/RTduino)。 ### 1.1 如何开启针对本BSP的Arduino生态兼容层 @@ -18,27 +18,38 @@ Hardware Drivers Config ---> 更多引脚布局相关信息参见 [pins_arduino.c](pins_arduino.c) 和 [pins_arduino.h](pins_arduino.h)。 +![LPC55s69-nxp-evk-pinout1](LPC55s69-nxp-evk-pinout1.png) + +![LPC55s69-nxp-evk-pinout2](LPC55s69-nxp-evk-pinout2.png) + | Arduino引脚编号 | STM32引脚编号 | 5V容忍 | 备注 | | ------------------- | --------- | ---- | ------------------------------------------------------------------------- | -| 0 (D0) | P | 是/否 | | -| 1 (D1) | P | 是/否 | PWM1-CH3,默认被RT-Thread的PWM设备框架pwm1接管 | -| 2 (D2) | P | 是/否 | Serial-RX,默认被RT-Thread的UART设备框架uart1接管 | -| 3 (D3) | P | 是/否 | Serial-TX,默认被RT-Thread的UART设备框架uart1接管 | -| 4 (D4) | P | 是/否 | PWM2-CH1,默认被RT-Thread的PWM设备框架pwm2接管 | -| 5 (D5) | P | 是/否 | PWM2-CH2,默认被RT-Thread的PWM设备框架pwm2接管 | -| 6 (D6) | P | 是/否 | 板载用户LED | -| 7 (D7) | P | 是/否 | PWM1-CH0,默认被RT-Thread的PWM设备框架pwm1接管 | -| 8 (D8) | P | 是/否 | | -| 9 (D9) | P | 是/否 | PWM2-CH0,默认被RT-Thread的PWM设备框架pwm2接管 | -| 10 (D10) | P | 是/否 | | -| 11 (D11) | P | 是/否 | | -| 12 (D12) | P | 是/否 | | -| 13 (D13) | P | 是/否 | | -| 14 (D14) | P | 是/否 | PWM3-CH2,默认被RT-Thread的PWM设备框架pwm3接管 | -| 15 (D15) | P | 是/否 | | -| 16 (D16) | P | 是/否 | I2C1-SCL,默认被RT-Thread的I2C设备框架i2c1接管 | -| 17 (D17) | P | 是/否 | I2C1-SDA,默认被RT-Thread的I2C设备框架i2c1接管 | -| 18 (A0) | P | 是/否 | ADC0-CH8,默认被RT-Thread的ADC设备框架adc0接管 | -| 19 (A1) | P | 是/否 | ADC0-CH0,默认被RT-Thread的ADC设备框架adc0接管 | -| 20 (A2) | -- | | 芯片内部温度 ADC,默认被RT-Thread的ADC设备框架adc1接管 | -| 21 (A3) | -- | | 芯片内部参考电压 ADC,默认被RT-Thread的ADC设备框架adc1接管 | +| 0 (D0) | P(1,24) | 是/否 | Serial2-RX,默认被RT-Thread的UART设备框架uart1接管 | +| 1 (D1) | P(0,27) | 是/否 | Serial2-TX,默认被RT-Thread的UART设备框架uart1接管 | +| 2 (D2) | P(0,15) | 是/否 | | +| 3 (D3) | P(1,6) | 是/否 | | +| 4 (D4) | P(1,7) | 是/否 | PWM2-CH2,默认被RT-Thread的PWM设备框架pwm2接管 | +| 5 (D5) | P(1,4) | 是/否 | PWM2-CH1,默认被RT-Thread的PWM设备框架pwm2接管 | +| 6 (D6) | P(1,10) | 是/否 | | +| 7 (D7) | P(1,9) | 是/否 | PWM1-CH0,默认被RT-Thread的PWM设备框架pwm1接管 | +| 8 (D8) | P(1,8) | 是/否 | | +| 9 (D9) | P(1,5) | 是/否 | | +| 10 (D10) | P(1,1) | 是/否 | | +| 11 (D11) | P(0,26) | 是/否 | | +| 12 (D12) | P(1,3) | 是/否 | PWM0-CH3,默认被RT-Thread的PWM设备框架pwm0接管 | +| 13 (D13) | P(1,2) | 是/否 | | +| 14 (D14) | P(1,21) | 是/否 | I2C4-SDA,默认被RT-Thread的I2C设备框架i2c4接管 | +| 15 (D15) | P(1,20) | 是/否 | I2C4-SCL,默认被RT-Thread的I2C设备框架i2c4接管 | +| 16 (A0) | P(0,16) | 是/否 | ADC0-CH8,默认被RT-Thread的ADC设备框架adc0接管 | +| 17 (A1) | P(0,23) | 是/否 | ADC0-CH0,默认被RT-Thread的ADC设备框架adc0接管 | +| 18 (A2) | -- | 是/否 | | +| 19 (A3) | P(1,31) | 是/否 | | +| 20 (A4) | P(0,13) | 是/否 | I2C1-SDA,默认被RT-Thread的I2C设备框架i2c1接管 | +| 21 (A5) | P(1,14) | 是/否 | I2C1-SCL,默认被RT-Thread的I2C设备框架i2c1接管 | +| 22 (A6) | -- | | 芯片内部参考电压 ADC,默认被RT-Thread的ADC设备框架adc1接管 | +| 23 (A7) | -- | | 芯片内部温度 ADC,默认被RT-Thread的ADC设备框架adc1接管 | + +> 注意: +> +> 1. xxxxxxxxx +> 2. xxxxxxxxx diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c index de36abb335..ef62017fe5 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c @@ -6,6 +6,7 @@ * Change Logs: * Date Author Notes * 2023-02-22 ChuShicheng first version + * 2023-02-23 Wangyuqiang second version */ #include @@ -21,26 +22,28 @@ */ const pin_map_t pin_map_table[]= { - {D0, 50}, - {D1, 49, "pwm1", 3}, /* PWM */ - {D2, 57, "uart1"}, /* Serial-RX */ - {D3, 28, "uart1"}, /* Serial-TX */ - {D4, 39, "pwm2", 1}, /* PWM */ - {D5, 40, "pwm2", 2}, /* PWM */ - {D6, 37}, /* LED_BUILTIN */ - {D7, 43, "pwm1", 0}, /* PWM */ - {D8, 41}, - {D9, 38, "pwm2", 0}, /* PWM */ - {D10, 34}, - {D11, 27}, - {D12, 36}, - {D13, 35}, - {D14, 54, "pwm3", 2}, /* PWM */ - {D15, 53}, - {D16, 15, "i2c1"}, /* I2C-SCL (Wire) */ - {D17, 14, "i2c1"}, /* I2C-SDA (Wire) */ - {A0, 17, "adc0", 8}, /* ADC */ - {A1, 24, "adc0", 0}, /* ADC */ - {A2, RT_NULL, "adc0", 26}, /* ADC, On-Chip: internal temperature sensor, ADC_CHANNEL_TEMPSENSOR */ - {A3, RT_NULL, "adc0", 13}, /* ADC, On-Chip: internal reference voltage, ADC_CHANNEL_VREFINT */ + {D0, GET_PINS(1,24), "uart1"}, /* Serial2-RX */ + {D1, GET_PINS(0,27), "uart1"}, /* Serial2-TX */ + {D2, GET_PINS(0,15)}, + {D3, GET_PINS(1,6)}, + {D4, GET_PINS(1,7), "pwm2", 2}, /* PWM */ + {D5, GET_PINS(1,4), "pwm2", 1}, /* PWM */ + {D6, GET_PINS(1,10)}, + {D7, GET_PINS(1,9), "pwm1", 0}, /* PWM */ + {D8, GET_PINS(1,8)}, + {D9, GET_PINS(1,5)}, + {D10, GET_PINS(1,1)}, + {D11, GET_PINS(0,26)}, + {D12, GET_PINS(1,3), "pwm0", 3}, /* PWM */ + {D13, GET_PINS(1,2)}, + {D14, GET_PINS(1,21), "i2c4"}, /* I2C-SDA (Wire) */ + {D15, GET_PINS(1,20), "i2c4"}, /* I2C-SCL (Wire) */ + {A0, GET_PINS(0,16), "adc0", 8}, /* ADC */ + {A1, GET_PINS(0,23), "adc0", 0}, /* ADC */ + {A2, RT_NULL}, + {A3, GET_PINS(1,31)}, + {A4, GET_PINS(0,13), "i2c1"}, /* I2C-SDA (Wire) */ + {A5, GET_PINS(1,14), "i2c1"}, /* I2C-SCL (Wire) */ + {A6, RT_NULL, "adc0", 13}, /* ADC, On-Chip: internal reference voltage, ADC_CHANNEL_VREFINT */ + {A7, RT_NULL, "adc0", 26}, /* ADC, On-Chip: internal temperature sensor, ADC_CHANNEL_TEMPSENSOR */ }; diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h index b7e61f7461..b5487c5426 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h @@ -6,6 +6,7 @@ * Change Logs: * Date Author Notes * 2023-02-22 ChuShicheng first version + * 2023-02-23 Wangyuqiang second version */ #ifndef Pins_Arduino_h @@ -28,18 +29,21 @@ #define D13 (13) #define D14 (14) #define D15 (15) -#define D16 (16) -#define D17 (17) -#define A0 (18) -#define A1 (19) -#define A2 (20) -#define A3 (21) +#define A0 (16) +#define A1 (17) +#define A2 (18) +#define A3 (19) +#define A4 (20) +#define A5 (21) +#define A6 (22) +#define A7 (23) #define F_CPU 150000000L /* CPU:150MHz */ -#define LED_BUILTIN D6 /* Default Built-in LED */ - -/* i2c1 : P-SDA P-SCL */ +/* i2c1 : P(,13-SDA P(,14-SCL */ #define RTDUINO_DEFAULT_IIC_BUS_NAME "i2c1" +/* Serial2 : P(,27-TX P(,24-RX */ +#define RTDUINO_SERIAL2_DEVICE_NAME "uart1" + #endif /* Pins_Arduino_h */ diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig b/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig index 041b90fee6..01f7c8ef98 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig @@ -42,6 +42,22 @@ menu "On-chip Peripheral Drivers" endchoice endif + config BSP_USING_UART1 + bool "Enable Flexcomm1 as UART" + default n + if BSP_USING_UART1 + choice + prompt "Select UART2 badurate" + default HW_UART1_BAUDRATE_115200 + + config HW_UART1_BAUDRATE_9600 + bool "Badurate 9600" + + config HW_UART1_BAUDRATE_115200 + bool "Badurate 115200" + endchoice + endif + config BSP_USING_UART2 bool "Enable Flexcomm2 as UART" default n @@ -70,6 +86,7 @@ menu "On-chip Peripheral Drivers" config BSP_USING_I2C1 bool "Enable Flexcomm1 I2C" default y + if BSP_USING_I2C1 choice prompt "Select I2C1 badurate" @@ -130,6 +147,21 @@ menu "On-chip Peripheral Drivers" config BSP_USING_ADC0_CH1 bool "Enable ADC0 Channel1" default n + + config BSP_USING_ADC0_CH8 + bool "Enable ADC0 Channel8" + default n + + + config BSP_USING_ADC0_CH13 + bool "Enable ADC0 Channel13" + default n + + + config BSP_USING_ADC0_CH26 + bool "Enable ADC0 Channel26" + default n + endif config BSP_USING_SDIO From 382e9bcac746b408b5b01ea2f59338428ab203dd Mon Sep 17 00:00:00 2001 From: Shell Date: Fri, 24 Feb 2023 14:52:16 +0800 Subject: [PATCH 10/23] [rt-smart] handling kernel from accessing unmapped user stack (#6957) [rt-smart] handling kernel from accessing unmapped user stack --- components/lwp/arch/risc-v/rv64/lwp_gcc.S | 10 ++++++++++ components/lwp/lwp_user_mm.c | 11 +++++++---- libcpu/risc-v/t-head/c906/stackframe.h | 6 +++++- libcpu/risc-v/t-head/c906/trap.c | 5 ++++- libcpu/risc-v/virt64/stackframe.h | 7 ++++--- libcpu/risc-v/virt64/trap.c | 5 ++++- 6 files changed, 34 insertions(+), 10 deletions(-) diff --git a/components/lwp/arch/risc-v/rv64/lwp_gcc.S b/components/lwp/arch/risc-v/rv64/lwp_gcc.S index c54726bc45..3977553dbf 100644 --- a/components/lwp/arch/risc-v/rv64/lwp_gcc.S +++ b/components/lwp/arch/risc-v/rv64/lwp_gcc.S @@ -121,6 +121,16 @@ arch_signal_quit: * routine in user stack */ user_do_signal: + /* prefetch ustack to avoid corrupted status in RESTORE/STORE pair below */ + LOAD t0, FRAME_OFF_SP(sp) + addi t1, t0, -CTX_REG_NR * REGBYTES + LOAD t2, (t0) + li t3, -0x1000 +1: + add t0, t0, t3 + LOAD t2, (t0) + bgt t0, t1, 1b + /** restore and backup kernel sp carefully to avoid leaking */ addi t0, sp, CTX_REG_NR * REGBYTES csrw sscratch, t0 diff --git a/components/lwp/lwp_user_mm.c b/components/lwp/lwp_user_mm.c index 3844a3b189..edda62632d 100644 --- a/components/lwp/lwp_user_mm.c +++ b/components/lwp/lwp_user_mm.c @@ -560,9 +560,12 @@ int lwp_user_accessable(void *addr, size_t size) len = size; } tmp_addr = lwp_v2p(lwp, addr_start); - if (!tmp_addr) + if (tmp_addr == ARCH_MAP_FAILED) { - return 0; + if ((rt_ubase_t)addr_start >= USER_STACK_VSTART && (rt_ubase_t)addr_start < USER_STACK_VEND) + tmp_addr = *(void **)addr_start; + else + return 0; } addr_start = (void *)((char *)addr_start + len); size -= len; @@ -596,7 +599,7 @@ size_t lwp_data_get(struct rt_lwp *lwp, void *dst, void *src, size_t size) len = size; } tmp_src = lwp_v2p(lwp, addr_start); - if (!tmp_src) + if (tmp_src == ARCH_MAP_FAILED) { break; } @@ -636,7 +639,7 @@ size_t lwp_data_put(struct rt_lwp *lwp, void *dst, void *src, size_t size) len = size; } tmp_dst = lwp_v2p(lwp, addr_start); - if (!tmp_dst) + if (tmp_dst == ARCH_MAP_FAILED) { break; } diff --git a/libcpu/risc-v/t-head/c906/stackframe.h b/libcpu/risc-v/t-head/c906/stackframe.h index 1e191900b4..d6fd0c3c5e 100644 --- a/libcpu/risc-v/t-head/c906/stackframe.h +++ b/libcpu/risc-v/t-head/c906/stackframe.h @@ -13,6 +13,10 @@ #ifndef __STACKFRAME_H__ #define __STACKFRAME_H__ +#define BYTES(idx) ((idx) * REGBYTES) +#define FRAME_OFF_SSTATUS BYTES(2) +#define FRAME_OFF_SP BYTES(32) + #include "cpuport.h" #include "encoding.h" @@ -54,7 +58,7 @@ /** * The register `tp` always save/restore when context switch, * we call `lwp_user_setting_save` when syscall enter, - * call `lwp_user_setting_restore` when syscall exit + * call `lwp_user_setting_restore` when syscall exit * and modify context stack after `lwp_user_setting_restore` called * so that the `tp` can be the correct thread area value. */ diff --git a/libcpu/risc-v/t-head/c906/trap.c b/libcpu/risc-v/t-head/c906/trap.c index aaf5be893f..2600ee0c37 100644 --- a/libcpu/risc-v/t-head/c906/trap.c +++ b/libcpu/risc-v/t-head/c906/trap.c @@ -277,6 +277,9 @@ static void handle_nested_trap_panic( rt_hw_cpu_shutdown(); } +#define IN_USER_SPACE (stval >= USER_VADDR_START && stval < USER_VADDR_TOP) +#define PAGE_FAULT (id == EP_LOAD_PAGE_FAULT || id == EP_STORE_PAGE_FAULT) + /* Trap entry */ void handle_trap(rt_size_t scause, rt_size_t stval, rt_size_t sepc, struct rt_hw_stack_frame *sp) { @@ -314,7 +317,7 @@ void handle_trap(rt_size_t scause, rt_size_t stval, rt_size_t sepc, struct rt_hw else { #ifdef RT_USING_SMART - if (!(sp->sstatus & 0x100)) + if (!(sp->sstatus & 0x100) || (PAGE_FAULT && IN_USER_SPACE)) { handle_user(scause, stval, sepc, sp); // if handle_user() return here, jump to u mode then diff --git a/libcpu/risc-v/virt64/stackframe.h b/libcpu/risc-v/virt64/stackframe.h index 5b546f01f9..550fa8947e 100644 --- a/libcpu/risc-v/virt64/stackframe.h +++ b/libcpu/risc-v/virt64/stackframe.h @@ -18,8 +18,9 @@ #include "encoding.h" #include "ext_context.h" -#define BYTES(idx) ((idx) * REGBYTES) -#define FRAME_OFF_SSTATUS BYTES(2) +#define BYTES(idx) ((idx) * REGBYTES) +#define FRAME_OFF_SSTATUS BYTES(2) +#define FRAME_OFF_SP BYTES(32) #ifdef __ASSEMBLY__ @@ -140,7 +141,7 @@ /** * @brief Restore All General Registers, for interrupt handling - * + * */ .macro RESTORE_ALL diff --git a/libcpu/risc-v/virt64/trap.c b/libcpu/risc-v/virt64/trap.c index 7b3bafae88..b9f30ed715 100644 --- a/libcpu/risc-v/virt64/trap.c +++ b/libcpu/risc-v/virt64/trap.c @@ -274,6 +274,9 @@ static void handle_nested_trap_panic( rt_hw_cpu_shutdown(); } +#define IN_USER_SPACE (stval >= USER_VADDR_START && stval < USER_VADDR_TOP) +#define PAGE_FAULT (id == EP_LOAD_PAGE_FAULT || id == EP_STORE_PAGE_FAULT) + /* Trap entry */ void handle_trap(rt_size_t scause, rt_size_t stval, rt_size_t sepc, struct rt_hw_stack_frame *sp) { @@ -326,7 +329,7 @@ void handle_trap(rt_size_t scause, rt_size_t stval, rt_size_t sepc, struct rt_hw } #endif /* ENABLE_VECTOR */ #ifdef RT_USING_SMART - if (!(sp->sstatus & 0x100)) + if (!(sp->sstatus & 0x100) || (PAGE_FAULT && IN_USER_SPACE)) { handle_user(scause, stval, sepc, sp); // if handle_user() return here, jump to u mode then From 7bf66488537c3c8fe89bc74fd32cea23fe44e2f6 Mon Sep 17 00:00:00 2001 From: jonas Date: Thu, 23 Feb 2023 15:42:30 +0800 Subject: [PATCH 11/23] =?UTF-8?q?[serial]=E5=A2=9E=E5=8A=A0at32=E7=9A=84se?= =?UTF-8?q?rial=5Fv2=E9=A9=B1=E5=8A=A8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- bsp/at32/libraries/rt_drivers/SConscript | 7 +- bsp/at32/libraries/rt_drivers/drv_usart_v2.c | 447 +++++++++++++++++++ bsp/at32/libraries/rt_drivers/drv_usart_v2.h | 28 ++ 3 files changed, 480 insertions(+), 2 deletions(-) create mode 100644 bsp/at32/libraries/rt_drivers/drv_usart_v2.c create mode 100644 bsp/at32/libraries/rt_drivers/drv_usart_v2.h diff --git a/bsp/at32/libraries/rt_drivers/SConscript b/bsp/at32/libraries/rt_drivers/SConscript index 2e96d36ac5..76a606373d 100644 --- a/bsp/at32/libraries/rt_drivers/SConscript +++ b/bsp/at32/libraries/rt_drivers/SConscript @@ -16,8 +16,11 @@ if GetDepend(['RT_USING_PIN']): if GetDepend(['RT_USING_WDT']): src += ['drv_wdt.c'] -if GetDepend(['BSP_USING_SERIAL']): - src += ['drv_usart.c'] +if GetDepend(['RT_USING_SERIAL']): + if GetDepend(['RT_USING_SERIAL_V2']): + src += ['drv_usart_v2.c'] + else: + src += ['drv_usart.c'] if GetDepend(['BSP_USING_PWM']): src += ['drv_pwm.c'] diff --git a/bsp/at32/libraries/rt_drivers/drv_usart_v2.c b/bsp/at32/libraries/rt_drivers/drv_usart_v2.c new file mode 100644 index 0000000000..820bfa12f3 --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/drv_usart_v2.c @@ -0,0 +1,447 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-23 Jonas first version + */ + +#include "drv_usart_v2.h" + +#ifdef RT_USING_SERIAL_V2 +#if !defined(BSP_USING_UART1) && !defined(BSP_USING_UART2) && \ + !defined(BSP_USING_UART3) && !defined(BSP_USING_UART4) && \ + !defined(BSP_USING_UART5) && !defined(BSP_USING_UART6) && \ + !defined(BSP_USING_UART7) && !defined(BSP_USING_UART8) + #error "Please define at least one BSP_USING_UARTx" +#endif + +enum +{ +#ifdef BSP_USING_UART1 + UART1_INDEX, +#endif +#ifdef BSP_USING_UART2 + UART2_INDEX, +#endif +#ifdef BSP_USING_UART3 + UART3_INDEX, +#endif +#ifdef BSP_USING_UART4 + UART4_INDEX, +#endif +#ifdef BSP_USING_UART5 + UART5_INDEX, +#endif +#ifdef BSP_USING_UART6 + UART6_INDEX, +#endif +#ifdef BSP_USING_UART7 + UART7_INDEX, +#endif +#ifdef BSP_USING_UART8 + UART8_INDEX, +#endif +}; + +static struct at32_usart usart_config[] = +{ +#ifdef BSP_USING_UART1 + { + "uart1", + USART1, + USART1_IRQn, + }, +#endif +#ifdef BSP_USING_UART2 + { + "uart2", + USART2, + USART2_IRQn, + }, +#endif +#ifdef BSP_USING_UART3 + { + "uart3", + USART3, + USART3_IRQn, + }, +#endif +#ifdef BSP_USING_UART4 + { + "uart4", + UART4, + UART4_IRQn, + }, +#endif +#ifdef BSP_USING_UART5 + { + "uart5", + UART5, + UART5_IRQn, + }, +#endif +#ifdef BSP_USING_UART6 + { + "uart6", + USART6, + USART6_IRQn, + }, +#endif +#ifdef BSP_USING_UART7 + { + "uart7", + UART7, + UART7_IRQn, + }, +#endif +#ifdef BSP_USING_UART8 + { + "uart8", + UART8, + UART8_IRQn, + }, +#endif +}; + +static rt_err_t at32_configure(struct rt_serial_device *serial, + struct serial_configure *cfg) +{ + struct at32_usart *usart_instance = (struct at32_usart *) serial->parent.user_data; + usart_data_bit_num_type data_bit; + usart_stop_bit_num_type stop_bit; + usart_parity_selection_type parity_mode; + + RT_ASSERT(serial != RT_NULL); + RT_ASSERT(cfg != RT_NULL); + + RT_ASSERT(usart_instance != RT_NULL); + + at32_msp_usart_init((void *)usart_instance->usart_x); + + usart_receiver_enable(usart_instance->usart_x, TRUE); + usart_transmitter_enable(usart_instance->usart_x, TRUE); + + usart_hardware_flow_control_set(usart_instance->usart_x, USART_HARDWARE_FLOW_NONE); + + switch (cfg->data_bits) + { + case DATA_BITS_8: + data_bit = USART_DATA_8BITS; + break; + case DATA_BITS_9: + data_bit = USART_DATA_9BITS; + break; + default: + data_bit = USART_DATA_8BITS; + break; + } + + switch (cfg->stop_bits) + { + case STOP_BITS_1: + stop_bit = USART_STOP_1_BIT; + break; + case STOP_BITS_2: + stop_bit = USART_STOP_2_BIT; + break; + default: + stop_bit = USART_STOP_1_BIT; + break; + } + + switch (cfg->parity) + { + case PARITY_NONE: + parity_mode = USART_PARITY_NONE; + break; + case PARITY_ODD: + parity_mode = USART_PARITY_ODD; + break; + case PARITY_EVEN: + parity_mode = USART_PARITY_EVEN; + break; + default: + parity_mode = USART_PARITY_NONE; + break; + } + usart_parity_selection_config(usart_instance->usart_x, parity_mode); + usart_init(usart_instance->usart_x, cfg->baud_rate, data_bit, stop_bit); + usart_enable(usart_instance->usart_x, TRUE); + + return RT_EOK; +} + +static rt_err_t at32_control(struct rt_serial_device *serial, int cmd, void *arg) +{ + struct at32_usart *usart; + + rt_ubase_t ctrl_arg = (rt_ubase_t)arg; + + RT_ASSERT(serial != RT_NULL); + usart = (struct at32_usart *) serial->parent.user_data; + RT_ASSERT(usart != RT_NULL); + + if (ctrl_arg & (RT_DEVICE_FLAG_RX_BLOCKING | RT_DEVICE_FLAG_RX_NON_BLOCKING)) + { + ctrl_arg = RT_DEVICE_FLAG_INT_RX; + } + else if (ctrl_arg & (RT_DEVICE_FLAG_TX_BLOCKING | RT_DEVICE_FLAG_TX_NON_BLOCKING)) + { + ctrl_arg = RT_DEVICE_FLAG_INT_TX; + } + + switch (cmd) + { + case RT_DEVICE_CTRL_CLR_INT: + nvic_irq_disable(usart->irqn); + if (ctrl_arg == RT_DEVICE_FLAG_INT_RX) + usart_interrupt_enable(usart->usart_x, USART_RDBF_INT, FALSE); + else if (ctrl_arg == RT_DEVICE_FLAG_INT_TX) + usart_interrupt_enable(usart->usart_x, USART_TDBE_INT, FALSE); + break; + case RT_DEVICE_CTRL_SET_INT: + nvic_irq_enable(usart->irqn, 4, 1); + if (ctrl_arg == RT_DEVICE_FLAG_INT_RX) + usart_interrupt_enable(usart->usart_x, USART_RDBF_INT, TRUE); + else if (ctrl_arg == RT_DEVICE_FLAG_INT_TX) + usart_interrupt_enable(usart->usart_x, USART_TDBE_INT, TRUE); + break; + case RT_DEVICE_CTRL_CONFIG: + at32_control(serial, RT_DEVICE_CTRL_SET_INT, (void *)ctrl_arg); + break; + case RT_DEVICE_CHECK_OPTMODE: + { + return RT_SERIAL_TX_BLOCKING_BUFFER; + } + case RT_DEVICE_CTRL_CLOSE: + usart_reset(usart->usart_x); + break; + } + + return RT_EOK; +} + +static int at32_putc(struct rt_serial_device *serial, char ch) +{ + struct at32_usart *usart; + + RT_ASSERT(serial != RT_NULL); + usart = (struct at32_usart *) serial->parent.user_data; + RT_ASSERT(usart != RT_NULL); + + usart_data_transmit(usart->usart_x, (uint8_t)ch); + while (usart_flag_get(usart->usart_x, USART_TDC_FLAG) == RESET); + + return 1; +} + +static int at32_getc(struct rt_serial_device *serial) +{ + int ch; + struct at32_usart *usart; + + RT_ASSERT(serial != RT_NULL); + usart = (struct at32_usart *) serial->parent.user_data; + RT_ASSERT(usart != RT_NULL); + + ch = -1; + if (usart_flag_get(usart->usart_x, USART_RDBF_FLAG) != RESET) + { + ch = usart_data_receive(usart->usart_x) & 0xff; + } + + return ch; +} + +static rt_size_t at32_transmit(struct rt_serial_device *serial, rt_uint8_t *buf, rt_size_t size, rt_uint32_t tx_flag) +{ + RT_ASSERT(serial != RT_NULL); + RT_ASSERT(buf != RT_NULL); + + at32_control(serial, RT_DEVICE_CTRL_SET_INT, (void *)tx_flag); + + return size; +} + +static const struct rt_uart_ops at32_usart_ops = +{ + at32_configure, + at32_control, + at32_putc, + at32_getc, + at32_transmit +}; + +static void usart_isr(struct rt_serial_device *serial) +{ + struct at32_usart *usart_instance; + + RT_ASSERT(serial != RT_NULL); + + usart_instance = (struct at32_usart *) serial->parent.user_data; + RT_ASSERT(usart_instance != RT_NULL); + + if (usart_flag_get(usart_instance->usart_x, USART_RDBF_FLAG) != RESET) + { + struct rt_serial_rx_fifo *rx_fifo; + rx_fifo = (struct rt_serial_rx_fifo *) serial->serial_rx; + RT_ASSERT(rx_fifo != RT_NULL); + + rt_ringbuffer_putchar(&(rx_fifo->rb), usart_data_receive(usart_instance->usart_x)); + + rt_hw_serial_isr(serial, RT_SERIAL_EVENT_RX_IND); + } + else + { + if ((usart_flag_get(usart_instance->usart_x, USART_TDBE_FLAG) != RESET) && usart_instance->usart_x->ctrl1_bit.tdbeien) + { + struct rt_serial_tx_fifo *tx_fifo; + tx_fifo = (struct rt_serial_tx_fifo *) serial->serial_tx; + RT_ASSERT(tx_fifo != RT_NULL); + + rt_uint8_t put_char = 0; + if (rt_ringbuffer_getchar(&(tx_fifo->rb), &put_char)) + { + usart_data_transmit(usart_instance->usart_x, put_char); + } + else + { + usart_interrupt_enable(usart_instance->usart_x, USART_TDBE_INT, FALSE); + usart_interrupt_enable(usart_instance->usart_x, USART_TDC_INT, TRUE); + } + usart_flag_clear(usart_instance->usart_x, USART_TDBE_FLAG); + } + else if (usart_flag_get(usart_instance->usart_x, USART_TDC_FLAG) != RESET) + { + + usart_interrupt_enable(usart_instance->usart_x, USART_TDC_INT, FALSE); + + rt_hw_serial_isr(serial, RT_SERIAL_EVENT_TX_DONE); + + usart_flag_clear(usart_instance->usart_x, USART_TDC_FLAG); + } + if (usart_flag_get(usart_instance->usart_x, USART_CTSCF_FLAG) != RESET) + { + usart_flag_clear(usart_instance->usart_x, USART_CTSCF_FLAG); + } + + if (usart_flag_get(usart_instance->usart_x, USART_BFF_FLAG) != RESET) + { + usart_flag_clear(usart_instance->usart_x, USART_BFF_FLAG); + } + + } +} + +#ifdef BSP_USING_UART1 +void USART1_IRQHandler(void) +{ + rt_interrupt_enter(); + + usart_isr(&usart_config[UART1_INDEX].serial); + + rt_interrupt_leave(); +} +#endif +#ifdef BSP_USING_UART2 +void USART2_IRQHandler(void) +{ + rt_interrupt_enter(); + + usart_isr(&usart_config[UART2_INDEX].serial); + + rt_interrupt_leave(); +} +#endif +#ifdef BSP_USING_UART3 +void USART3_IRQHandler(void) +{ + rt_interrupt_enter(); + + usart_isr(&usart_config[UART3_INDEX].serial); + + rt_interrupt_leave(); +} +#endif +#ifdef BSP_USING_UART4 +void UART4_IRQHandler(void) +{ + rt_interrupt_enter(); + + usart_isr(&usart_config[UART4_INDEX].serial); + + rt_interrupt_leave(); +} +#endif +#ifdef BSP_USING_UART5 +void UART5_IRQHandler(void) +{ + rt_interrupt_enter(); + + usart_isr(&usart_config[UART5_INDEX].serial); + + rt_interrupt_leave(); +} +#endif +#ifdef BSP_USING_UART6 +void USART6_IRQHandler(void) +{ + rt_interrupt_enter(); + + usart_isr(&usart_config[UART6_INDEX].serial); + + rt_interrupt_leave(); +} +#endif +#ifdef BSP_USING_UART7 +void UART7_IRQHandler(void) +{ + rt_interrupt_enter(); + + usart_isr(&usart_config[UART7_INDEX].serial); + + rt_interrupt_leave(); +} +#endif +#ifdef BSP_USING_UART8 +void UART8_IRQHandler(void) +{ + rt_interrupt_enter(); + + usart_isr(&usart_config[UART8_INDEX].serial); + + rt_interrupt_leave(); +} +#endif + +int rt_hw_usart_init(void) +{ + rt_size_t obj_num; + int index; + + obj_num = sizeof(usart_config) / sizeof(struct at32_usart); + struct serial_configure config = RT_SERIAL_CONFIG_DEFAULT; + rt_err_t result = 0; + + for (index = 0; index < obj_num; index++) + { + usart_config[index].serial.config = config; + usart_config[index].serial.ops = &at32_usart_ops; + + /* register uart device */ + result = rt_hw_serial_register(&usart_config[index].serial, + usart_config[index].name, + RT_DEVICE_FLAG_RDWR | + RT_DEVICE_FLAG_INT_RX | + RT_DEVICE_FLAG_INT_TX, + &usart_config[index]); + RT_ASSERT(result == RT_EOK); + } + + return result; +} + +#endif /* RT_USING_SERIAL_V2 */ diff --git a/bsp/at32/libraries/rt_drivers/drv_usart_v2.h b/bsp/at32/libraries/rt_drivers/drv_usart_v2.h new file mode 100644 index 0000000000..1fe1cf24ee --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/drv_usart_v2.h @@ -0,0 +1,28 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-23 Jonas first version + */ + +#ifndef __DRV_USART_V2_H__ +#define __DRV_USART_V2_H__ + +#include +#include +#include + +struct at32_usart +{ + char *name; + usart_type *usart_x; + IRQn_Type irqn; + struct rt_serial_device serial; +}; + +int rt_hw_usart_init(void); + +#endif /* __DRV_USART_V2_H__ */ From a5c62b9a847fb44bb060e475c8473709cb6a2d05 Mon Sep 17 00:00:00 2001 From: sheltonyu <59359365+sheltonyu@users.noreply.github.com> Date: Sat, 25 Feb 2023 10:47:04 +0800 Subject: [PATCH 12/23] [bsp/at32] add support usb driver (#6968) * add support usb driver * fixed code format error --- bsp/at32/at32f403a-start/README.md | 3 + bsp/at32/at32f403a-start/SConstruct | 3 + bsp/at32/at32f403a-start/board/Kconfig | 5 + bsp/at32/at32f403a-start/board/inc/at32_msp.h | 1 + bsp/at32/at32f403a-start/board/src/at32_msp.c | 66 + bsp/at32/at32f407-start/README.md | 3 + bsp/at32/at32f407-start/SConstruct | 3 + bsp/at32/at32f407-start/board/Kconfig | 5 + bsp/at32/at32f407-start/board/inc/at32_msp.h | 1 + bsp/at32/at32f407-start/board/src/at32_msp.c | 67 + bsp/at32/at32f413-start/README.md | 3 + bsp/at32/at32f413-start/SConscript | 6 +- bsp/at32/at32f413-start/SConstruct | 3 + bsp/at32/at32f413-start/board/Kconfig | 5 + bsp/at32/at32f413-start/board/inc/at32_msp.h | 1 + bsp/at32/at32f413-start/board/src/at32_msp.c | 66 + bsp/at32/at32f415-start/README.md | 3 + bsp/at32/at32f415-start/SConstruct | 3 + bsp/at32/at32f415-start/board/Kconfig | 20 + bsp/at32/at32f415-start/board/inc/at32_msp.h | 1 + bsp/at32/at32f415-start/board/src/at32_msp.c | 40 + bsp/at32/at32f425-start/README.md | 3 + bsp/at32/at32f425-start/SConstruct | 3 + bsp/at32/at32f425-start/board/Kconfig | 20 + bsp/at32/at32f425-start/board/inc/at32_msp.h | 1 + bsp/at32/at32f425-start/board/src/at32_msp.c | 50 + bsp/at32/at32f435-start/README.md | 5 + bsp/at32/at32f435-start/SConstruct | 3 + bsp/at32/at32f435-start/board/Kconfig | 35 + bsp/at32/at32f435-start/board/inc/at32_msp.h | 1 + bsp/at32/at32f435-start/board/src/at32_msp.c | 95 ++ bsp/at32/at32f437-start/README.md | 5 + bsp/at32/at32f437-start/SConstruct | 3 + bsp/at32/at32f437-start/board/Kconfig | 35 + bsp/at32/at32f437-start/board/inc/at32_msp.h | 1 + bsp/at32/at32f437-start/board/src/at32_msp.c | 95 ++ .../drivers/inc/at32f403a_407_usb.h | 7 +- .../drivers/inc/at32f413_usb.h | 7 +- bsp/at32/libraries/rt_drivers/SConscript | 9 + .../rt_drivers/config/f403a_407/usb_config.h | 69 + .../rt_drivers/config/f413/usb_config.h | 69 + .../rt_drivers/config/f415/usb_config.h | 95 ++ .../rt_drivers/config/f425/usb_config.h | 95 ++ .../rt_drivers/config/f435_437/usb_config.h | 135 ++ bsp/at32/libraries/rt_drivers/drv_config.h | 7 +- bsp/at32/libraries/rt_drivers/drv_usbd.c | 274 ++++ bsp/at32/libraries/rt_drivers/drv_usbd.h | 27 + bsp/at32/libraries/rt_drivers/drv_usbfs.h | 28 + bsp/at32/libraries/rt_drivers/drv_usbfsd.c | 311 +++++ bsp/at32/libraries/rt_drivers/drv_usbfsh.c | 406 ++++++ bsp/at32/libraries/usbd_library/SConscript | 19 + bsp/at32/libraries/usbd_library/inc/usb_std.h | 376 +++++ .../libraries/usbd_library/inc/usbd_core.h | 181 +++ .../libraries/usbd_library/inc/usbd_int.h | 72 + .../libraries/usbd_library/inc/usbd_sdr.h | 63 + .../libraries/usbd_library/src/usbd_core.c | 689 ++++++++++ .../libraries/usbd_library/src/usbd_int.c | 347 +++++ .../libraries/usbd_library/src/usbd_sdr.c | 533 +++++++ bsp/at32/libraries/usbfs_library/SConscript | 27 + .../libraries/usbfs_library/inc/usb_core.h | 122 ++ .../libraries/usbfs_library/inc/usb_std.h | 368 +++++ .../libraries/usbfs_library/inc/usbd_core.h | 176 +++ .../libraries/usbfs_library/inc/usbd_int.h | 85 ++ .../libraries/usbfs_library/inc/usbd_sdr.h | 65 + .../libraries/usbfs_library/inc/usbh_core.h | 367 +++++ .../libraries/usbfs_library/inc/usbh_ctrl.h | 98 ++ .../libraries/usbfs_library/inc/usbh_int.h | 71 + .../libraries/usbfs_library/src/usb_core.c | 171 +++ .../libraries/usbfs_library/src/usbd_core.c | 870 ++++++++++++ .../libraries/usbfs_library/src/usbd_int.c | 560 ++++++++ .../libraries/usbfs_library/src/usbd_sdr.c | 535 ++++++++ .../libraries/usbfs_library/src/usbh_core.c | 1222 +++++++++++++++++ .../libraries/usbfs_library/src/usbh_ctrl.c | 958 +++++++++++++ .../libraries/usbfs_library/src/usbh_int.c | 540 ++++++++ bsp/at32/tools/sdk_dist.py | 2 + 75 files changed, 10709 insertions(+), 10 deletions(-) create mode 100644 bsp/at32/libraries/rt_drivers/config/f403a_407/usb_config.h create mode 100644 bsp/at32/libraries/rt_drivers/config/f413/usb_config.h create mode 100644 bsp/at32/libraries/rt_drivers/config/f415/usb_config.h create mode 100644 bsp/at32/libraries/rt_drivers/config/f425/usb_config.h create mode 100644 bsp/at32/libraries/rt_drivers/config/f435_437/usb_config.h create mode 100644 bsp/at32/libraries/rt_drivers/drv_usbd.c create mode 100644 bsp/at32/libraries/rt_drivers/drv_usbd.h create mode 100644 bsp/at32/libraries/rt_drivers/drv_usbfs.h create mode 100644 bsp/at32/libraries/rt_drivers/drv_usbfsd.c create mode 100644 bsp/at32/libraries/rt_drivers/drv_usbfsh.c create mode 100644 bsp/at32/libraries/usbd_library/SConscript create mode 100644 bsp/at32/libraries/usbd_library/inc/usb_std.h create mode 100644 bsp/at32/libraries/usbd_library/inc/usbd_core.h create mode 100644 bsp/at32/libraries/usbd_library/inc/usbd_int.h create mode 100644 bsp/at32/libraries/usbd_library/inc/usbd_sdr.h create mode 100644 bsp/at32/libraries/usbd_library/src/usbd_core.c create mode 100644 bsp/at32/libraries/usbd_library/src/usbd_int.c create mode 100644 bsp/at32/libraries/usbd_library/src/usbd_sdr.c create mode 100644 bsp/at32/libraries/usbfs_library/SConscript create mode 100644 bsp/at32/libraries/usbfs_library/inc/usb_core.h create mode 100644 bsp/at32/libraries/usbfs_library/inc/usb_std.h create mode 100644 bsp/at32/libraries/usbfs_library/inc/usbd_core.h create mode 100644 bsp/at32/libraries/usbfs_library/inc/usbd_int.h create mode 100644 bsp/at32/libraries/usbfs_library/inc/usbd_sdr.h create mode 100644 bsp/at32/libraries/usbfs_library/inc/usbh_core.h create mode 100644 bsp/at32/libraries/usbfs_library/inc/usbh_ctrl.h create mode 100644 bsp/at32/libraries/usbfs_library/inc/usbh_int.h create mode 100644 bsp/at32/libraries/usbfs_library/src/usb_core.c create mode 100644 bsp/at32/libraries/usbfs_library/src/usbd_core.c create mode 100644 bsp/at32/libraries/usbfs_library/src/usbd_int.c create mode 100644 bsp/at32/libraries/usbfs_library/src/usbd_sdr.c create mode 100644 bsp/at32/libraries/usbfs_library/src/usbh_core.c create mode 100644 bsp/at32/libraries/usbfs_library/src/usbh_ctrl.c create mode 100644 bsp/at32/libraries/usbfs_library/src/usbh_int.c diff --git a/bsp/at32/at32f403a-start/README.md b/bsp/at32/at32f403a-start/README.md index 85cd0064c1..58c27d317c 100644 --- a/bsp/at32/at32f403a-start/README.md +++ b/bsp/at32/at32f403a-start/README.md @@ -50,6 +50,7 @@ AT32F403A-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | WDT | 支持 | | | RTC | 支持 | | | FLASH | 支持 | | +| USB | 支持 | | ### IO在板级支持包中的映射情况 @@ -94,6 +95,8 @@ AT32F403A-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | PB9 | CAN1_TX | | PB5 | CAN2_RX | | PB6 | CAN2_TX | +| PA11 | USB_DM | +| PA12 | USB_DP | ## 使用说明 diff --git a/bsp/at32/at32f403a-start/SConstruct b/bsp/at32/at32f403a-start/SConstruct index 6128df4d2d..7f4e5a1460 100644 --- a/bsp/at32/at32f403a-start/SConstruct +++ b/bsp/at32/at32f403a-start/SConstruct @@ -52,6 +52,9 @@ rtconfig.BSP_LIBRARY_TYPE = at32_library # include libraries objs.extend(SConscript(os.path.join(libraries_path_prefix, at32_library, 'SConscript'))) +# include usb libraries +objs.extend(SConscript(os.path.join(libraries_path_prefix, 'usbd_library', 'SConscript'))) + # include drivers objs.extend(SConscript(os.path.join(libraries_path_prefix, 'rt_drivers', 'SConscript'))) diff --git a/bsp/at32/at32f403a-start/board/Kconfig b/bsp/at32/at32f403a-start/board/Kconfig index 8ed97d64b6..fb1868c10b 100644 --- a/bsp/at32/at32f403a-start/board/Kconfig +++ b/bsp/at32/at32f403a-start/board/Kconfig @@ -26,6 +26,11 @@ menu "On-chip Peripheral Drivers" config BSP_USING_ON_CHIP_FLASH bool "Enable on-chip FLASH" + default n + + config BSP_USING_USBD + bool "Enable USB BUS" + select RT_USING_USB_DEVICE default n menuconfig BSP_USING_RTC diff --git a/bsp/at32/at32f403a-start/board/inc/at32_msp.h b/bsp/at32/at32f403a-start/board/inc/at32_msp.h index ba267a172c..8efd4dbe32 100644 --- a/bsp/at32/at32f403a-start/board/inc/at32_msp.h +++ b/bsp/at32/at32f403a-start/board/inc/at32_msp.h @@ -19,5 +19,6 @@ void at32_msp_sdio_init(void *instance); void at32_msp_adc_init(void *instance); void at32_msp_hwtmr_init(void *instance); void at32_msp_can_init(void *instance); +void at32_msp_usb_init(void *instance); #endif /* __AT32_MSP_H__ */ diff --git a/bsp/at32/at32f403a-start/board/src/at32_msp.c b/bsp/at32/at32f403a-start/board/src/at32_msp.c index c23aada25a..47a453f9a0 100644 --- a/bsp/at32/at32f403a-start/board/src/at32_msp.c +++ b/bsp/at32/at32f403a-start/board/src/at32_msp.c @@ -317,3 +317,69 @@ void at32_msp_can_init(void *instance) #endif } #endif /* BSP_USING_CAN */ + +#ifdef BSP_USING_USBD +void at32_msp_usb_init(void *instance) +{ + usb_clk48_s clk_s; + + /* default usb clock source from hick */ + clk_s = USB_CLK_HICK; + + /* enable usb clock */ + crm_periph_clock_enable(CRM_USB_PERIPH_CLOCK, TRUE); + + if(clk_s == USB_CLK_HICK) + { + crm_usb_clock_source_select(CRM_USB_CLOCK_SOURCE_HICK); + + /* enable the acc calibration ready interrupt */ + crm_periph_clock_enable(CRM_ACC_PERIPH_CLOCK, TRUE); + + /* update the c1\c2\c3 value */ + acc_write_c1(7980); + acc_write_c2(8000); + acc_write_c3(8020); + + /* open acc calibration */ + acc_calibration_mode_enable(ACC_CAL_HICKTRIM, TRUE); + } + else + { + switch(system_core_clock) + { + /* 48MHz */ + case 48000000: + crm_usb_clock_div_set(CRM_USB_DIV_1); + break; + /* 72MHz */ + case 72000000: + crm_usb_clock_div_set(CRM_USB_DIV_1_5); + break; + /* 96MHz */ + case 96000000: + crm_usb_clock_div_set(CRM_USB_DIV_2); + break; + /* 120MHz */ + case 120000000: + crm_usb_clock_div_set(CRM_USB_DIV_2_5); + break; + /* 144MHz */ + case 144000000: + crm_usb_clock_div_set(CRM_USB_DIV_3); + break; + /* 168MHz */ + case 168000000: + crm_usb_clock_div_set(CRM_USB_DIV_3_5); + break; + /* 192MHz */ + case 192000000: + crm_usb_clock_div_set(CRM_USB_DIV_4); + break; + default: + break; + } + } +} + +#endif /* BSP_USING_USBD */ diff --git a/bsp/at32/at32f407-start/README.md b/bsp/at32/at32f407-start/README.md index 30aab90451..a7fa92dd2d 100644 --- a/bsp/at32/at32f407-start/README.md +++ b/bsp/at32/at32f407-start/README.md @@ -51,6 +51,7 @@ AT32F407-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | RTC | 支持 | | | FLASH | 支持 | | | EMAC | 支持 | | +| USB | 支持 | | ### IO在板级支持包中的映射情况 @@ -102,6 +103,8 @@ AT32F407-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | PD9 | EMAC_RMII_RXD0 | | PD10 | EMAC_RMII_RXD1 | | PA1 | EMAC_RMII_REF_CLK | +| PA11 | USB_DM | +| PA12 | USB_DP | ## 使用说明 diff --git a/bsp/at32/at32f407-start/SConstruct b/bsp/at32/at32f407-start/SConstruct index 6128df4d2d..7f4e5a1460 100644 --- a/bsp/at32/at32f407-start/SConstruct +++ b/bsp/at32/at32f407-start/SConstruct @@ -52,6 +52,9 @@ rtconfig.BSP_LIBRARY_TYPE = at32_library # include libraries objs.extend(SConscript(os.path.join(libraries_path_prefix, at32_library, 'SConscript'))) +# include usb libraries +objs.extend(SConscript(os.path.join(libraries_path_prefix, 'usbd_library', 'SConscript'))) + # include drivers objs.extend(SConscript(os.path.join(libraries_path_prefix, 'rt_drivers', 'SConscript'))) diff --git a/bsp/at32/at32f407-start/board/Kconfig b/bsp/at32/at32f407-start/board/Kconfig index f3b44c094c..9c0cd20df0 100644 --- a/bsp/at32/at32f407-start/board/Kconfig +++ b/bsp/at32/at32f407-start/board/Kconfig @@ -45,6 +45,11 @@ menu "On-chip Peripheral Drivers" endchoice endif + config BSP_USING_USBD + bool "Enable USB BUS" + select RT_USING_USB_DEVICE + default n + menuconfig BSP_USING_RTC bool "Enable RTC" select RT_USING_RTC diff --git a/bsp/at32/at32f407-start/board/inc/at32_msp.h b/bsp/at32/at32f407-start/board/inc/at32_msp.h index 21673cb290..6e6141ab75 100644 --- a/bsp/at32/at32f407-start/board/inc/at32_msp.h +++ b/bsp/at32/at32f407-start/board/inc/at32_msp.h @@ -20,5 +20,6 @@ void at32_msp_adc_init(void *instance); void at32_msp_hwtmr_init(void *instance); void at32_msp_can_init(void *instance); void at32_msp_emac_init(void *instance); +void at32_msp_usb_init(void *instance); #endif /* __AT32_MSP_H__ */ diff --git a/bsp/at32/at32f407-start/board/src/at32_msp.c b/bsp/at32/at32f407-start/board/src/at32_msp.c index 927bce5bd5..b995ee8c64 100644 --- a/bsp/at32/at32f407-start/board/src/at32_msp.c +++ b/bsp/at32/at32f407-start/board/src/at32_msp.c @@ -358,3 +358,70 @@ void at32_msp_emac_init(void *instance) gpio_init(GPIOD, &gpio_init_struct); } #endif /* BSP_USING_EMAC */ + +#ifdef BSP_USING_USBD +void at32_msp_usb_init(void *instance) +{ + usb_clk48_s clk_s; + + /* default usb clock source from hick */ + clk_s = USB_CLK_HICK; + + /* enable usb clock */ + crm_periph_clock_enable(CRM_USB_PERIPH_CLOCK, TRUE); + + if(clk_s == USB_CLK_HICK) + { + crm_usb_clock_source_select(CRM_USB_CLOCK_SOURCE_HICK); + + /* enable the acc calibration ready interrupt */ + crm_periph_clock_enable(CRM_ACC_PERIPH_CLOCK, TRUE); + + /* update the c1\c2\c3 value */ + acc_write_c1(7980); + acc_write_c2(8000); + acc_write_c3(8020); + + /* open acc calibration */ + acc_calibration_mode_enable(ACC_CAL_HICKTRIM, TRUE); + } + else + { + switch(system_core_clock) + { + /* 48MHz */ + case 48000000: + crm_usb_clock_div_set(CRM_USB_DIV_1); + break; + /* 72MHz */ + case 72000000: + crm_usb_clock_div_set(CRM_USB_DIV_1_5); + break; + /* 96MHz */ + case 96000000: + crm_usb_clock_div_set(CRM_USB_DIV_2); + break; + /* 120MHz */ + case 120000000: + crm_usb_clock_div_set(CRM_USB_DIV_2_5); + break; + /* 144MHz */ + case 144000000: + crm_usb_clock_div_set(CRM_USB_DIV_3); + break; + /* 168MHz */ + case 168000000: + crm_usb_clock_div_set(CRM_USB_DIV_3_5); + break; + /* 192MHz */ + case 192000000: + crm_usb_clock_div_set(CRM_USB_DIV_4); + break; + default: + break; + } + } +} + +#endif /* BSP_USING_USBD */ + diff --git a/bsp/at32/at32f413-start/README.md b/bsp/at32/at32f413-start/README.md index b3f9948a1f..d8bfd68310 100644 --- a/bsp/at32/at32f413-start/README.md +++ b/bsp/at32/at32f413-start/README.md @@ -50,6 +50,7 @@ AT32F413-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | WDT | 支持 | | | RTC | 支持 | | | FLASH | 支持 | | +| USB | 支持 | | ### IO在板级支持包中的映射情况 @@ -94,6 +95,8 @@ AT32F413-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | PB9 | CAN1_TX | | PB5 | CAN2_RX | | PB6 | CAN2_TX | +| PA11 | USB_DM | +| PA12 | USB_DP | ## 使用说明 diff --git a/bsp/at32/at32f413-start/SConscript b/bsp/at32/at32f413-start/SConscript index fe0ae941ae..d7ad2b45f8 100644 --- a/bsp/at32/at32f413-start/SConscript +++ b/bsp/at32/at32f413-start/SConscript @@ -1,11 +1,11 @@ # for module compiling +from building import * import os -Import('RTT_ROOT') -cwd = str(Dir('#')) +cwd = GetCurrentDir() objs = [] -list = os.listdir(cwd) +list = os.listdir(cwd) for d in list: path = os.path.join(cwd, d) if os.path.isfile(os.path.join(path, 'SConscript')): diff --git a/bsp/at32/at32f413-start/SConstruct b/bsp/at32/at32f413-start/SConstruct index 188f212186..d52169c980 100644 --- a/bsp/at32/at32f413-start/SConstruct +++ b/bsp/at32/at32f413-start/SConstruct @@ -52,6 +52,9 @@ rtconfig.BSP_LIBRARY_TYPE = at32_library # include libraries objs.extend(SConscript(os.path.join(libraries_path_prefix, at32_library, 'SConscript'))) +# include usb libraries +objs.extend(SConscript(os.path.join(libraries_path_prefix, 'usbd_library', 'SConscript'))) + # include drivers objs.extend(SConscript(os.path.join(libraries_path_prefix, 'rt_drivers', 'SConscript'))) diff --git a/bsp/at32/at32f413-start/board/Kconfig b/bsp/at32/at32f413-start/board/Kconfig index ef44a2848f..f991f7c915 100644 --- a/bsp/at32/at32f413-start/board/Kconfig +++ b/bsp/at32/at32f413-start/board/Kconfig @@ -26,6 +26,11 @@ menu "On-chip Peripheral Drivers" config BSP_USING_ON_CHIP_FLASH bool "Enable on-chip FLASH" + default n + + config BSP_USING_USBD + bool "Enable USB BUS" + select RT_USING_USB_DEVICE default n menuconfig BSP_USING_RTC diff --git a/bsp/at32/at32f413-start/board/inc/at32_msp.h b/bsp/at32/at32f413-start/board/inc/at32_msp.h index ba267a172c..8efd4dbe32 100644 --- a/bsp/at32/at32f413-start/board/inc/at32_msp.h +++ b/bsp/at32/at32f413-start/board/inc/at32_msp.h @@ -19,5 +19,6 @@ void at32_msp_sdio_init(void *instance); void at32_msp_adc_init(void *instance); void at32_msp_hwtmr_init(void *instance); void at32_msp_can_init(void *instance); +void at32_msp_usb_init(void *instance); #endif /* __AT32_MSP_H__ */ diff --git a/bsp/at32/at32f413-start/board/src/at32_msp.c b/bsp/at32/at32f413-start/board/src/at32_msp.c index 81da4c8be4..a2e137a632 100644 --- a/bsp/at32/at32f413-start/board/src/at32_msp.c +++ b/bsp/at32/at32f413-start/board/src/at32_msp.c @@ -317,3 +317,69 @@ void at32_msp_can_init(void *instance) #endif } #endif /* BSP_USING_CAN */ + +#ifdef BSP_USING_USBD +void at32_msp_usb_init(void *instance) +{ + usb_clk48_s clk_s; + + /* default usb clock source from hick */ + clk_s = USB_CLK_HICK; + + /* enable usb clock */ + crm_periph_clock_enable(CRM_USB_PERIPH_CLOCK, TRUE); + + if(clk_s == USB_CLK_HICK) + { + crm_usb_clock_source_select(CRM_USB_CLOCK_SOURCE_HICK); + + /* enable the acc calibration ready interrupt */ + crm_periph_clock_enable(CRM_ACC_PERIPH_CLOCK, TRUE); + + /* update the c1\c2\c3 value */ + acc_write_c1(7980); + acc_write_c2(8000); + acc_write_c3(8020); + + /* open acc calibration */ + acc_calibration_mode_enable(ACC_CAL_HICKTRIM, TRUE); + } + else + { + switch(system_core_clock) + { + /* 48MHz */ + case 48000000: + crm_usb_clock_div_set(CRM_USB_DIV_1); + break; + /* 72MHz */ + case 72000000: + crm_usb_clock_div_set(CRM_USB_DIV_1_5); + break; + /* 96MHz */ + case 96000000: + crm_usb_clock_div_set(CRM_USB_DIV_2); + break; + /* 120MHz */ + case 120000000: + crm_usb_clock_div_set(CRM_USB_DIV_2_5); + break; + /* 144MHz */ + case 144000000: + crm_usb_clock_div_set(CRM_USB_DIV_3); + break; + /* 168MHz */ + case 168000000: + crm_usb_clock_div_set(CRM_USB_DIV_3_5); + break; + /* 192MHz */ + case 192000000: + crm_usb_clock_div_set(CRM_USB_DIV_4); + break; + default: + break; + } + } +} + +#endif /* BSP_USING_USBD */ diff --git a/bsp/at32/at32f415-start/README.md b/bsp/at32/at32f415-start/README.md index e3fb616c03..2727ce40b4 100644 --- a/bsp/at32/at32f415-start/README.md +++ b/bsp/at32/at32f415-start/README.md @@ -50,6 +50,7 @@ AT32F415-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | WDT | 支持 | | | RTC | 支持 | | | FLASH | 支持 | | +| USB | 支持 | | ### IO在板级支持包中的映射情况 @@ -92,6 +93,8 @@ AT32F415-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | PC5 | ADC1_IN15 | | PB8 | CAN1_RX | | PB9 | CAN1_TX | +| PA11 | USB_DM | +| PA12 | USB_DP | ## 使用说明 diff --git a/bsp/at32/at32f415-start/SConstruct b/bsp/at32/at32f415-start/SConstruct index 25ab5b6f2d..2fd575c290 100644 --- a/bsp/at32/at32f415-start/SConstruct +++ b/bsp/at32/at32f415-start/SConstruct @@ -52,6 +52,9 @@ rtconfig.BSP_LIBRARY_TYPE = at32_library # include libraries objs.extend(SConscript(os.path.join(libraries_path_prefix, at32_library, 'SConscript'))) +# include usb libraries +objs.extend(SConscript(os.path.join(libraries_path_prefix, 'usbfs_library', 'SConscript'))) + # include drivers objs.extend(SConscript(os.path.join(libraries_path_prefix, 'rt_drivers', 'SConscript'))) diff --git a/bsp/at32/at32f415-start/board/Kconfig b/bsp/at32/at32f415-start/board/Kconfig index a33314f349..db26d9c74c 100644 --- a/bsp/at32/at32f415-start/board/Kconfig +++ b/bsp/at32/at32f415-start/board/Kconfig @@ -26,7 +26,27 @@ menu "On-chip Peripheral Drivers" config BSP_USING_ON_CHIP_FLASH bool "Enable on-chip FLASH" + default n + + menuconfig BSP_USING_USBFS + bool "Enable USB BUS" default n + if BSP_USING_USBFS + menuconfig BSP_USING_USBFS1 + bool "Enable USB BUS1" + default n + if BSP_USING_USBFS1 + config BSP_USING_DEVICE_USBFS1 + bool "Enable USB1 Deivce" + select RT_USING_USB_DEVICE + default n + + config BSP_USING_HOST_USBFS1 + bool "Enable USB1 Host" + select RT_USING_USB_HOST + default n + endif + endif menuconfig BSP_USING_RTC bool "Enable RTC" diff --git a/bsp/at32/at32f415-start/board/inc/at32_msp.h b/bsp/at32/at32f415-start/board/inc/at32_msp.h index ba267a172c..8efd4dbe32 100644 --- a/bsp/at32/at32f415-start/board/inc/at32_msp.h +++ b/bsp/at32/at32f415-start/board/inc/at32_msp.h @@ -19,5 +19,6 @@ void at32_msp_sdio_init(void *instance); void at32_msp_adc_init(void *instance); void at32_msp_hwtmr_init(void *instance); void at32_msp_can_init(void *instance); +void at32_msp_usb_init(void *instance); #endif /* __AT32_MSP_H__ */ diff --git a/bsp/at32/at32f415-start/board/src/at32_msp.c b/bsp/at32/at32f415-start/board/src/at32_msp.c index e8515a00af..a72fc80e06 100644 --- a/bsp/at32/at32f415-start/board/src/at32_msp.c +++ b/bsp/at32/at32f415-start/board/src/at32_msp.c @@ -283,3 +283,43 @@ void at32_msp_can_init(void *instance) #endif } #endif /* BSP_USING_CAN */ + +#ifdef BSP_USING_USBFS +void at32_msp_usb_init(void *instance) +{ + /* enable usb clock */ + crm_periph_clock_enable(CRM_OTGFS1_PERIPH_CLOCK, TRUE); + + switch(system_core_clock) + { + /* 48MHz */ + case 48000000: + crm_usb_clock_div_set(CRM_USB_DIV_1); + break; + + /* 72MHz */ + case 72000000: + crm_usb_clock_div_set(CRM_USB_DIV_1_5); + break; + + /* 96MHz */ + case 96000000: + crm_usb_clock_div_set(CRM_USB_DIV_2); + break; + + /* 120MHz */ + case 120000000: + crm_usb_clock_div_set(CRM_USB_DIV_2_5); + break; + + /* 144MHz */ + case 144000000: + crm_usb_clock_div_set(CRM_USB_DIV_3); + break; + + default: + break; + } +} + +#endif /* BSP_USING_USBFS */ diff --git a/bsp/at32/at32f425-start/README.md b/bsp/at32/at32f425-start/README.md index 94b928a4bc..d03cd087fe 100644 --- a/bsp/at32/at32f425-start/README.md +++ b/bsp/at32/at32f425-start/README.md @@ -49,6 +49,7 @@ AT32F425-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | WDT | 支持 | | | RTC | 支持 | | | FLASH | 支持 | | +| USB | 支持 | | ### IO在板级支持包中的映射情况 @@ -85,6 +86,8 @@ AT32F425-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | PC5 | ADC1_IN15 | | PB8 | CAN1_RX | | PB9 | CAN1_TX | +| PA11 | USB_DM | +| PA12 | USB_DP | ## 使用说明 diff --git a/bsp/at32/at32f425-start/SConstruct b/bsp/at32/at32f425-start/SConstruct index 24bc4971ea..60560a95cd 100644 --- a/bsp/at32/at32f425-start/SConstruct +++ b/bsp/at32/at32f425-start/SConstruct @@ -52,6 +52,9 @@ rtconfig.BSP_LIBRARY_TYPE = at32_library # include libraries objs.extend(SConscript(os.path.join(libraries_path_prefix, at32_library, 'SConscript'))) +# include usb libraries +objs.extend(SConscript(os.path.join(libraries_path_prefix, 'usbfs_library', 'SConscript'))) + # include drivers objs.extend(SConscript(os.path.join(libraries_path_prefix, 'rt_drivers', 'SConscript'))) diff --git a/bsp/at32/at32f425-start/board/Kconfig b/bsp/at32/at32f425-start/board/Kconfig index 9622ae9b8d..97f33b9419 100644 --- a/bsp/at32/at32f425-start/board/Kconfig +++ b/bsp/at32/at32f425-start/board/Kconfig @@ -26,7 +26,27 @@ menu "On-chip Peripheral Drivers" config BSP_USING_ON_CHIP_FLASH bool "Enable on-chip FLASH" + default n + + menuconfig BSP_USING_USBFS + bool "Enable USB BUS" default n + if BSP_USING_USBFS + menuconfig BSP_USING_USBFS1 + bool "Enable USB BUS1" + default n + if BSP_USING_USBFS1 + config BSP_USING_DEVICE_USBFS1 + bool "Enable USB1 Deivce" + select RT_USING_USB_DEVICE + default n + + config BSP_USING_HOST_USBFS1 + bool "Enable USB1 Host" + select RT_USING_USB_HOST + default n + endif + endif menuconfig BSP_USING_RTC bool "Enable RTC" diff --git a/bsp/at32/at32f425-start/board/inc/at32_msp.h b/bsp/at32/at32f425-start/board/inc/at32_msp.h index b3679d0c9a..c2eb468175 100644 --- a/bsp/at32/at32f425-start/board/inc/at32_msp.h +++ b/bsp/at32/at32f425-start/board/inc/at32_msp.h @@ -17,5 +17,6 @@ void at32_msp_tmr_init(void *instance); void at32_msp_adc_init(void *instance); void at32_msp_hwtmr_init(void *instance); void at32_msp_can_init(void *instance); +void at32_msp_usb_init(void *instance); #endif /* __AT32_MSP_H__ */ diff --git a/bsp/at32/at32f425-start/board/src/at32_msp.c b/bsp/at32/at32f425-start/board/src/at32_msp.c index 04aa73ceca..3fb1ea93fd 100644 --- a/bsp/at32/at32f425-start/board/src/at32_msp.c +++ b/bsp/at32/at32f425-start/board/src/at32_msp.c @@ -247,3 +247,53 @@ void at32_msp_can_init(void *instance) #endif } #endif /* BSP_USING_CAN */ + +#ifdef BSP_USING_USBFS +void at32_msp_usb_init(void *instance) +{ + /* defalut usb clock from hext */ + usb_clk48_s clk_s = USB_CLK_HICK; + + crm_periph_clock_enable(CRM_OTGFS1_PERIPH_CLOCK, TRUE); + + if(clk_s == USB_CLK_HICK) + { + crm_usb_clock_source_select(CRM_USB_CLOCK_SOURCE_HICK); + + /* enable the acc calibration ready interrupt */ + crm_periph_clock_enable(CRM_ACC_PERIPH_CLOCK, TRUE); + + /* update the c1\c2\c3 value */ + acc_write_c1(7980); + acc_write_c2(8000); + acc_write_c3(8020); + + /* open acc calibration */ + acc_calibration_mode_enable(ACC_CAL_HICKTRIM, TRUE); + } + else + { + switch(system_core_clock) + { + /* 48MHz */ + case 48000000: + crm_usb_clock_div_set(CRM_USB_DIV_1); + break; + + /* 72MHz */ + case 72000000: + crm_usb_clock_div_set(CRM_USB_DIV_1_5); + break; + + /* 96MHz */ + case 96000000: + crm_usb_clock_div_set(CRM_USB_DIV_2); + break; + + default: + break; + } + } +} + +#endif /* BSP_USING_USBFS */ diff --git a/bsp/at32/at32f435-start/README.md b/bsp/at32/at32f435-start/README.md index db5099ddb7..7190a913bf 100644 --- a/bsp/at32/at32f435-start/README.md +++ b/bsp/at32/at32f435-start/README.md @@ -52,6 +52,7 @@ AT32F437-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | RTC | 支持 | | | FLASH | 支持 | | | SDRAM | 支持 | | +| USB | 支持 | | ### IO在板级支持包中的映射情况 @@ -108,6 +109,10 @@ AT32F437-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | PE10 | QSPI2_IO3 | | PG13 | QSPI2_SCK | | PG8 | QSPI2_CS | +| PA11 | USB1_DM | +| PA12 | USB1_DP | +| PB14 | USB2_DM | +| PB15 | USB2_DP | ## 使用说明 diff --git a/bsp/at32/at32f435-start/SConstruct b/bsp/at32/at32f435-start/SConstruct index 08b2117a9b..06dc169672 100644 --- a/bsp/at32/at32f435-start/SConstruct +++ b/bsp/at32/at32f435-start/SConstruct @@ -52,6 +52,9 @@ rtconfig.BSP_LIBRARY_TYPE = at32_library # include libraries objs.extend(SConscript(os.path.join(libraries_path_prefix, at32_library, 'SConscript'))) +# include usb libraries +objs.extend(SConscript(os.path.join(libraries_path_prefix, 'usbfs_library', 'SConscript'))) + # include drivers objs.extend(SConscript(os.path.join(libraries_path_prefix, 'rt_drivers', 'SConscript'))) diff --git a/bsp/at32/at32f435-start/board/Kconfig b/bsp/at32/at32f435-start/board/Kconfig index 3d988fbd5a..798ba0eb5a 100644 --- a/bsp/at32/at32f435-start/board/Kconfig +++ b/bsp/at32/at32f435-start/board/Kconfig @@ -30,7 +30,42 @@ menu "On-chip Peripheral Drivers" config BSP_USING_ON_CHIP_FLASH bool "Enable on-chip FLASH" + default n + + menuconfig BSP_USING_USBFS + bool "Enable USB BUS" default n + if BSP_USING_USBFS + menuconfig BSP_USING_USBFS1 + bool "Enable USB BUS1" + default n + if BSP_USING_USBFS1 + config BSP_USING_DEVICE_USBFS1 + bool "Enable USB1 Deivce" + select RT_USING_USB_DEVICE + default n + + config BSP_USING_HOST_USBFS1 + bool "Enable USB1 Host" + select RT_USING_USB_HOST + default n + endif + + menuconfig BSP_USING_USBFS2 + bool "Enable USB BUS2" + default n + if BSP_USING_USBFS2 + config BSP_USING_DEVICE_USBFS2 + bool "Enable USB2 Deivce" + select RT_USING_USB_DEVICE + default n + + config BSP_USING_HOST_USBFS2 + bool "Enable USB2 Host" + select RT_USING_USB_HOST + default n + endif + endif menuconfig BSP_USING_QSPI bool "Enable QSPI BUS" diff --git a/bsp/at32/at32f435-start/board/inc/at32_msp.h b/bsp/at32/at32f435-start/board/inc/at32_msp.h index 05731ed1d8..8f80726c83 100644 --- a/bsp/at32/at32f435-start/board/inc/at32_msp.h +++ b/bsp/at32/at32f435-start/board/inc/at32_msp.h @@ -21,5 +21,6 @@ void at32_msp_hwtmr_init(void *instance); void at32_msp_can_init(void *instance); void at32_msp_qspi_init(void *instance); void at32_msp_sdram_init(void *instance); +void at32_msp_usb_init(void *instance); #endif /* __AT32_MSP_H__ */ diff --git a/bsp/at32/at32f435-start/board/src/at32_msp.c b/bsp/at32/at32f435-start/board/src/at32_msp.c index 19f46b27fd..d8f0fa6ebf 100644 --- a/bsp/at32/at32f435-start/board/src/at32_msp.c +++ b/bsp/at32/at32f435-start/board/src/at32_msp.c @@ -477,3 +477,98 @@ void at32_msp_sdram_init(void *instance) } #endif /* BSP_USING_SDRAM */ + +#ifdef BSP_USING_USBFS +void at32_msp_usb_init(void *instance) +{ + /* defalut usb clock from hick */ + usb_clk48_s clk_s = USB_CLK_HICK; + +#if defined (BSP_USING_HOST_USBFS1) || defined (BSP_USING_DEVICE_USBFS1) + crm_periph_clock_enable(CRM_OTGFS1_PERIPH_CLOCK, TRUE); +#endif + +#if defined (BSP_USING_HOST_USBFS2) || defined (BSP_USING_DEVICE_USBFS2) + crm_periph_clock_enable(CRM_OTGFS2_PERIPH_CLOCK, TRUE); +#endif + + if(clk_s == USB_CLK_HICK) + { + crm_usb_clock_source_select(CRM_USB_CLOCK_SOURCE_HICK); + /* enable the acc calibration ready interrupt */ + crm_periph_clock_enable(CRM_ACC_PERIPH_CLOCK, TRUE); + + /* update the c1\c2\c3 value */ + acc_write_c1(7980); + acc_write_c2(8000); + acc_write_c3(8020); + + /* open acc calibration */ + acc_calibration_mode_enable(ACC_CAL_HICKTRIM, TRUE); + } + else + { + switch(system_core_clock) + { + /* 48MHz */ + case 48000000: + crm_usb_clock_div_set(CRM_USB_DIV_1); + break; + + /* 72MHz */ + case 72000000: + crm_usb_clock_div_set(CRM_USB_DIV_1_5); + break; + + /* 96MHz */ + case 96000000: + crm_usb_clock_div_set(CRM_USB_DIV_2); + break; + + /* 120MHz */ + case 120000000: + crm_usb_clock_div_set(CRM_USB_DIV_2_5); + break; + + /* 144MHz */ + case 144000000: + crm_usb_clock_div_set(CRM_USB_DIV_3); + break; + + /* 168MHz */ + case 168000000: + crm_usb_clock_div_set(CRM_USB_DIV_3_5); + break; + + /* 192MHz */ + case 192000000: + crm_usb_clock_div_set(CRM_USB_DIV_4); + break; + + /* 216MHz */ + case 216000000: + crm_usb_clock_div_set(CRM_USB_DIV_4_5); + break; + + /* 240MHz */ + case 240000000: + crm_usb_clock_div_set(CRM_USB_DIV_5); + break; + + /* 264MHz */ + case 264000000: + crm_usb_clock_div_set(CRM_USB_DIV_5_5); + break; + + /* 288MHz */ + case 288000000: + crm_usb_clock_div_set(CRM_USB_DIV_6); + break; + + default: + break; + } + } +} + +#endif /* BSP_USING_USBFS */ diff --git a/bsp/at32/at32f437-start/README.md b/bsp/at32/at32f437-start/README.md index dabd8e801e..cd94426fbb 100644 --- a/bsp/at32/at32f437-start/README.md +++ b/bsp/at32/at32f437-start/README.md @@ -53,6 +53,7 @@ AT32F437-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | FLASH | 支持 | | | EMAC | 支持 | | | SDRAM | 支持 | | +| USB | 支持 | | ### IO在板级支持包中的映射情况 @@ -116,6 +117,10 @@ AT32F437-START板级包支持MDK4﹑MDK5﹑IAR开发环境和GCC编译器,以 | PE10 | QSPI2_IO3 | | PG13 | QSPI2_SCK | | PG8 | QSPI2_CS | +| PA11 | USB1_DM | +| PA12 | USB1_DP | +| PB14 | USB2_DM | +| PB15 | USB2_DP | ## 使用说明 diff --git a/bsp/at32/at32f437-start/SConstruct b/bsp/at32/at32f437-start/SConstruct index 08b2117a9b..06dc169672 100644 --- a/bsp/at32/at32f437-start/SConstruct +++ b/bsp/at32/at32f437-start/SConstruct @@ -52,6 +52,9 @@ rtconfig.BSP_LIBRARY_TYPE = at32_library # include libraries objs.extend(SConscript(os.path.join(libraries_path_prefix, at32_library, 'SConscript'))) +# include usb libraries +objs.extend(SConscript(os.path.join(libraries_path_prefix, 'usbfs_library', 'SConscript'))) + # include drivers objs.extend(SConscript(os.path.join(libraries_path_prefix, 'rt_drivers', 'SConscript'))) diff --git a/bsp/at32/at32f437-start/board/Kconfig b/bsp/at32/at32f437-start/board/Kconfig index ed7ceb3285..d4197775de 100644 --- a/bsp/at32/at32f437-start/board/Kconfig +++ b/bsp/at32/at32f437-start/board/Kconfig @@ -32,6 +32,41 @@ menu "On-chip Peripheral Drivers" bool "Enable on-chip FLASH" default n + menuconfig BSP_USING_USBFS + bool "Enable USB BUS" + default n + if BSP_USING_USBFS + menuconfig BSP_USING_USBFS1 + bool "Enable USB BUS1" + default n + if BSP_USING_USBFS1 + config BSP_USING_DEVICE_USBFS1 + bool "Enable USB1 Deivce" + select RT_USING_USB_DEVICE + default n + + config BSP_USING_HOST_USBFS1 + bool "Enable USB1 Host" + select RT_USING_USB_HOST + default n + endif + + menuconfig BSP_USING_USBFS2 + bool "Enable USB BUS2" + default n + if BSP_USING_USBFS2 + config BSP_USING_DEVICE_USBFS2 + bool "Enable USB2 Deivce" + select RT_USING_USB_DEVICE + default n + + config BSP_USING_HOST_USBFS2 + bool "Enable USB2 Host" + select RT_USING_USB_HOST + default n + endif + endif + config BSP_USING_EMAC bool "Enable Ethernet" default n diff --git a/bsp/at32/at32f437-start/board/inc/at32_msp.h b/bsp/at32/at32f437-start/board/inc/at32_msp.h index ed63a788a3..0233cea424 100644 --- a/bsp/at32/at32f437-start/board/inc/at32_msp.h +++ b/bsp/at32/at32f437-start/board/inc/at32_msp.h @@ -22,5 +22,6 @@ void at32_msp_can_init(void *instance); void at32_msp_qspi_init(void *instance); void at32_msp_sdram_init(void *instance); void at32_msp_emac_init(void *instance); +void at32_msp_usb_init(void *instance); #endif /* __AT32_MSP_H__ */ diff --git a/bsp/at32/at32f437-start/board/src/at32_msp.c b/bsp/at32/at32f437-start/board/src/at32_msp.c index 171e0500f7..40b45db7dc 100644 --- a/bsp/at32/at32f437-start/board/src/at32_msp.c +++ b/bsp/at32/at32f437-start/board/src/at32_msp.c @@ -517,3 +517,98 @@ void at32_msp_sdram_init(void *instance) } #endif /* BSP_USING_SDRAM */ + +#ifdef BSP_USING_USBFS +void at32_msp_usb_init(void *instance) +{ + /* defalut usb clock from hick */ + usb_clk48_s clk_s = USB_CLK_HICK; + +#if defined (BSP_USING_HOST_USBFS1) || defined (BSP_USING_DEVICE_USBFS1) + crm_periph_clock_enable(CRM_OTGFS1_PERIPH_CLOCK, TRUE); +#endif + +#if defined (BSP_USING_HOST_USBFS2) || defined (BSP_USING_DEVICE_USBFS2) + crm_periph_clock_enable(CRM_OTGFS2_PERIPH_CLOCK, TRUE); +#endif + + if(clk_s == USB_CLK_HICK) + { + crm_usb_clock_source_select(CRM_USB_CLOCK_SOURCE_HICK); + /* enable the acc calibration ready interrupt */ + crm_periph_clock_enable(CRM_ACC_PERIPH_CLOCK, TRUE); + + /* update the c1\c2\c3 value */ + acc_write_c1(7980); + acc_write_c2(8000); + acc_write_c3(8020); + + /* open acc calibration */ + acc_calibration_mode_enable(ACC_CAL_HICKTRIM, TRUE); + } + else + { + switch(system_core_clock) + { + /* 48MHz */ + case 48000000: + crm_usb_clock_div_set(CRM_USB_DIV_1); + break; + + /* 72MHz */ + case 72000000: + crm_usb_clock_div_set(CRM_USB_DIV_1_5); + break; + + /* 96MHz */ + case 96000000: + crm_usb_clock_div_set(CRM_USB_DIV_2); + break; + + /* 120MHz */ + case 120000000: + crm_usb_clock_div_set(CRM_USB_DIV_2_5); + break; + + /* 144MHz */ + case 144000000: + crm_usb_clock_div_set(CRM_USB_DIV_3); + break; + + /* 168MHz */ + case 168000000: + crm_usb_clock_div_set(CRM_USB_DIV_3_5); + break; + + /* 192MHz */ + case 192000000: + crm_usb_clock_div_set(CRM_USB_DIV_4); + break; + + /* 216MHz */ + case 216000000: + crm_usb_clock_div_set(CRM_USB_DIV_4_5); + break; + + /* 240MHz */ + case 240000000: + crm_usb_clock_div_set(CRM_USB_DIV_5); + break; + + /* 264MHz */ + case 264000000: + crm_usb_clock_div_set(CRM_USB_DIV_5_5); + break; + + /* 288MHz */ + case 288000000: + crm_usb_clock_div_set(CRM_USB_DIV_6); + break; + + default: + break; + } + } +} + +#endif /* BSP_USING_USBFS */ diff --git a/bsp/at32/libraries/AT32F403A_407_Firmware_Library/drivers/inc/at32f403a_407_usb.h b/bsp/at32/libraries/AT32F403A_407_Firmware_Library/drivers/inc/at32f403a_407_usb.h index f52d3e85db..21431c6eef 100644 --- a/bsp/at32/libraries/AT32F403A_407_Firmware_Library/drivers/inc/at32f403a_407_usb.h +++ b/bsp/at32/libraries/AT32F403A_407_Firmware_Library/drivers/inc/at32f403a_407_usb.h @@ -195,15 +195,16 @@ typedef enum #ifndef USB_EPT_MAX_NUM #define USB_EPT_MAX_NUM 8 /*!< usb device support endpoint number */ #endif + /** * @brief endpoint transfer type define */ typedef enum { EPT_CONTROL_TYPE = 0x00, /*!< usb transfer type control */ - EPT_BULK_TYPE = 0x01, /*!< usb transfer type bulk */ - EPT_INT_TYPE = 0x02, /*!< usb transfer type interrut */ - EPT_ISO_TYPE = 0x03 /*!< usb transfer type iso */ + EPT_ISO_TYPE = 0x01, /*!< usb transfer type iso */ + EPT_BULK_TYPE = 0x02, /*!< usb transfer type bulk */ + EPT_INT_TYPE = 0x03 /*!< usb transfer type interrupt */ }ept_trans_type; /** diff --git a/bsp/at32/libraries/AT32F413_Firmware_Library/drivers/inc/at32f413_usb.h b/bsp/at32/libraries/AT32F413_Firmware_Library/drivers/inc/at32f413_usb.h index d1b1053240..96232951d6 100644 --- a/bsp/at32/libraries/AT32F413_Firmware_Library/drivers/inc/at32f413_usb.h +++ b/bsp/at32/libraries/AT32F413_Firmware_Library/drivers/inc/at32f413_usb.h @@ -195,15 +195,16 @@ typedef enum #ifndef USB_EPT_MAX_NUM #define USB_EPT_MAX_NUM 8 /*!< usb device support endpoint number */ #endif + /** * @brief endpoint transfer type define */ typedef enum { EPT_CONTROL_TYPE = 0x00, /*!< usb transfer type control */ - EPT_BULK_TYPE = 0x01, /*!< usb transfer type bulk */ - EPT_INT_TYPE = 0x02, /*!< usb transfer type interrut */ - EPT_ISO_TYPE = 0x03 /*!< usb transfer type iso */ + EPT_ISO_TYPE = 0x01, /*!< usb transfer type iso */ + EPT_BULK_TYPE = 0x02, /*!< usb transfer type bulk */ + EPT_INT_TYPE = 0x03 /*!< usb transfer type interrupt */ }ept_trans_type; /** diff --git a/bsp/at32/libraries/rt_drivers/SConscript b/bsp/at32/libraries/rt_drivers/SConscript index 76a606373d..434174e117 100644 --- a/bsp/at32/libraries/rt_drivers/SConscript +++ b/bsp/at32/libraries/rt_drivers/SConscript @@ -62,6 +62,15 @@ if GetDepend(['BSP_USING_CAN']): if GetDepend(['BSP_USING_SDIO']): src += ['drv_sdio.c'] +if GetDepend(['BSP_USING_USBD']): + src += ['drv_usbd.c'] + +if GetDepend(['BSP_USING_DEVICE_USBFS1']) or GetDepend(['BSP_USING_DEVICE_USBFS2']): + src += ['drv_usbfsd.c'] + +if GetDepend(['BSP_USING_HOST_USBFS1']) or GetDepend(['BSP_USING_HOST_USBFS2']): + src += ['drv_usbfsh.c'] + path = [cwd] path += [cwd + '/config'] diff --git a/bsp/at32/libraries/rt_drivers/config/f403a_407/usb_config.h b/bsp/at32/libraries/rt_drivers/config/f403a_407/usb_config.h new file mode 100644 index 0000000000..6c7e8fcabc --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/config/f403a_407/usb_config.h @@ -0,0 +1,69 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 leo first version + */ + +#ifndef __USB_CONFIG_H__ +#define __USB_CONFIG_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/* usb irqhandler */ +#define USB_IRQHandler USBFS_L_CAN1_RX0_IRQHandler + +/** + * @brief usb endpoint number + */ +#define USB_EPT_MAX_NUM 8 + +/** + * @brief auto malloc usb endpoint buffer + */ +#define USB_EPT_AUTO_MALLOC_BUFFER + +#ifndef USB_EPT_AUTO_MALLOC_BUFFER +#define EPT0_TX_ADDR 0x40 +#define EPT0_RX_ADDR 0x80 +#define EPT1_TX_ADDR 0xC0 +#define EPT1_RX_ADDR 0x100 +#define EPT2_TX_ADDR 0x140 +#define EPT2_RX_ADDR 0x180 +#define EPT3_TX_ADDR 0x00 +#define EPT3_RX_ADDR 0x00 +#define EPT4_TX_ADDR 0x00 +#define EPT4_RX_ADDR 0x00 +#define EPT5_TX_ADDR 0x00 +#define EPT5_RX_ADDR 0x00 +#define EPT6_TX_ADDR 0x00 +#define EPT6_RX_ADDR 0x00 +#define EPT7_TX_ADDR 0x00 +#define EPT7_RX_ADDR 0x00 +#endif + +/** + * @brief usb low power wakeup handler enable + */ +#define USB_LOW_POWER_WAKUP + +#if defined(BSP_USING_USBD) +#define USBD_CONFIG \ + { \ + .name = "usbd", \ + .irqn = USBFS_L_CAN1_RX0_IRQn, \ + } +#endif /* BSP_USING_USBD */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/bsp/at32/libraries/rt_drivers/config/f413/usb_config.h b/bsp/at32/libraries/rt_drivers/config/f413/usb_config.h new file mode 100644 index 0000000000..6c7e8fcabc --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/config/f413/usb_config.h @@ -0,0 +1,69 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 leo first version + */ + +#ifndef __USB_CONFIG_H__ +#define __USB_CONFIG_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/* usb irqhandler */ +#define USB_IRQHandler USBFS_L_CAN1_RX0_IRQHandler + +/** + * @brief usb endpoint number + */ +#define USB_EPT_MAX_NUM 8 + +/** + * @brief auto malloc usb endpoint buffer + */ +#define USB_EPT_AUTO_MALLOC_BUFFER + +#ifndef USB_EPT_AUTO_MALLOC_BUFFER +#define EPT0_TX_ADDR 0x40 +#define EPT0_RX_ADDR 0x80 +#define EPT1_TX_ADDR 0xC0 +#define EPT1_RX_ADDR 0x100 +#define EPT2_TX_ADDR 0x140 +#define EPT2_RX_ADDR 0x180 +#define EPT3_TX_ADDR 0x00 +#define EPT3_RX_ADDR 0x00 +#define EPT4_TX_ADDR 0x00 +#define EPT4_RX_ADDR 0x00 +#define EPT5_TX_ADDR 0x00 +#define EPT5_RX_ADDR 0x00 +#define EPT6_TX_ADDR 0x00 +#define EPT6_RX_ADDR 0x00 +#define EPT7_TX_ADDR 0x00 +#define EPT7_RX_ADDR 0x00 +#endif + +/** + * @brief usb low power wakeup handler enable + */ +#define USB_LOW_POWER_WAKUP + +#if defined(BSP_USING_USBD) +#define USBD_CONFIG \ + { \ + .name = "usbd", \ + .irqn = USBFS_L_CAN1_RX0_IRQn, \ + } +#endif /* BSP_USING_USBD */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/bsp/at32/libraries/rt_drivers/config/f415/usb_config.h b/bsp/at32/libraries/rt_drivers/config/f415/usb_config.h new file mode 100644 index 0000000000..8fedb30599 --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/config/f415/usb_config.h @@ -0,0 +1,95 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 leo first version + */ + +#ifndef __USB_CONFIG_H__ +#define __USB_CONFIG_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined BSP_USING_DEVICE_USBFS1 +#define USE_OTG_DEVICE_MODE +#endif + +#if defined BSP_USING_HOST_USBFS1 +#define USE_OTG_HOST_MODE +#endif + +/* usbfs irqhandler */ +#define OTGFS1_IRQHandler OTGFS1_IRQHandler + +/** + * @brief usb device mode config + */ +#define USB_EPT_MAX_NUM 4 + +/* otg1 device fifo */ +#define USBD_RX_SIZE 128 +#define USBD_EP0_TX_SIZE 24 +#define USBD_EP1_TX_SIZE 20 +#define USBD_EP2_TX_SIZE 20 +#define USBD_EP3_TX_SIZE 20 +#define USBD_EP4_TX_SIZE 20 +#define USBD_EP5_TX_SIZE 20 +#define USBD_EP6_TX_SIZE 20 +#define USBD_EP7_TX_SIZE 20 + +/** + * @brief usb host mode config + */ +#define USB_HOST_CHANNEL_NUM 8 + +/* otg1 host fifo */ +#define USBH_RX_FIFO_SIZE 128 +#define USBH_NP_TX_FIFO_SIZE 96 +#define USBH_P_TX_FIFO_SIZE 96 + +/** + * @brief usb sof output enable + */ +// #define USB_SOF_OUTPUT_ENABLE + +/** + * @brief usb vbus ignore, not use vbus pin + */ +#define USB_VBUS_IGNORE + +/** + * @brief usb low power wakeup handler enable + */ +// #define USB_LOW_POWER_WAKUP + +#if defined(BSP_USING_HOST_USBFS1) +#undef BSP_USING_DEVICE_USBFS1 +#define USBFS1_CONFIG \ + { \ + .name = "usbh", \ + .id = USB_OTG1_ID, \ + .irqn = OTGFS1_IRQn, \ + } +#endif /* BSP_USING_HOST_USBFS1 */ + +#if defined(BSP_USING_DEVICE_USBFS1) +#define USBFS1_CONFIG \ + { \ + .name = "usbd", \ + .id = USB_OTG1_ID, \ + .irqn = OTGFS1_IRQn, \ + } +#endif /* BSP_USING_DEVICE_USBFS1 */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/bsp/at32/libraries/rt_drivers/config/f425/usb_config.h b/bsp/at32/libraries/rt_drivers/config/f425/usb_config.h new file mode 100644 index 0000000000..90ea5ae9c0 --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/config/f425/usb_config.h @@ -0,0 +1,95 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 leo first version + */ + +#ifndef __USB_CONFIG_H__ +#define __USB_CONFIG_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined BSP_USING_DEVICE_USBFS1 +#define USE_OTG_DEVICE_MODE +#endif + +#if defined BSP_USING_HOST_USBFS1 +#define USE_OTG_HOST_MODE +#endif + +/* usbfs irqhandler */ +#define OTGFS1_IRQHandler OTGFS1_IRQHandler + +/** + * @brief usb device mode config + */ +#define USB_EPT_MAX_NUM 8 + +/* otg1 device fifo */ +#define USBD_RX_SIZE 128 +#define USBD_EP0_TX_SIZE 24 +#define USBD_EP1_TX_SIZE 20 +#define USBD_EP2_TX_SIZE 20 +#define USBD_EP3_TX_SIZE 20 +#define USBD_EP4_TX_SIZE 20 +#define USBD_EP5_TX_SIZE 20 +#define USBD_EP6_TX_SIZE 20 +#define USBD_EP7_TX_SIZE 20 + +/** + * @brief usb host mode config + */ +#define USB_HOST_CHANNEL_NUM 16 + +/* otg1 host fifo */ +#define USBH_RX_FIFO_SIZE 128 +#define USBH_NP_TX_FIFO_SIZE 96 +#define USBH_P_TX_FIFO_SIZE 96 + +/** + * @brief usb sof output enable + */ +// #define USB_SOF_OUTPUT_ENABLE + +/** + * @brief usb vbus ignore, not use vbus pin + */ +#define USB_VBUS_IGNORE + +/** + * @brief usb low power wakeup handler enable + */ +// #define USB_LOW_POWER_WAKUP + +#if defined(BSP_USING_HOST_USBFS1) +#undef BSP_USING_DEVICE_USBFS1 +#define USBFS1_CONFIG \ + { \ + .name = "usbh", \ + .id = USB_OTG1_ID, \ + .irqn = OTGFS1_IRQn, \ + } +#endif /* BSP_USING_HOST_USBFS1 */ + +#if defined(BSP_USING_DEVICE_USBFS1) +#define USBFS1_CONFIG \ + { \ + .name = "usbd", \ + .id = USB_OTG1_ID, \ + .irqn = OTGFS1_IRQn, \ + } +#endif /* BSP_USING_DEVICE_USBFS1 */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/bsp/at32/libraries/rt_drivers/config/f435_437/usb_config.h b/bsp/at32/libraries/rt_drivers/config/f435_437/usb_config.h new file mode 100644 index 0000000000..93d69f33d9 --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/config/f435_437/usb_config.h @@ -0,0 +1,135 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 leo first version + */ + +#ifndef __USB_CONFIG_H__ +#define __USB_CONFIG_H__ + +#include + +#ifdef __cplusplus +extern "C" { +#endif + +#if defined BSP_USING_DEVICE_USBFS1 || defined BSP_USING_DEVICE_USBFS2 +#define USE_OTG_DEVICE_MODE +#endif + +#if defined BSP_USING_HOST_USBFS1 || defined BSP_USING_HOST_USBFS2 +#define USE_OTG_HOST_MODE +#endif + +/* usbfs irqhandler */ +#define OTGFS1_IRQHandler OTGFS1_IRQHandler +#define OTGFS2_IRQHandler OTGFS2_IRQHandler + +/** + * @brief usb device mode config + */ +#define USB_EPT_MAX_NUM 8 + +/* otg1 device fifo */ +#define USBD_RX_SIZE 128 +#define USBD_EP0_TX_SIZE 24 +#define USBD_EP1_TX_SIZE 20 +#define USBD_EP2_TX_SIZE 20 +#define USBD_EP3_TX_SIZE 20 +#define USBD_EP4_TX_SIZE 20 +#define USBD_EP5_TX_SIZE 20 +#define USBD_EP6_TX_SIZE 20 +#define USBD_EP7_TX_SIZE 20 + +/* otg2 device fifo */ +#define USBD2_RX_SIZE 128 +#define USBD2_EP0_TX_SIZE 24 +#define USBD2_EP1_TX_SIZE 20 +#define USBD2_EP2_TX_SIZE 20 +#define USBD2_EP3_TX_SIZE 20 +#define USBD2_EP4_TX_SIZE 20 +#define USBD2_EP5_TX_SIZE 20 +#define USBD2_EP6_TX_SIZE 20 +#define USBD2_EP7_TX_SIZE 20 + +/** + * @brief usb host mode config + */ +#define USB_HOST_CHANNEL_NUM 16 + +/* otg1 host fifo */ +#define USBH_RX_FIFO_SIZE 128 +#define USBH_NP_TX_FIFO_SIZE 96 +#define USBH_P_TX_FIFO_SIZE 96 + +/* otg2 host fifo */ +#define USBH2_RX_FIFO_SIZE 128 +#define USBH2_NP_TX_FIFO_SIZE 96 +#define USBH2_P_TX_FIFO_SIZE 96 + +/** + * @brief usb sof output enable + */ +// #define USB_SOF_OUTPUT_ENABLE + +/** + * @brief usb vbus ignore, not use vbus pin + */ +#define USB_VBUS_IGNORE + +/** + * @brief usb low power wakeup handler enable + */ +// #define USB_LOW_POWER_WAKUP + +#if defined(BSP_USING_HOST_USBFS1) +#undef BSP_USING_HOST_USBFS2 +#undef BSP_USING_DEVICE_USBFS1 +#define USBFS1_CONFIG \ + { \ + .name = "usbh1", \ + .id = USB_OTG1_ID, \ + .irqn = OTGFS1_IRQn, \ + } +#endif /* BSP_USING_HOST_USBFS1 */ + +#if defined(BSP_USING_DEVICE_USBFS1) +#undef BSP_USING_DEVICE_USBFS2 +#define USBFS1_CONFIG \ + { \ + .name = "usbd", \ + .id = USB_OTG1_ID, \ + .irqn = OTGFS1_IRQn, \ + } +#endif /* BSP_USING_DEVICE_USBFS1 */ + +#if defined(BSP_USING_HOST_USBFS2) +#undef BSP_USING_HOST_USBFS1 +#undef BSP_USING_DEVICE_USBFS2 +#define USBFS2_CONFIG \ + { \ + .name = "usbh2", \ + .id = USB_OTG2_ID, \ + .irqn = OTGFS2_IRQn, \ + } +#endif /* BSP_USING_HOST_USBFS2 */ + +#if defined(BSP_USING_DEVICE_USBFS2) +#undef BSP_USING_DEVICE_USBFS1 +#define USBFS2_CONFIG \ + { \ + .name = "usbd", \ + .id = USB_OTG2_ID, \ + .irqn = OTGFS2_IRQn, \ + } +#endif /* BSP_USING_DEVICE_USBFS2 */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/bsp/at32/libraries/rt_drivers/drv_config.h b/bsp/at32/libraries/rt_drivers/drv_config.h index 0fb96ef4f7..f8b8079c69 100644 --- a/bsp/at32/libraries/rt_drivers/drv_config.h +++ b/bsp/at32/libraries/rt_drivers/drv_config.h @@ -12,8 +12,8 @@ #ifndef __DRV_CONFIG_H__ #define __DRV_CONFIG_H__ -#include #include +#include "drv_common.h" #ifdef __cplusplus extern "C" { @@ -23,14 +23,17 @@ extern "C" { #include "f403a_407/dma_config.h" #include "f403a_407/uart_config.h" #include "f403a_407/spi_config.h" +#include "f403a_407/usb_config.h" #elif defined(SOC_SERIES_AT32F413) #include "f413/dma_config.h" #include "f413/uart_config.h" #include "f413/spi_config.h" +#include "f413/usb_config.h" #elif defined(SOC_SERIES_AT32F415) #include "f415/dma_config.h" #include "f415/uart_config.h" #include "f415/spi_config.h" +#include "f415/usb_config.h" #elif defined(SOC_SERIES_AT32F421) #include "f421/dma_config.h" #include "f421/uart_config.h" @@ -39,10 +42,12 @@ extern "C" { #include "f425/dma_config.h" #include "f425/uart_config.h" #include "f425/spi_config.h" +#include "f425/usb_config.h" #elif defined(SOC_SERIES_AT32F435) || defined (SOC_SERIES_AT32F437) #include "f435_437/dma_config.h" #include "f435_437/uart_config.h" #include "f435_437/spi_config.h" +#include "f435_437/usb_config.h" #endif #ifdef __cplusplus diff --git a/bsp/at32/libraries/rt_drivers/drv_usbd.c b/bsp/at32/libraries/rt_drivers/drv_usbd.c new file mode 100644 index 0000000000..25541f85fb --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/drv_usbd.c @@ -0,0 +1,274 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 leo first version + */ + +#include +#include +#include "usbd_int.h" +#include "drv_common.h" +#include "drv_usbd.h" +#include "drv_config.h" + +#if defined(BSP_USING_USBD) + +static struct at32_usbd *p_usbd_instance = NULL; +static struct ep_id endpoint_pool[] = +{ + {0x0, USB_EP_ATTR_CONTROL, USB_DIR_INOUT, 64, ID_ASSIGNED }, + {0x1, USB_EP_ATTR_BULK, USB_DIR_IN, 64, ID_UNASSIGNED}, + {0x1, USB_EP_ATTR_BULK, USB_DIR_OUT, 64, ID_UNASSIGNED}, + {0x2, USB_EP_ATTR_INT, USB_DIR_IN, 64, ID_UNASSIGNED}, + {0x2, USB_EP_ATTR_INT, USB_DIR_OUT, 64, ID_UNASSIGNED}, + {0x3, USB_EP_ATTR_ISOC, USB_DIR_IN, 64, ID_UNASSIGNED}, + {0x3, USB_EP_ATTR_ISOC, USB_DIR_OUT, 64, ID_UNASSIGNED}, + {0xFF, USB_EP_ATTR_TYPE_MASK, USB_DIR_MASK, 0, ID_ASSIGNED }, +}; + +enum +{ +#ifdef BSP_USING_USBD + USBD1_INDEX, +#endif +}; + +static struct at32_usbd usbd_config[] = { +#ifdef BSP_USING_USBD + USBD_CONFIG, +#endif +}; + +void USB_IRQHandler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + usbd_irq_handler(p_usbd_instance->p_usbd_core); + + /* leave interrupt */ + rt_interrupt_leave(); +} + +void usbd_reset_callback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_reset_handler(udcd); +} + +void usbd_connectCallback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_connect_handler(udcd); +} + +void usbd_disconnectCallback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_disconnect_handler(udcd); +} + +void usbd_setup_phase_done_callback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_ep0_setup_handler(udcd, (struct urequest*)udev->setup_buffer); +} + +void usbd_data_in_stage_callback(usbd_core_type *udev, uint32_t ept_num) +{ + udcd_t udcd = (udcd_t)udev->pdata; + + if (ept_num == 0) + { + rt_usbd_ep0_in_handler(udcd); + } + else + { + rt_usbd_ep_in_handler(udcd, 0x80 | ept_num, udev->ept_in[ept_num].trans_len); + } +} + +void usbd_sof_callback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_sof_handler(udcd); +} + +void usbd_data_out_stage_callback(usbd_core_type *udev, uint32_t ept_num) +{ + udcd_t udcd = (udcd_t)udev->pdata; + + if (ept_num != 0) + { + rt_usbd_ep_out_handler(udcd, ept_num, udev->ept_out[ept_num].trans_len); + } + else + { + rt_usbd_ep0_out_handler(udcd, udev->ept_out[0].trans_len); + } +} + +static rt_err_t _ep_set_stall(rt_uint8_t address) +{ + usbd_set_stall(p_usbd_instance->p_usbd_core, address); + return RT_EOK; +} + +static rt_err_t _ep_clear_stall(rt_uint8_t address) +{ + usbd_clear_stall(p_usbd_instance->p_usbd_core, address); + return RT_EOK; +} + +static rt_err_t _set_address(rt_uint8_t address) +{ + usbd_set_device_addr(p_usbd_instance->p_usbd_core, address); + return RT_EOK; +} + +static rt_err_t _set_config(rt_uint8_t address) +{ + return RT_EOK; +} + +static rt_err_t _ep_enable(uep_t ep) +{ + RT_ASSERT(ep != RT_NULL); + RT_ASSERT(ep->ep_desc != RT_NULL); + + usbd_ept_open(p_usbd_instance->p_usbd_core, ep->ep_desc->bEndpointAddress, ep->ep_desc->bmAttributes, ep->ep_desc->wMaxPacketSize); + return RT_EOK; +} + +static rt_err_t _ep_disable(uep_t ep) +{ + RT_ASSERT(ep != RT_NULL); + RT_ASSERT(ep->ep_desc != RT_NULL); + usbd_ept_close(p_usbd_instance->p_usbd_core, ep->ep_desc->bEndpointAddress); + return RT_EOK; +} + +static rt_size_t _ep_read(rt_uint8_t address, void *buffer) +{ + rt_size_t size = 0; + RT_ASSERT(buffer != RT_NULL); + return size; +} + +static rt_size_t _ep_read_prepare(rt_uint8_t address, void *buffer, rt_size_t size) +{ + usbd_ept_recv(p_usbd_instance->p_usbd_core, address, buffer, size); + return size; +} + +static rt_size_t _ep_write(rt_uint8_t address, void *buffer, rt_size_t size) +{ + usbd_ept_send(p_usbd_instance->p_usbd_core, address, buffer, size); + return size; +} + +static rt_err_t _ep0_send_status(void) +{ + usbd_ctrl_send_status(p_usbd_instance->p_usbd_core); + return RT_EOK; +} + +static rt_err_t _suspend(void) +{ + return RT_EOK; +} + +static rt_err_t _wakeup(void) +{ + return RT_EOK; +} + +static rt_err_t at32_dcd_init(rt_device_t device) +{ + /* usb gpio config */ + at32_msp_usb_init(device); + + /* enable usb interrupt */ + nvic_irq_enable(p_usbd_instance->irqn, 2, 0); + + /* usb core init */ + usbd_core_init(p_usbd_instance->p_usbd_core, USB, 0); + + /* enable usb pull-up */ + usbd_connect(p_usbd_instance->p_usbd_core); + + return RT_EOK; +} + +const static struct udcd_ops _udc_ops = +{ + _set_address, + _set_config, + _ep_set_stall, + _ep_clear_stall, + _ep_enable, + _ep_disable, + _ep_read_prepare, + _ep_read, + _ep_write, + _ep0_send_status, + _suspend, + _wakeup, +}; + +int at32_usbd_register(void) +{ + rt_size_t obj_num; + rt_err_t result = 0; + int index; + + obj_num = sizeof(usbd_config) / sizeof(struct at32_usbd); + + for (index = 0; index < obj_num; index++) { + udcd_t udcd = (udcd_t)rt_malloc(sizeof(struct udcd)); + if (udcd == RT_NULL) + { + rt_kprintf("udcd malloc failed\r\n"); + return -RT_ERROR; + } + rt_memset((void *)udcd, 0, sizeof(struct udcd)); + + usbd_core_type *p_usbd_core = (usbd_core_type *)rt_malloc(sizeof(usbd_core_type)); + if (p_usbd_core == RT_NULL) + { + rt_kprintf("usbd_core malloc failed\r\n"); + return -RT_ERROR; + } + rt_memset((void *)p_usbd_core, 0, sizeof(usbd_core_type)); + + udcd->parent.type = RT_Device_Class_USBDevice; + udcd->parent.init = at32_dcd_init; + + udcd->parent.user_data = p_usbd_core; + udcd->ops = &_udc_ops; + p_usbd_core->pdata = udcd; + usbd_config[index].p_usbd_core = p_usbd_core; + + /* register endpoint infomation */ + udcd->ep_pool = endpoint_pool; + udcd->ep0.id = &endpoint_pool[0]; + + result = rt_device_register((rt_device_t)udcd, usbd_config[index].name, 0); + RT_ASSERT(result == RT_EOK); + + p_usbd_instance = &usbd_config[index]; + + result = rt_usb_device_init(); + RT_ASSERT(result == RT_EOK); + } + + return result; +} + +INIT_DEVICE_EXPORT(at32_usbd_register); + +#endif diff --git a/bsp/at32/libraries/rt_drivers/drv_usbd.h b/bsp/at32/libraries/rt_drivers/drv_usbd.h new file mode 100644 index 0000000000..5406537026 --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/drv_usbd.h @@ -0,0 +1,27 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 shelton first version + */ + +#ifndef __DRV_USBD_H__ +#define __DRV_USBD_H__ + +#include +#include +#include "drv_common.h" +#include "usbd_core.h" + +struct at32_usbd { + char *name; + usbd_core_type *p_usbd_core; + IRQn_Type irqn; +}; + +#endif /* __DRV_USBD_H__ */ + +/******************* end of file *******************/ diff --git a/bsp/at32/libraries/rt_drivers/drv_usbfs.h b/bsp/at32/libraries/rt_drivers/drv_usbfs.h new file mode 100644 index 0000000000..52d205da27 --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/drv_usbfs.h @@ -0,0 +1,28 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 shelton first version + */ + +#ifndef __DRV_USBFS_H__ +#define __DRV_USBFS_H__ + +#include +#include +#include "drv_common.h" +#include "usb_core.h" + +struct at32_usbfs { + char *name; + otg_core_type *p_otg_core; + otg_id_type id; + IRQn_Type irqn; +}; + +#endif /* __DRV_USBFS_H__ */ + +/******************* end of file *******************/ diff --git a/bsp/at32/libraries/rt_drivers/drv_usbfsd.c b/bsp/at32/libraries/rt_drivers/drv_usbfsd.c new file mode 100644 index 0000000000..494db5dabc --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/drv_usbfsd.c @@ -0,0 +1,311 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 leo first version + */ + +#include +#include +#include "usbd_int.h" +#include "drv_common.h" +#include "drv_usbfs.h" +#include "drv_config.h" + +#if defined(BSP_USING_DEVICE_USBFS1) || defined(BSP_USING_DEVICE_USBFS2) + +static struct at32_usbfs *p_usbfs_instance = NULL; +static struct ep_id endpoint_pool[] = +{ + {0x0, USB_EP_ATTR_CONTROL, USB_DIR_INOUT, 64, ID_ASSIGNED }, + {0x1, USB_EP_ATTR_BULK, USB_DIR_IN, 64, ID_UNASSIGNED}, + {0x1, USB_EP_ATTR_BULK, USB_DIR_OUT, 64, ID_UNASSIGNED}, + {0x2, USB_EP_ATTR_INT, USB_DIR_IN, 64, ID_UNASSIGNED}, + {0x2, USB_EP_ATTR_INT, USB_DIR_OUT, 64, ID_UNASSIGNED}, + {0x3, USB_EP_ATTR_ISOC, USB_DIR_IN, 64, ID_UNASSIGNED}, + {0x3, USB_EP_ATTR_ISOC, USB_DIR_OUT, 64, ID_UNASSIGNED}, + {0xFF, USB_EP_ATTR_TYPE_MASK, USB_DIR_MASK, 0, ID_ASSIGNED }, +}; + +enum +{ +#ifdef BSP_USING_DEVICE_USBFS1 + USBFS1_INDEX, +#endif +#ifdef BSP_USING_DEVICE_USBFS2 + USBFS2_INDEX, +#endif +}; + +static struct at32_usbfs usbfsd_config[] = { +#ifdef BSP_USING_DEVICE_USBFS1 + USBFS1_CONFIG, +#endif +#ifdef BSP_USING_DEVICE_USBFS2 + USBFS2_CONFIG, +#endif +}; + +#ifdef BSP_USING_DEVICE_USBFS1 +void OTGFS1_IRQHandler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + usbd_irq_handler(p_usbfs_instance->p_otg_core); + + /* leave interrupt */ + rt_interrupt_leave(); +} +#endif + +#ifdef BSP_USING_DEVICE_USBFS2 +void OTGFS2_IRQHandler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + usbd_irq_handler(p_usbfs_instance->p_otg_core); + + /* leave interrupt */ + rt_interrupt_leave(); +} +#endif + +void usbd_reset_callback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_reset_handler(udcd); +} + +void usbd_connectCallback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_connect_handler(udcd); +} + +void usbd_disconnectCallback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_disconnect_handler(udcd); +} + +void usbd_setup_phase_done_callback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_ep0_setup_handler(udcd, (struct urequest*)udev->setup_buffer); +} + +void usbd_data_in_stage_callback(usbd_core_type *udev, uint32_t ept_num) +{ + udcd_t udcd = (udcd_t)udev->pdata; + + if (ept_num == 0) + { + rt_usbd_ep0_in_handler(udcd); + } + else + { + rt_usbd_ep_in_handler(udcd, 0x80 | ept_num, udev->ept_in[ept_num].trans_len); + } +} + +void usbd_sof_callback(usbd_core_type *udev) +{ + udcd_t udcd = (udcd_t)udev->pdata; + rt_usbd_sof_handler(udcd); +} + +void usbd_data_out_stage_callback(usbd_core_type *udev, uint32_t ept_num) +{ + udcd_t udcd = (udcd_t)udev->pdata; + + if (ept_num != 0) + { + rt_usbd_ep_out_handler(udcd, ept_num, udev->ept_out[ept_num].trans_len); + } + else + { + rt_usbd_ep0_out_handler(udcd, udev->ept_out[0].trans_len); + } +} + +static rt_err_t _ep_set_stall(rt_uint8_t address) +{ + usbd_set_stall(&p_usbfs_instance->p_otg_core->dev, address); + return RT_EOK; +} + +static rt_err_t _ep_clear_stall(rt_uint8_t address) +{ + usbd_clear_stall(&p_usbfs_instance->p_otg_core->dev, address); + return RT_EOK; +} + +static rt_err_t _set_address(rt_uint8_t address) +{ + usbd_set_device_addr(&p_usbfs_instance->p_otg_core->dev, address); + return RT_EOK; +} + +static rt_err_t _set_config(rt_uint8_t address) +{ + return RT_EOK; +} + +static rt_err_t _ep_enable(uep_t ep) +{ + RT_ASSERT(ep != RT_NULL); + RT_ASSERT(ep->ep_desc != RT_NULL); + + usbd_ept_open(&p_usbfs_instance->p_otg_core->dev, ep->ep_desc->bEndpointAddress, \ + ep->ep_desc->bmAttributes, ep->ep_desc->wMaxPacketSize); + return RT_EOK; +} + +static rt_err_t _ep_disable(uep_t ep) +{ + RT_ASSERT(ep != RT_NULL); + RT_ASSERT(ep->ep_desc != RT_NULL); + + usbd_ept_close(&p_usbfs_instance->p_otg_core->dev, ep->ep_desc->bEndpointAddress); + return RT_EOK; +} + +static rt_size_t _ep_read(rt_uint8_t address, void *buffer) +{ + rt_size_t size = 0; + RT_ASSERT(buffer != RT_NULL); + return size; +} + +static rt_size_t _ep_read_prepare(rt_uint8_t address, void *buffer, rt_size_t size) +{ + usbd_ept_recv(&p_usbfs_instance->p_otg_core->dev, address, buffer, size); + return size; +} + +static rt_size_t _ep_write(rt_uint8_t address, void *buffer, rt_size_t size) +{ + usbd_ept_send(&p_usbfs_instance->p_otg_core->dev, address, buffer, size); + return size; +} + +static rt_err_t _ep0_send_status(void) +{ + usbd_ept_send(&p_usbfs_instance->p_otg_core->dev, 0x00, NULL, 0); + return RT_EOK; +} + +static rt_err_t _suspend(void) +{ + return RT_EOK; +} + +static rt_err_t _wakeup(void) +{ + return RT_EOK; +} + +static rt_err_t at32_dcd_init(rt_device_t device) +{ + /* usb gpio config */ + at32_msp_usb_init(device); + + /* enable otgfs irq */ + nvic_irq_enable(p_usbfs_instance->irqn, 2, 0); + + /* init usb */ + p_usbfs_instance->p_otg_core->usb_reg = usb_global_select_core(p_usbfs_instance->id); + + /* usb device core config */ + usb_core_config(p_usbfs_instance->p_otg_core, USB_FULL_SPEED_CORE_ID); + + if(p_usbfs_instance->p_otg_core->cfg.sof_out) + { + p_usbfs_instance->p_otg_core->usb_reg->gccfg_bit.sofouten = TRUE; + } + + if(p_usbfs_instance->p_otg_core->cfg.vbusig) + { + p_usbfs_instance->p_otg_core->usb_reg->gccfg_bit.vbusig = TRUE; + } + + /* usb device core init */ + usbd_core_init(&(p_usbfs_instance->p_otg_core->dev), p_usbfs_instance->p_otg_core->usb_reg, + USB_FULL_SPEED_CORE_ID); + + return RT_EOK; +} + +const static struct udcd_ops _udc_ops = +{ + _set_address, + _set_config, + _ep_set_stall, + _ep_clear_stall, + _ep_enable, + _ep_disable, + _ep_read_prepare, + _ep_read, + _ep_write, + _ep0_send_status, + _suspend, + _wakeup, +}; + +int at32_usbd_register(void) +{ + rt_size_t obj_num; + rt_err_t result = 0; + int index; + + obj_num = sizeof(usbfsd_config) / sizeof(struct at32_usbfs); + + for (index = 0; index < obj_num; index++) { + udcd_t udcd = (udcd_t)rt_malloc(sizeof(struct udcd)); + if (udcd == RT_NULL) + { + rt_kprintf("uhcd malloc failed\r\n"); + return -RT_ERROR; + } + rt_memset((void *)udcd, 0, sizeof(struct udcd)); + + otg_core_type *p_otg_core = (otg_core_type *)rt_malloc(sizeof(otg_core_type)); + if (p_otg_core == RT_NULL) + { + rt_kprintf("otg_core malloc failed\r\n"); + return -RT_ERROR; + } + rt_memset((void *)p_otg_core, 0, sizeof(otg_core_type)); + + udcd->parent.type = RT_Device_Class_USBDevice; + udcd->parent.init = at32_dcd_init; + + udcd->parent.user_data = &(p_otg_core->dev); + udcd->ops = &_udc_ops; + p_otg_core->dev.pdata = udcd; + usbfsd_config[index].p_otg_core = p_otg_core; + + /* register endpoint infomation */ + udcd->ep_pool = endpoint_pool; + udcd->ep0.id = &endpoint_pool[0]; + + result = rt_device_register((rt_device_t)udcd, usbfsd_config[index].name, 0); + RT_ASSERT(result == RT_EOK); + + p_usbfs_instance = &usbfsd_config[index]; + + result = rt_usb_device_init(); + RT_ASSERT(result == RT_EOK); + } + + return result; +} + +INIT_DEVICE_EXPORT(at32_usbd_register); + +#endif diff --git a/bsp/at32/libraries/rt_drivers/drv_usbfsh.c b/bsp/at32/libraries/rt_drivers/drv_usbfsh.c new file mode 100644 index 0000000000..8a959cff81 --- /dev/null +++ b/bsp/at32/libraries/rt_drivers/drv_usbfsh.c @@ -0,0 +1,406 @@ +/* + * Copyright (c) 2006-2021, RT-Thread Development Team + * + * SPDX-License-Identifier: Apache-2.0 + * + * Change Logs: + * Date Author Notes + * 2023-02-28 leo first version + */ + +#include +#include +#include "usbh_int.h" +#include "drv_common.h" +#include "drv_usbfs.h" +#include "drv_config.h" + +#if defined(BSP_USING_HOST_USBFS1) || defined(BSP_USING_HOST_USBFS2) + +//#define DRV_DEBUG +#define LOG_TAG "drv.usb" +#include + +static struct rt_completion urb_completion; +static volatile rt_bool_t connect_status = RT_FALSE; +static struct at32_usbfs *p_usbfs_instance = NULL; + +enum +{ +#ifdef BSP_USING_HOST_USBFS1 + USBFS1_INDEX, +#endif +#ifdef BSP_USING_HOST_USBFS2 + USBFS2_INDEX, +#endif +}; + +static struct at32_usbfs usbfsh_config[] = { +#ifdef BSP_USING_HOST_USBFS1 + USBFS1_CONFIG, +#endif +#ifdef BSP_USING_HOST_USBFS2 + USBFS2_CONFIG, +#endif +}; + +#ifdef BSP_USING_HOST_USBFS1 +void OTGFS1_IRQHandler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + usbh_irq_handler(p_usbfs_instance->p_otg_core); + + /* leave interrupt */ + rt_interrupt_leave(); +} +#endif + +#ifdef BSP_USING_HOST_USBFS2 +void OTGFS2_IRQHandler(void) +{ + /* enter interrupt */ + rt_interrupt_enter(); + + usbh_irq_handler(p_usbfs_instance->p_otg_core); + + /* leave interrupt */ + rt_interrupt_leave(); +} +#endif + +void usbh_connect_callback(usbh_core_type *uhost) +{ + uhcd_t hcd = (uhcd_t)uhost->pdata; + if (!connect_status) + { + connect_status = RT_TRUE; + RT_DEBUG_LOG(RT_DEBUG_USB, ("usb connected\n")); + rt_usbh_root_hub_connect_handler(hcd, 1, RT_FALSE); + } +} + +void usbh_disconnect_callback(usbh_core_type *uhost) +{ + uhcd_t hcd = (uhcd_t)uhost->pdata; + if (connect_status) + { + connect_status = RT_FALSE; + RT_DEBUG_LOG(RT_DEBUG_USB, ("usb disconnnect\n")); + rt_usbh_root_hub_disconnect_handler(hcd, 1); + } +} + +void usbd_notify_urbchange_callback(usbh_core_type *uhost, uint8_t chnum, urb_sts_type sts) +{ + rt_completion_done(&urb_completion); +} + +static rt_err_t drv_reset_port(rt_uint8_t port) +{ + RT_DEBUG_LOG(RT_DEBUG_USB, ("reset port\n")); + usbh_reset_port(&p_usbfs_instance->p_otg_core->host); + return RT_EOK; +} + +static int drv_pipe_xfer(upipe_t pipe, rt_uint8_t token, void *buffer, int nbytes, int timeouts) +{ + int timeout = timeouts; + + while(1) + { + if(!connect_status) + { + return -1; + } + rt_completion_init(&urb_completion); + + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].dir = (pipe->ep.bEndpointAddress & 0x80) >> 7; + + if(token == 0U) + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_SETUP; + } + else + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA1; + } + + /* endpoint type */ + switch(pipe->ep.bmAttributes) + { + /* endpoint is control type */ + case EPT_CONTROL_TYPE: + if((token == 1U) && (((pipe->ep.bEndpointAddress & 0x80) >> 7) == 0U)) + { + if(nbytes == 0U) + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].toggle_out = 1U; + } + if((&p_usbfs_instance->p_otg_core->host)->hch[pipe->pipe_index].toggle_out == 0U) + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA0; + } + else + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA1; + } + } + break; + /* endpoint is bulk type */ + case EPT_BULK_TYPE: + if(((pipe->ep.bEndpointAddress & 0x80) >> 7) == 0U) + { + if( p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].toggle_out == 0U) + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA0; + } + else + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA1; + } + } + else + { + if( p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].toggle_in == 0U) + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA0; + } + else + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA1; + } + } + break; + /* endpoint is int type */ + case EPT_INT_TYPE: + if(((pipe->ep.bEndpointAddress & 0x80) >> 7) == 0U) + { + if( p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].toggle_out == 0U) + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA0; + } + else + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA1; + } + } + else + { + if( p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].toggle_in == 0U) + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA0; + } + else + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA1; + } + } + break; + /* endpoint is isoc type */ + case EPT_ISO_TYPE: + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].data_pid = HCH_PID_DATA0; + break; + + default: + break; + } + + /* set transfer buffer */ + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].trans_buf = buffer; + /* set transfer len*/ + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].trans_len = nbytes; + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].urb_sts = URB_IDLE; + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].ch_num = pipe->pipe_index; + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].trans_count = 0; + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].state = HCH_IDLE; + + /* data in/out for host */ + usbh_in_out_request((&p_usbfs_instance->p_otg_core->host), pipe->pipe_index); + + rt_completion_wait(&urb_completion, timeout); + rt_thread_mdelay(1); + + if(usbh_get_status((&p_usbfs_instance->p_otg_core->host), pipe->pipe_index) == HCH_NAK) + { + RT_DEBUG_LOG(RT_DEBUG_USB, ("nak\n")); + if (pipe->ep.bmAttributes == USB_EP_ATTR_INT) + { + rt_thread_delay((pipe->ep.bInterval * RT_TICK_PER_SECOND / 1000) > 0 ? (pipe->ep.bInterval * RT_TICK_PER_SECOND / 1000) : 1); + } + usb_hch_halt((&p_usbfs_instance->p_otg_core->host)->usb_reg, pipe->pipe_index); + + usbh_hc_open(&p_usbfs_instance->p_otg_core->host, + pipe->pipe_index, + pipe->ep.bEndpointAddress, + pipe->inst->address, + pipe->ep.bmAttributes, + pipe->ep.wMaxPacketSize, + USB_PRTSPD_FULL_SPEED); + continue; + } + else if (usbh_get_status(&p_usbfs_instance->p_otg_core->host, pipe->pipe_index) == HCH_STALL) + { + RT_DEBUG_LOG(RT_DEBUG_USB, ("stall\n")); + pipe->status = UPIPE_STATUS_STALL; + if (pipe->callback != RT_NULL) + { + pipe->callback(pipe); + } + return -1; + } + else if (usbh_get_status(&p_usbfs_instance->p_otg_core->host, pipe->pipe_index) == URB_ERROR) + { + RT_DEBUG_LOG(RT_DEBUG_USB, ("error\n")); + pipe->status = UPIPE_STATUS_ERROR; + if (pipe->callback != RT_NULL) + { + pipe->callback(pipe); + } + return -1; + } + else if(URB_DONE == usbh_get_urb_status(&p_usbfs_instance->p_otg_core->host, pipe->pipe_index)) + { + RT_DEBUG_LOG(RT_DEBUG_USB, ("ok\n")); + pipe->status = UPIPE_STATUS_OK; + if (pipe->callback != RT_NULL) + { + pipe->callback(pipe); + } + size_t size = (&p_usbfs_instance->p_otg_core->host)->hch[pipe->pipe_index].trans_count; + if (pipe->ep.bEndpointAddress & 0x80) + { + return size; + } + else if (pipe->ep.bEndpointAddress & 0x00) + { + return size; + } + return nbytes; + } + continue; + } +} + +static rt_uint16_t pipe_index = 0; +static rt_uint8_t drv_get_free_pipe_index(void) +{ + rt_uint8_t idx; + for (idx = 1; idx < 16; idx++) + { + if (!(pipe_index & (0x01 << idx))) + { + pipe_index |= (0x01 << idx); + return idx; + } + } + return 0xff; +} + +static void drv_free_pipe_index(rt_uint8_t index) +{ + pipe_index &= ~(0x01 << index); +} + +static rt_err_t drv_open_pipe(upipe_t pipe) +{ + pipe->pipe_index = drv_get_free_pipe_index(); + usbh_hc_open(&p_usbfs_instance->p_otg_core->host, + pipe->pipe_index, + pipe->ep.bEndpointAddress, + pipe->inst->address, + pipe->ep.bmAttributes, + pipe->ep.wMaxPacketSize, + USB_PRTSPD_FULL_SPEED); + /* set data0 pid token*/ + if (p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].dir) + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].toggle_in = 0; + } + else + { + p_usbfs_instance->p_otg_core->host.hch[pipe->pipe_index].toggle_out = 0; + } + return RT_EOK; +} + +static rt_err_t drv_close_pipe(upipe_t pipe) +{ + usb_hch_halt((&p_usbfs_instance->p_otg_core->host)->usb_reg, pipe->pipe_index); + drv_free_pipe_index(pipe->pipe_index); + return RT_EOK; +} + +static struct uhcd_ops _uhcd_ops = +{ + drv_reset_port, + drv_pipe_xfer, + drv_open_pipe, + drv_close_pipe, +}; + +static rt_err_t at32_hcd_init(rt_device_t device) +{ + /* usb gpio config */ + at32_msp_usb_init(device); + + /* enable otgfs irq */ + nvic_irq_enable(p_usbfs_instance->irqn, 2, 0); + + /* init usb */ + usbh_init(p_usbfs_instance->p_otg_core, + USB_FULL_SPEED_CORE_ID, + p_usbfs_instance->id); + return RT_EOK; +} + +int at32_usbh_register(void) +{ + rt_size_t obj_num; + rt_err_t result = 0; + int index; + + obj_num = sizeof(usbfsh_config) / sizeof(struct at32_usbfs); + + for (index = 0; index < obj_num; index++) { + uhcd_t uhcd = (uhcd_t)rt_malloc(sizeof(struct uhcd)); + if (uhcd == RT_NULL) + { + rt_kprintf("uhcd malloc failed\r\n"); + return -RT_ERROR; + } + rt_memset((void *)uhcd, 0, sizeof(struct uhcd)); + + otg_core_type *p_otg_core = (otg_core_type *)rt_malloc(sizeof(otg_core_type)); + if (p_otg_core == RT_NULL) + { + rt_kprintf("otg_core malloc failed\r\n"); + return -RT_ERROR; + } + rt_memset((void *)p_otg_core, 0, sizeof(otg_core_type)); + + uhcd->parent.type = RT_Device_Class_USBHost; + uhcd->parent.init = at32_hcd_init; + uhcd->parent.user_data = &(p_otg_core->host); + + uhcd->ops = &_uhcd_ops; + uhcd->num_ports = 1; + p_otg_core->host.pdata = uhcd; + usbfsh_config[index].p_otg_core = p_otg_core; + + result = rt_device_register(&uhcd->parent, usbfsh_config[index].name, RT_DEVICE_FLAG_DEACTIVATE); + RT_ASSERT(result == RT_EOK); + + p_usbfs_instance = &usbfsh_config[index]; + + result = rt_usb_host_init(usbfsh_config[index].name); + RT_ASSERT(result == RT_EOK); + } + + return result; +} + +INIT_DEVICE_EXPORT(at32_usbh_register); + +#endif diff --git a/bsp/at32/libraries/usbd_library/SConscript b/bsp/at32/libraries/usbd_library/SConscript new file mode 100644 index 0000000000..bf07a30a9e --- /dev/null +++ b/bsp/at32/libraries/usbd_library/SConscript @@ -0,0 +1,19 @@ +import rtconfig +from building import * + +# get current directory +cwd = GetCurrentDir() + +# add the general drivers. +src = Split(""" +""") + +# The set of source files associated with this SConscript file. +if GetDepend('RT_USING_USB_DEVICE'): + src += ['src/usbd_core.c', 'src/usbd_int.c', 'src/usbd_sdr.c'] + +CPPPATH = [cwd + '/inc'] + +group = DefineGroup('usbd_library', src, depend = [''], CPPPATH = CPPPATH) + +Return('group') diff --git a/bsp/at32/libraries/usbd_library/inc/usb_std.h b/bsp/at32/libraries/usbd_library/inc/usb_std.h new file mode 100644 index 0000000000..6f5f2983db --- /dev/null +++ b/bsp/at32/libraries/usbd_library/inc/usb_std.h @@ -0,0 +1,376 @@ +/** + ************************************************************************** + * @file usb_std.h + * @brief usb standard header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USB_STD_H +#define __USB_STD_H + +#ifdef __cplusplus +extern "C" { +#endif + +/* includes ------------------------------------------------------------------*/ +#include "drv_config.h" + +/** @addtogroup USB_standard + * @{ + */ + +/** @defgroup USB_standard_define + * @{ + */ + +/** + * @brief usb request recipient + */ +#define USB_REQ_RECIPIENT_DEVICE 0x00 /*!< usb request recipient device */ +#define USB_REQ_RECIPIENT_INTERFACE 0x01 /*!< usb request recipient interface */ +#define USB_REQ_RECIPIENT_ENDPOINT 0x02 /*!< usb request recipient endpoint */ +#define USB_REQ_RECIPIENT_OTHER 0x03 /*!< usb request recipient other */ +#define USB_REQ_RECIPIENT_MASK 0x1F /*!< usb request recipient mask */ + +/** + * @brief usb request type + */ +#define USB_REQ_TYPE_STANDARD 0x00 /*!< usb request type standard */ +#define USB_REQ_TYPE_CLASS 0x20 /*!< usb request type class */ +#define USB_REQ_TYPE_VENDOR 0x40 /*!< usb request type vendor */ +#define USB_REQ_TYPE_RESERVED 0x60 /*!< usb request type reserved */ + +/** + * @brief usb request data transfer direction + */ +#define USB_REQ_DIR_HTD 0x00 /*!< usb request data transfer direction host to device */ +#define USB_REQ_DIR_DTH 0x80 /*!< usb request data transfer direction device to host */ + +/** + * @brief usb standard device requests codes + */ +#define USB_STD_REQ_GET_STATUS 0 /*!< usb request code status */ +#define USB_STD_REQ_CLEAR_FEATURE 1 /*!< usb request code clear feature */ +#define USB_STD_REQ_SET_FEATURE 3 /*!< usb request code feature */ +#define USB_STD_REQ_SET_ADDRESS 5 /*!< usb request code address */ +#define USB_STD_REQ_GET_DESCRIPTOR 6 /*!< usb request code get descriptor */ +#define USB_STD_REQ_SET_DESCRIPTOR 7 /*!< usb request code set descriptor */ +#define USB_STD_REQ_GET_CONFIGURATION 8 /*!< usb request code get configuration */ +#define USB_STD_REQ_SET_CONFIGURATION 9 /*!< usb request code set configuration */ +#define USB_STD_REQ_GET_INTERFACE 10 /*!< usb request code get interface */ +#define USB_STD_REQ_SET_INTERFACE 11 /*!< usb request code set interface */ +#define USB_STD_REQ_SYNCH_FRAME 12 /*!< usb request code synch frame */ + +/** + * @brief usb standard device type + */ +#define USB_DESCIPTOR_TYPE_DEVICE 1 /*!< usb standard device type device */ +#define USB_DESCIPTOR_TYPE_CONFIGURATION 2 /*!< usb standard device type configuration */ +#define USB_DESCIPTOR_TYPE_STRING 3 /*!< usb standard device type string */ +#define USB_DESCIPTOR_TYPE_INTERFACE 4 /*!< usb standard device type interface */ +#define USB_DESCIPTOR_TYPE_ENDPOINT 5 /*!< usb standard device type endpoint */ +#define USB_DESCIPTOR_TYPE_DEVICE_QUALIFIER 6 /*!< usb standard device type qualifier */ +#define USB_DESCIPTOR_TYPE_OTHER_SPEED 7 /*!< usb standard device type other speed */ +#define USB_DESCIPTOR_TYPE_INTERFACE_POWER 8 /*!< usb standard device type interface power */ + +/** + * @brief usb standard string type + */ +#define USB_LANGID_STRING 0 /*!< usb standard string type lang id */ +#define USB_MFC_STRING 1 /*!< usb standard string type mfc */ +#define USB_PRODUCT_STRING 2 /*!< usb standard string type product */ +#define USB_SERIAL_STRING 3 /*!< usb standard string type serial */ +#define USB_CONFIG_STRING 4 /*!< usb standard string type config */ +#define USB_INTERFACE_STRING 5 /*!< usb standard string type interface */ + +/** + * @brief usb configuration attributes + */ +#define USB_CONF_REMOTE_WAKEUP 2 /*!< usb configuration attributes remote wakeup */ +#define USB_CONF_SELF_POWERED 1 /*!< usb configuration attributes self powered */ + +/** + * @brief usb standard feature selectors + */ +#define USB_FEATURE_EPT_HALT 0 /*!< usb standard feature selectors endpoint halt */ +#define USB_FEATURE_REMOTE_WAKEUP 1 /*!< usb standard feature selectors remote wakeup */ +//#define USB_FEATURE_TEST_MODE 2 /*!< usb standard feature selectors test mode */ + +/** + * @brief usb device connect state + */ +typedef enum +{ + USB_CONN_STATE_DEFAULT =1, /*!< usb device connect state default */ + USB_CONN_STATE_ADDRESSED, /*!< usb device connect state address */ + USB_CONN_STATE_CONFIGURED, /*!< usb device connect state configured */ + USB_CONN_STATE_SUSPENDED /*!< usb device connect state suspend */ +}usbd_conn_state; + +/** + * @brief endpoint 0 state + */ +#define USB_EPT0_IDLE 0 /*!< usb endpoint state idle */ +#define USB_EPT0_SETUP 1 /*!< usb endpoint state setup */ +#define USB_EPT0_DATA_IN 2 /*!< usb endpoint state data in */ +#define USB_EPT0_DATA_OUT 3 /*!< usb endpoint state data out */ +#define USB_EPT0_STATUS_IN 4 /*!< usb endpoint state status in */ +#define USB_EPT0_STATUS_OUT 5 /*!< usb endpoint state status out */ +#define USB_EPT0_STALL 6 /*!< usb endpoint state stall */ + +/** + * @brief usb descriptor length + */ +#define USB_DEVICE_QUALIFIER_DESC_LEN 0x0A /*!< usb qualifier descriptor length */ +#define USB_DEVICE_DESC_LEN 0x12 /*!< usb device descriptor length */ +#define USB_DEVICE_CFG_DESC_LEN 0x09 /*!< usb configuration descriptor length */ +#define USB_DEVICE_IF_DESC_LEN 0x09 /*!< usb interface descriptor length */ +#define USB_DEVICE_EPT_LEN 0x07 /*!< usb endpoint descriptor length */ +#define USB_DEVICE_OTG_DESC_LEN 0x03 /*!< usb otg descriptor length */ +#define USB_DEVICE_LANGID_STR_DESC_LEN 0x04 /*!< usb lang id string descriptor length */ +#define USB_DEVICE_OTHER_SPEED_DESC_SIZ_LEN 0x09 /*!< usb other speed descriptor length */ + +/** + * @brief usb class code + */ +#define USB_CLASS_CODE_AUDIO 0x01 /*!< usb class code audio */ +#define USB_CLASS_CODE_CDC 0x02 /*!< usb class code cdc */ +#define USB_CLASS_CODE_HID 0x03 /*!< usb class code hid */ +#define USB_CLASS_CODE_PRINTER 0x07 /*!< usb class code printer */ +#define USB_CLASS_CODE_MSC 0x08 /*!< usb class code msc */ +#define USB_CLASS_CODE_HUB 0x09 /*!< usb class code hub */ +#define USB_CLASS_CODE_CDCDATA 0x0A /*!< usb class code cdc data */ +#define USB_CLASS_CODE_CCID 0x0B /*!< usb class code ccid */ +#define USB_CLASS_CODE_VIDEO 0x0E /*!< usb class code video */ +#define USB_CLASS_CODE_VENDOR 0xFF /*!< usb class code vendor */ + +/** + * @brief usb endpoint type + */ +#define USB_EPT_DESC_CONTROL 0x00 /*!< usb endpoint description type control */ +#define USB_EPT_DESC_ISO 0x01 /*!< usb endpoint description type iso */ +#define USB_EPT_DESC_BULK 0x02 /*!< usb endpoint description type bulk */ +#define USB_EPT_DESC_INTERRUPT 0x03 /*!< usb endpoint description type interrupt */ + +#define USB_EPT_DESC_NSYNC 0x00 /*!< usb endpoint description nsync */ +#define USB_ETP_DESC_ASYNC 0x04 /*!< usb endpoint description async */ +#define USB_ETP_DESC_ADAPTIVE 0x08 /*!< usb endpoint description adaptive */ +#define USB_ETP_DESC_SYNC 0x0C /*!< usb endpoint description sync */ + +#define USB_EPT_DESC_DATA_EPT 0x00 /*!< usb endpoint description data */ +#define USB_EPT_DESC_FD_EPT 0x10 /*!< usb endpoint description fd */ +#define USB_EPT_DESC_FDDATA_EPT 0x20 /*!< usb endpoint description fddata */ + +/** + * @brief usb cdc class descriptor define + */ +#define USBD_CDC_CS_INTERFACE 0x24 +#define USBD_CDC_CS_ENDPOINT 0x25 + +/** + * @brief usb cdc class sub-type define + */ +#define USBD_CDC_SUBTYPE_HEADER 0x00 +#define USBD_CDC_SUBTYPE_CMF 0x01 +#define USBD_CDC_SUBTYPE_ACM 0x02 +#define USBD_CDC_SUBTYPE_UFD 0x06 + +/** + * @brief usb cdc class request code define + */ +#define SET_LINE_CODING 0x20 +#define GET_LINE_CODING 0x21 + +/** + * @brief usb cdc class set line coding struct + */ +typedef struct +{ + uint32_t bitrate; /* line coding baud rate */ + uint8_t format; /* line coding foramt */ + uint8_t parity; /* line coding parity */ + uint8_t data; /* line coding data bit */ +}linecoding_type; + +/** + * @brief usb hid class descriptor define + */ +#define HID_CLASS_DESC_HID 0x21 +#define HID_CLASS_DESC_REPORT 0x22 +#define HID_CLASS_DESC_PHYSICAL 0x23 + +/** + * @brief usb hid class request code define + */ +#define HID_REQ_SET_PROTOCOL 0x0B +#define HID_REQ_GET_PROTOCOL 0x03 +#define HID_REQ_SET_IDLE 0x0A +#define HID_REQ_GET_IDLE 0x02 +#define HID_REQ_SET_REPORT 0x09 +#define HID_REQ_GET_REPORT 0x01 +#define HID_DESCRIPTOR_TYPE 0x21 +#define HID_REPORT_DESC 0x22 + +/** + * @brief endpoint 0 max size + */ +#define USB_MAX_EP0_SIZE 64 /*!< usb endpoint 0 max size */ + +/** + * @brief usb swap address + */ +#define SWAPBYTE(addr) (uint16_t)(((uint16_t)(*((uint8_t *)(addr)))) + \ + (((uint16_t)(*(((uint8_t *)(addr)) + 1))) << 8)) /*!< swap address */ + +/** + * @brief low byte and high byte define + */ +#define LBYTE(x) ((uint8_t)(x & 0x00FF)) /*!< low byte define */ +#define HBYTE(x) ((uint8_t)((x & 0xFF00) >>8)) /*!< high byte define*/ + +/** + * @} + */ + +/** @defgroup USB_standard_exported_types + * @{ + */ + +/** + * @brief usb return status + */ +typedef enum +{ + USB_OK, /*!< usb status ok */ + USB_FAIL, /*!< usb status fail */ + USB_WAIT, /*!< usb status wait */ + USB_NOT_SUPPORT, /*!< usb status not support */ + USB_ERROR, /*!< usb status error */ +}usb_sts_type; + +/** + * @brief format of usb setup data + */ +typedef struct +{ + uint8_t bmRequestType; /*!< characteristics of request */ + uint8_t bRequest; /*!< specific request */ + uint16_t wValue; /*!< word-sized field that varies according to request */ + uint16_t wIndex; /*!< word-sized field that varies according to request + typically used to pass an index or offset */ + uint16_t wLength; /*!< number of bytes to transfer if there is a data stage */ +}usb_setup_type; + +/** + * @brief format of standard device descriptor + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< device descriptor type */ + uint16_t bcdUSB; /*!< usb specification release number */ + uint8_t bDeviceClass; /*!< class code (assigned by the usb-if) */ + uint8_t bDeviceSubClass; /*!< subclass code (assigned by the usb-if) */ + uint8_t bDeviceProtocol; /*!< protocol code ((assigned by the usb-if)) */ + uint8_t bMaxPacketSize0; /*!< maximum packet size for endpoint zero */ + uint16_t idVendor; /*!< verndor id ((assigned by the usb-if)) */ + uint16_t idProduct; /*!< product id ((assigned by the usb-if)) */ + uint16_t bcdDevice; /*!< device release number in binary-coded decimal */ + uint8_t iManufacturer; /*!< index of string descriptor describing manufacturer */ + uint8_t iProduct; /*!< index of string descriptor describing product */ + uint8_t iSerialNumber; /*!< index of string descriptor describing serial number */ + uint8_t bNumConfigurations; /*!< number of possible configurations */ +}usb_device_desc_type; + +/** + * @brief format of standard configuration descriptor + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< configuration descriptor type */ + uint16_t wTotalLength; /*!< total length of data returned for this configuration */ + uint8_t bNumInterfaces; /*!< number of interfaces supported by this configuration */ + uint8_t bConfigurationValue; /*!< value to use as an argument to the SetConfiguration() request */ + uint8_t iConfiguration; /*!< index of string descriptor describing this configuration */ + uint8_t bmAttributes; /*!< configuration characteristics + D7 reserved + D6 self-powered + D5 remote wakeup + D4~D0 reserved */ + uint8_t bMaxPower; /*!< maximum power consumption of the usb device from the bus */ + + +}usb_configuration_desc_type; + +/** + * @brief format of standard interface descriptor + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< interface descriptor type */ + uint8_t bInterfaceNumber; /*!< number of this interface */ + uint8_t bAlternateSetting; /*!< value used to select this alternate setting for the interface */ + uint8_t bNumEndpoints; /*!< number of endpoints used by this interface */ + uint8_t bInterfaceClass; /*!< class code (assigned by the usb-if) */ + uint8_t bInterfaceSubClass; /*!< subclass code (assigned by the usb-if) */ + uint8_t bInterfaceProtocol; /*!< protocol code (assigned by the usb-if) */ + uint8_t iInterface; /*!< index of string descriptor describing this interface */ +}usb_interface_desc_type; + +/** + * @brief format of standard endpoint descriptor + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< endpoint descriptor type */ + uint8_t bEndpointAddress; /*!< the address of the endpoint on the usb device described by this descriptor */ + uint8_t bmAttributes; /*!< describes the endpoints attributes when it is configured using bConfiguration value */ + uint16_t wMaxPacketSize; /*!< maximum packet size this endpoint */ + uint8_t bInterval; /*!< interval for polling endpoint for data transfers */ +}usb_endpoint_desc_type; + +/** + * @brief format of header + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< descriptor type */ +}usb_header_desc_type; + + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif + diff --git a/bsp/at32/libraries/usbd_library/inc/usbd_core.h b/bsp/at32/libraries/usbd_library/inc/usbd_core.h new file mode 100644 index 0000000000..ba479f8078 --- /dev/null +++ b/bsp/at32/libraries/usbd_library/inc/usbd_core.h @@ -0,0 +1,181 @@ +/** + ************************************************************************** + * @file usbd_core.h + * @brief usb device core header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USBD_CORE_H +#define __USBD_CORE_H + +#ifdef __cplusplus +extern "C" { +#endif + +#include "usb_std.h" + +/** @addtogroup USBD_drivers_core + * @{ + */ + +/** @defgroup USBD_core_exported_types + * @{ + */ + +/** + * @brief usb device event + */ +typedef enum +{ + USBD_NOP_EVENT, /*!< usb device event nop */ + USBD_RESET_EVENT, /*!< usb device event reset */ + USBD_SUSPEND_EVENT, /*!< usb device event suspend */ + USBD_WAKEUP_EVENT, /*!< usb device event wakeup */ + USBD_ERR_EVENT /*!< usb device event error */ +}usbd_event_type; + +/** + * @brief usb device descriptor struct + */ +typedef struct +{ + uint16_t length; /*!< descriptor length */ + uint8_t *descriptor; /*!< descriptor string */ +}usbd_desc_t; + +/** + * @brief usb device descriptor handler + */ +typedef struct +{ + usbd_desc_t *(*get_device_descriptor)(void); /*!< get device descriptor callback */ + usbd_desc_t *(*get_device_qualifier)(void); /*!< get device qualifier callback */ + usbd_desc_t *(*get_device_configuration)(void); /*!< get device configuration callback */ + usbd_desc_t *(*get_device_other_speed)(void); /*!< get device other speed callback */ + usbd_desc_t *(*get_device_lang_id)(void); /*!< get device lang id callback */ + usbd_desc_t *(*get_device_manufacturer_string)(void); /*!< get device manufacturer callback */ + usbd_desc_t *(*get_device_product_string)(void); /*!< get device product callback */ + usbd_desc_t *(*get_device_serial_string)(void); /*!< get device serial callback */ + usbd_desc_t *(*get_device_interface_string)(void); /*!< get device interface string callback */ + usbd_desc_t *(*get_device_config_string)(void); /*!< get device device config callback */ +}usbd_desc_handler; + +/** + * @brief usb device class handler + */ +typedef struct +{ + usb_sts_type (*init_handler)(void *udev); /*!< usb class init handler */ + usb_sts_type (*clear_handler)(void *udev); /*!< usb class clear handler */ + usb_sts_type (*setup_handler)(void *udev, usb_setup_type *setup); /*!< usb class setup handler */ + usb_sts_type (*ept0_tx_handler)(void *udev); /*!< usb class endpoint 0 tx complete handler */ + usb_sts_type (*ept0_rx_handler)(void *udev); /*!< usb class endpoint 0 rx complete handler */ + usb_sts_type (*in_handler)(void *udev, uint8_t ept_num); /*!< usb class in transfer complete handler */ + usb_sts_type (*out_handler)(void *udev, uint8_t ept_num); /*!< usb class out transfer complete handler */ + usb_sts_type (*sof_handler)(void *udev); /*!< usb class sof handler */ + usb_sts_type (*event_handler)(void *udev, usbd_event_type event); /*!< usb class event handler */ + void *pdata; /*!< usb class data pointer */ +}usbd_class_handler; + +/** + * @brief usb device core struct type + */ +typedef struct +{ + usb_reg_type *usb_reg; /*!< usb register pointer */ + + usbd_class_handler *class_handler; /*!< usb device class handler pointer */ + usbd_desc_handler *desc_handler; /*!< usb device descriptor handler pointer */ + + usb_ept_info ept_in[USB_EPT_MAX_NUM]; /*!< usb in endpoint infomation struct */ + usb_ept_info ept_out[USB_EPT_MAX_NUM]; /*!< usb out endpoint infomation struct */ + + usb_setup_type setup; /*!< usb setup type struct */ + uint8_t setup_buffer[12]; /*!< usb setup request buffer */ + + uint8_t ept0_sts; /*!< usb control endpoint 0 state */ + uint8_t speed; /*!< usb speed */ + uint16_t ept0_wlength; /*!< usb endpoint 0 transfer length */ + + usbd_conn_state conn_state; /*!< usb current connect state */ + usbd_conn_state old_conn_state; /*!< usb save the previous connect state */ + + uint8_t device_addr; /*!< device address */ + uint8_t remote_wakup; /*!< remote wakeup state */ + uint8_t default_config; /*!< usb default config state */ + uint8_t dev_config; /*!< usb device config state */ + uint16_t config_status; /*!< usb configure status */ + + void *pdata; +}usbd_core_type; + +/** + * @} + */ + +/** @defgroup USBD_core_exported_functions + * @{ + */ +void usbd_core_in_handler(usbd_core_type *udev, uint8_t ept_num); +void usbd_core_out_handler(usbd_core_type *udev, uint8_t ept_num); +void usbd_core_setup_handler(usbd_core_type *udev, uint8_t ept_num); +void usbd_ctrl_unsupport(usbd_core_type *udev); +void usbd_ctrl_send(usbd_core_type *udev, uint8_t *buffer, uint16_t len); +void usbd_ctrl_recv(usbd_core_type *udev, uint8_t *buffer, uint16_t len); +void usbd_ctrl_send_status(usbd_core_type *udev); +void usbd_ctrl_recv_status(usbd_core_type *udev); +void usbd_set_stall(usbd_core_type *udev, uint8_t ept_addr); +void usbd_clear_stall(usbd_core_type *udev, uint8_t ept_addr); +void usbd_ept_open(usbd_core_type *udev, uint8_t ept_addr, uint8_t ept_type, uint16_t maxpacket); +void usbd_ept_close(usbd_core_type *udev, uint8_t ept_addr); +void usbd_ept_send(usbd_core_type *udev, uint8_t ept_num, uint8_t *buffer, uint16_t len); +void usbd_ept_recv(usbd_core_type *udev, uint8_t ept_num, uint8_t *buffer, uint16_t len); +void usbd_connect(usbd_core_type *udev); +void usbd_disconnect(usbd_core_type *udev); +void usbd_set_device_addr(usbd_core_type *udev, uint8_t address); +uint32_t usbd_get_recv_len(usbd_core_type *udev, uint8_t ept_addr); +usbd_conn_state usbd_connect_state_get(usbd_core_type *udev); +void usbd_ept_dbuffer_enable(usbd_core_type *udev, uint8_t ept_addr); +void usbd_ept_buf_auto_define(usb_ept_info *ept_info); +void usbd_ept_buf_custom_define( usbd_core_type *udev, uint8_t ept_addr, + uint32_t addr); +void usbd_ept_defaut_init(usbd_core_type *udev); +void usbd_remote_wakeup(usbd_core_type *udev); +void usbd_enter_suspend(usbd_core_type *udev); +void usbd_core_init(usbd_core_type *udev, + usb_reg_type *usb_reg, + uint8_t core_id); + + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif + diff --git a/bsp/at32/libraries/usbd_library/inc/usbd_int.h b/bsp/at32/libraries/usbd_library/inc/usbd_int.h new file mode 100644 index 0000000000..6723db625a --- /dev/null +++ b/bsp/at32/libraries/usbd_library/inc/usbd_int.h @@ -0,0 +1,72 @@ +/** + ************************************************************************** + * @file usb_int.h + * @brief usb header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USB_INT_H +#define __USB_INT_H + +#ifdef __cplusplus +extern "C" { +#endif + +/* includes ------------------------------------------------------------------*/ +#include "usbd_core.h" + +/** @addtogroup USBD_drivers_interrupt + * @{ + */ + +/** @defgroup USBD_int_exported_functions + * @{ + */ +void usbd_irq_handler(usbd_core_type *udev); +void usbd_ept_loop_handler(usbd_core_type *udev); +void usbd_eptn_handler(usbd_core_type *udev, usb_ept_number_type ept_num); +void usbd_reset_handler(usbd_core_type *udev); +void usbd_sof_handler(usbd_core_type *udev); +void usbd_suspend_handler(usbd_core_type *udev); +void usbd_wakeup_handler(usbd_core_type *udev); + +/* callback for rt-thread */ +void usbd_reset_callback(usbd_core_type *udev); +void usbd_setup_phase_done_callback(usbd_core_type *udev); +void usbd_data_in_stage_callback(usbd_core_type *udev, uint32_t ept_num); +void usbd_sof_callback(usbd_core_type *udev); +void usbd_data_out_stage_callback(usbd_core_type *udev, uint32_t ept_num); +void usbd_connectCallback(usbd_core_type *udev); +void usbd_disconnectCallback(usbd_core_type *udev); + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif +#endif + diff --git a/bsp/at32/libraries/usbd_library/inc/usbd_sdr.h b/bsp/at32/libraries/usbd_library/inc/usbd_sdr.h new file mode 100644 index 0000000000..d5d588a327 --- /dev/null +++ b/bsp/at32/libraries/usbd_library/inc/usbd_sdr.h @@ -0,0 +1,63 @@ +/** + ************************************************************************** + * @file usbd_sdr.h + * @brief usb standard request header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USBD_SDR_H +#define __USBD_SDR_H + +#ifdef __cplusplus +extern "C" { +#endif + +/* includes ------------------------------------------------------------------*/ +#include "usbd_core.h" + +/** @addtogroup USBD_drivers_standard_request + * @{ + */ + +/** @defgroup USBD_sdr_exported_functions + * @{ + */ + +void usbd_setup_request_parse(usb_setup_type *setup, uint8_t *buf); +usb_sts_type usbd_device_request(usbd_core_type *udev); +usb_sts_type usbd_interface_request(usbd_core_type *udev); +usb_sts_type usbd_endpoint_request(usbd_core_type *udev); + + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif + diff --git a/bsp/at32/libraries/usbd_library/src/usbd_core.c b/bsp/at32/libraries/usbd_library/src/usbd_core.c new file mode 100644 index 0000000000..b6bd0ca9c4 --- /dev/null +++ b/bsp/at32/libraries/usbd_library/src/usbd_core.c @@ -0,0 +1,689 @@ +/** + ************************************************************************** + * @file usbd_core.c + * @brief usb driver + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +#include "usbd_core.h" +#include "usbd_sdr.h" +#include "usbd_int.h" + +/** @defgroup USBD_drivers_core + * @brief usb device drivers core + * @{ + */ + +/** @defgroup USBD_core_private_functions + * @{ + */ + +/** + * @brief usb core in transfer complete handler + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_core_in_handler(usbd_core_type *udev, uint8_t ept_addr) +{ + /* get endpoint info*/ + usb_ept_info *ept_info = &udev->ept_in[ept_addr & 0x7F]; + + if(ept_addr == 0) + { + if(udev->ept0_sts == USB_EPT0_DATA_IN) + { + if(ept_info->rem0_len > ept_info->maxpacket) + { + ept_info->rem0_len -= ept_info->maxpacket; + usbd_ept_send(udev, 0, ept_info->trans_buf, + MIN(ept_info->rem0_len, ept_info->maxpacket)); + } + /* endpoint 0 */ + else if(ept_info->last_len == ept_info->maxpacket + && ept_info->ept0_slen >= ept_info->maxpacket + && ept_info->ept0_slen < udev->ept0_wlength) + { + ept_info->last_len = 0; + usbd_ept_send(udev, 0, 0, 0); + usbd_ept_recv(udev, ept_addr, 0, 0); + } + else + { + + usbd_ctrl_recv_status(udev); + + } + usbd_data_in_stage_callback(udev,0); + } + } + else if(udev->class_handler->in_handler != 0 && + udev->conn_state == USB_CONN_STATE_CONFIGURED) + { + /* other user define endpoint */ + usbd_data_in_stage_callback(udev,ept_addr); + } +} + +/** + * @brief usb core out transfer complete handler + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_core_out_handler(usbd_core_type *udev, uint8_t ept_addr) +{ + /* get endpoint info*/ + usb_ept_info *ept_info = &udev->ept_out[ept_addr & 0x7F]; + + if(ept_addr == 0) + { + /* endpoint 0 */ + if(udev->ept0_sts == USB_EPT0_DATA_OUT) + { + if(ept_info->rem0_len > ept_info->maxpacket) + { + ept_info->rem0_len -= ept_info->maxpacket; + usbd_ept_recv(udev, ept_addr, ept_info->trans_buf, + MIN(ept_info->rem0_len, ept_info->maxpacket)); + } + else + { + usbd_ctrl_send_status(udev); + } + + usbd_data_out_stage_callback(udev, 0); + } + } + else if(udev->class_handler->out_handler != 0 && + udev->conn_state == USB_CONN_STATE_CONFIGURED) + { + /* other user define endpoint */ + usbd_data_out_stage_callback(udev, ept_addr); + } +} + +/** + * @brief usb core setup transfer complete handler + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_core_setup_handler(usbd_core_type *udev, uint8_t ept_num) +{ + /* setup parse */ + usbd_setup_request_parse(&udev->setup, udev->setup_buffer); + + /* set ept0 status */ + udev->ept0_sts = USB_EPT0_SETUP; + udev->ept0_wlength = udev->setup.wLength; + + switch(udev->setup.bmRequestType & USB_REQ_RECIPIENT_MASK) + { + case USB_REQ_RECIPIENT_DEVICE: + /* recipient device request */ + usbd_device_request(udev); + break; + case USB_REQ_RECIPIENT_INTERFACE: + /* recipient interface request */ + usbd_interface_request(udev); + break; + case USB_REQ_RECIPIENT_ENDPOINT: + /* recipient endpoint request */ + usbd_endpoint_request(udev); + break; + default: + break; + } +} + +/** + * @brief usb control endpoint send data + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param buffer: send data buffer + * @param len: send data length + * @retval none + */ +void usbd_ctrl_send(usbd_core_type *udev, uint8_t *buffer, uint16_t len) +{ + usb_ept_info *ept_info = &udev->ept_in[0]; + + ept_info->ept0_slen = len; + ept_info->rem0_len = len; + udev->ept0_sts = USB_EPT0_DATA_IN; +} + +/** + * @brief usb control endpoint receive data + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param buffer: recv data buffer + * @param len: recv data length + * @retval none + */ +void usbd_ctrl_recv(usbd_core_type *udev, uint8_t *buffer, uint16_t len) +{ + usb_ept_info *ept_info = &udev->ept_out[0]; + + ept_info->ept0_slen = len; + ept_info->rem0_len = len; + udev->ept0_sts = USB_EPT0_DATA_OUT; + + usbd_ept_recv(udev, 0, buffer, len); +} + +/** + * @brief usb control endpoint send in status + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_ctrl_send_status(usbd_core_type *udev) +{ + udev->ept0_sts = USB_EPT0_STATUS_IN; + + usbd_ept_send(udev, 0, 0, 0); +} + +/** + * @brief usb control endpoint send out status + * @param udev: usb device core handler type + * @retval none + */ +void usbd_ctrl_recv_status(usbd_core_type *udev) +{ + udev->ept0_sts = USB_EPT0_STATUS_OUT; + + usbd_ept_recv(udev, 0, 0, 0); +} + +/** + * @brief clear endpoint stall + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_clear_stall(usbd_core_type *udev, uint8_t ept_addr) +{ + usb_ept_info *ept_info; + + if(ept_addr & 0x80) + { + /* in endpoint */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + USB_SET_TXSTS(ept_info->eptn, USB_TX_VALID); + } + else + { + /* out endpoint */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + USB_SET_RXSTS(ept_info->eptn, USB_RX_VALID); + } + ept_info->stall = 0; +} + +/** + * @brief usb set endpoint to stall. + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_set_stall(usbd_core_type *udev, uint8_t ept_addr) +{ + usb_ept_info *ept_info; + + if(ept_addr & 0x80) + { + /* in endpoint */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + USB_SET_TXSTS(ept_info->eptn, USB_TX_STALL); + } + else + { + /* out endpoint */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + USB_SET_RXSTS(ept_info->eptn, USB_RX_STALL) + } + + ept_info->stall = 1; +} + +/** + * @brief un-support device request + * @param udev: usb device core handler type + * @retval none + */ +void usbd_ctrl_unsupport(usbd_core_type *udev) +{ + /* return stall status */ + usbd_set_stall(udev, 0x00); + usbd_set_stall(udev, 0x80); +} + +/** + * @brief usb endpoint send data + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param buffer: send data buffer + * @param len: send data length + * @retval none + */ +void usbd_ept_send(usbd_core_type *udev, uint8_t ept_addr, uint8_t *buffer, uint16_t len) +{ + /* get endpoint info struct and register */ + usb_ept_info *ept_info = &udev->ept_in[ept_addr & 0x7F]; + uint16_t trs_len = 0; + usbd_type *usbx = udev->usb_reg; + + /* set send data buffer and length */ + ept_info->trans_buf = buffer; + ept_info->total_len = len; + ept_info->trans_len = 0; + + if(ept_info->total_len > ept_info->maxpacket) + { + trs_len = ept_info->maxpacket; + ept_info->total_len -= trs_len; + } + else + { + trs_len = len; + ept_info->total_len = 0; + } + + ept_info->last_len = trs_len; + + if(ept_info->is_double_buffer == 0) + { + /* write data to endpoint buffer */ + usb_write_packet(ept_info->trans_buf, ept_info->tx_addr, trs_len); + + /* set send data length */ + USB_SET_TXLEN((ept_addr & 0x7F), trs_len); + } + else + { + if(usbx->ept_bit[ept_addr & 0x7F].txdts) + { + USB_SET_EPT_DOUBLE_BUF1_LEN((ept_addr & 0x7F), trs_len, DATA_TRANS_IN); + usb_write_packet(ept_info->trans_buf, ept_info->rx_addr, trs_len); + } + else + { + USB_SET_EPT_DOUBLE_BUF0_LEN((ept_addr & 0x7F), trs_len, DATA_TRANS_IN); + usb_write_packet(ept_info->trans_buf, ept_info->tx_addr, trs_len); + } + USB_FREE_DB_USER_BUFFER((ept_addr & 0x7F), DATA_TRANS_IN); + } + + /* set tx status valid */ + USB_SET_TXSTS((ept_addr & 0x7F), USB_TX_VALID); +} + +/** + * @brief usb endpoint receive data + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param buffer: receive data buffer + * @param len: receive data length + * @retval none + */ +void usbd_ept_recv(usbd_core_type *udev, uint8_t ept_addr, uint8_t *buffer, uint16_t len) +{ + /* get endpoint info struct and register */ + usb_ept_info *ept_info = &udev->ept_out[ept_addr & 0x7F]; + uint32_t trs_len = 0; + + /* set receive data buffer and length */ + ept_info->trans_buf = buffer; + ept_info->total_len = len; + ept_info->trans_len = 0; + + if(ept_info->total_len > ept_info->maxpacket) + { + trs_len = ept_info->maxpacket; + ept_info->total_len -= trs_len; + } + else + { + trs_len = len; + ept_info->total_len = 0; + } + + /* set rx status valid */ + USB_SET_RXSTS((ept_addr & 0x7F), USB_RX_VALID); +} + + +/** + * @brief usb endpoint get receive data length + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +uint32_t usbd_get_recv_len(usbd_core_type *udev, uint8_t ept_addr) +{ + usb_ept_info *ept = &udev->ept_out[ept_addr & 0x7F]; + return ept->trans_len; +} + +/** + * @brief enable endpoint double buffer. + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_ept_dbuffer_enable(usbd_core_type *udev, uint8_t ept_addr) +{ + usb_ept_info *ept_info; + if((ept_addr & 0x80) == 0) + { + /* out endpoint info */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + } + else + { + /* in endpoint info */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + } + ept_info->is_double_buffer = TRUE; +} + +/** + * @brief usb auto define endpoint buffer + * @param usb_ept_info: endpoint information + * @retval none + */ +void usbd_ept_buf_auto_define(usb_ept_info *ept_info) +{ + if(ept_info->is_double_buffer == 0) + { + if( ept_info->inout == DATA_TRANS_IN ) + { + if(ept_info->tx_addr == 0) + ept_info->tx_addr = usb_buffer_malloc(ept_info->maxpacket); + } + else + { + if(ept_info->rx_addr == 0) + ept_info->rx_addr = usb_buffer_malloc(ept_info->maxpacket); + } + } + else + { + /* double buffer auto define */ + if(ept_info->tx_addr == 0) + ept_info->tx_addr = usb_buffer_malloc(ept_info->maxpacket); + if(ept_info->rx_addr == 0) + ept_info->rx_addr = usb_buffer_malloc(ept_info->maxpacket); + } +} + + + +/** + * @brief usb custom define endpoint buffer + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param addr: usb fifo offset address + * @retval none + */ +void usbd_ept_buf_custom_define(usbd_core_type *udev, uint8_t ept_addr, + uint32_t addr) +{ + usb_ept_info *ept_info; + if((ept_addr & 0x80) == 0) + { + /* out endpoint info */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + } + else + { + /* in endpoint info */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + } + + if(ept_info->is_double_buffer == 0) + { + if( ept_info->inout == DATA_TRANS_IN ) + { + ept_info->tx_addr = addr; + } + else + { + ept_info->rx_addr = addr; + } + } + else + { + /* double buffer malloc */ + ept_info->tx_addr = addr & 0xFFFF; + ept_info->rx_addr = (addr >> 16) & 0xFFFF; + } +} + +/** + * @brief usb open endpoint + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param ept_type: endpoint type + * @param maxpacket: endpoint support max buffer size + * @retval none + */ +void usbd_ept_open(usbd_core_type *udev, uint8_t ept_addr, uint8_t ept_type, uint16_t maxpacket) +{ + usbd_type *usbx = udev->usb_reg; + usb_ept_info *ept_info; + + if((ept_addr & 0x80) == 0) + { + /* out endpoint info */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + ept_info->inout = DATA_TRANS_OUT; + } + else + { + /* in endpoint info */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + ept_info->inout = DATA_TRANS_IN; + } + + /* set endpoint maxpacket and type */ + ept_info->maxpacket = (maxpacket + 1) & 0xFFFE; + ept_info->trans_type = ept_type; + +#ifdef USB_EPT_AUTO_MALLOC_BUFFER + usbd_ept_buf_auto_define(ept_info); +#endif + /* open endpoint */ + usb_ept_open(usbx, ept_info); +} + +/** + * @brief usb close endpoint + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_ept_close(usbd_core_type *udev, uint8_t ept_addr) +{ + usb_ept_info *ept_info; + if(ept_addr & 0x80) + { + /* in endpoint */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + } + else + { + /* out endpoint */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + } + + /* close endpoint */ + usb_ept_close(udev->usb_reg, ept_info); +} + +/** + * @brief usb device connect to host + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_connect(usbd_core_type *udev) +{ + usb_connect(udev->usb_reg); +} + +/** + * @brief usb device disconnect to host + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_disconnect(usbd_core_type *udev) +{ + usb_disconnect(udev->usb_reg); +} + +/** + * @brief usb device set device address. + * @param udev: to the structure of usbd_core_type + * @param address: host assignment address + * @retval none + */ +void usbd_set_device_addr(usbd_core_type *udev, uint8_t address) +{ + if(address == 0) + usb_set_address(udev->usb_reg, address); +} + +/** + * @brief get usb connect state + * @param udev: to the structure of usbd_core_type + * @retval usb connect state + */ +usbd_conn_state usbd_connect_state_get(usbd_core_type *udev) +{ + return udev->conn_state; +} + +/** + * @brief usb device remote wakeup + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_remote_wakeup(usbd_core_type *udev) +{ + /* set connect state */ + udev->conn_state = udev->old_conn_state; + + usb_exit_suspend(udev->usb_reg); + + usb_remote_wkup_set(udev->usb_reg); + + rt_thread_mdelay(10); + + usb_remote_wkup_clear(udev->usb_reg); + +} + +/** + * @brief usb device enter suspend mode + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_enter_suspend(usbd_core_type *udev) +{ + usb_enter_suspend(udev->usb_reg); +} + +/** + * @brief usb endpoint structure initialization + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_ept_defaut_init(usbd_core_type *udev) +{ + uint8_t i_index = 0; + /* init in endpoint info structure */ + for(i_index = 0; i_index < USB_EPT_MAX_NUM; i_index ++) + { + udev->ept_in[i_index].eptn = i_index; + udev->ept_in[i_index].ept_address = i_index; + udev->ept_in[i_index].inout = DATA_TRANS_IN; + udev->ept_in[i_index].maxpacket = 0; + udev->ept_in[i_index].trans_buf = 0; + udev->ept_in[i_index].total_len = 0; + udev->ept_in[i_index].tx_addr = 0; + udev->ept_in[i_index].rx_addr = 0; + } + + /* init out endpoint info structure */ + for(i_index = 0; i_index < USB_EPT_MAX_NUM; i_index ++) + { + udev->ept_out[i_index].eptn = i_index; + udev->ept_out[i_index].ept_address = i_index; + udev->ept_out[i_index].inout = DATA_TRANS_OUT; + udev->ept_out[i_index].maxpacket = 0; + udev->ept_out[i_index].trans_buf = 0; + udev->ept_out[i_index].total_len = 0; + udev->ept_out[i_index].rx_addr = 0; + udev->ept_out[i_index].tx_addr = 0; + } + return; +} + +/** + * @brief initializes the usb core + * @param udev: to the structure of usbd_core_type + * @param usb_reg: usb register pointer (USB) + * @param class_handler: usb class handler + * @param desc_handler: device config handler + * @param core_id: usb core id number + * @retval none + */ +void usbd_core_init(usbd_core_type *udev, + usb_reg_type *usb_reg, + uint8_t core_id) +{ + /* set usb register type */ + udev->usb_reg = usb_reg; + + /* set usb connect state to default */ + udev->conn_state = USB_CONN_STATE_DEFAULT; + + /* init in endpoint info structure */ + usbd_ept_defaut_init(udev); + +#ifdef USB_BUFFER_SIZE_EX + /* usb buffer size extend 768-1280 byte */ + usb_usbbufs_enable(usb_reg, TRUE); +#endif + + /*usb register config */ + usb_dev_init(udev->usb_reg); +} + +/** + * @} + */ + +/** + * @} + */ + diff --git a/bsp/at32/libraries/usbd_library/src/usbd_int.c b/bsp/at32/libraries/usbd_library/src/usbd_int.c new file mode 100644 index 0000000000..4684d576ad --- /dev/null +++ b/bsp/at32/libraries/usbd_library/src/usbd_int.c @@ -0,0 +1,347 @@ +/** + ************************************************************************** + * @file usbd_int.c + * @brief usb interrupt request + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +#include "usbd_int.h" + +/** @defgroup USBD_drivers_interrupt + * @brief usb device interrupt + * @{ + */ + +/** @defgroup USBD_int_private_functions + * @{ + */ + +/** + * @brief usb device interrput request handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_irq_handler(usbd_core_type *udev) +{ + usbd_type *usbx = udev->usb_reg; + uint32_t sts_val = usbx->intsts; + uint32_t sts_ien = usbx->ctrl; + + if(sts_val & USB_TC_FLAG) + { + /* endpoint tc interrupt handler */ + usbd_ept_loop_handler(udev); + } + + if(sts_val & USB_RST_FLAG) + { + /* clear reset flag */ + usb_flag_clear(usbx, USB_RST_FLAG); + + /* reset interrupt handler */ + usbd_reset_handler(udev); + } + + if((sts_val & USB_SOF_FLAG) && + (sts_ien & USB_SOF_INT)) + { + /* clear sof flag */ + usb_flag_clear(usbx, USB_SOF_FLAG); + + /* sof interrupt handler */ + usbd_sof_callback(udev); + } + + if((sts_val & USB_LSOF_FLAG) && + (sts_ien & USB_LSOF_INT)) + { + /* clear lsof flag */ + usb_flag_clear(usbx, USB_LSOF_FLAG); + } + + if((sts_val & USB_SP_FLAG) && + (sts_ien & USB_SP_INT)) + { + /* clear suspend flag */ + usb_flag_clear(usbx, USB_SP_FLAG); + + /* usb suspend interrupt handler */ + usbd_suspend_handler(udev); + } + + if((sts_val & USB_WK_FLAG) && + (sts_ien & USB_WK_INT)) + { + /* usb wakeup interrupt handler */ + usbd_wakeup_handler(udev); + + /* clear wakeup flag */ + usb_flag_clear(usbx, USB_WK_FLAG); + } +} + +/** + * @brief usb device endpoint request handler. + * @param udev: to the structure of usbd_core_type + * @param ept_num: endpoint number + * @retval none + */ +void usbd_eptn_handler(usbd_core_type *udev, usb_ept_number_type ept_num) +{ + usbd_type *usbx = udev->usb_reg; + usb_ept_info *ept_info; + uint32_t ept_val = usbx->ept[ept_num]; + uint16_t length; + + /* in interrupt request */ + if(ept_val & USB_TXTC) + { + /* get endpoint register and in transfer info struct */ + ept_info = &udev->ept_in[ept_num]; + + /* clear endpoint tc flag */ + USB_CLEAR_TXTC(ept_num); + + /* get endpoint tx length */ + ept_info->trans_len = USB_GET_TX_LEN(ept_num); + + /* offset the trans buffer */ + ept_info->trans_buf += ept_info->trans_len; + + if(ept_info->total_len == 0 || ept_num == USB_EPT0) + { + /* in transfer complete */ + usbd_data_in_stage_callback(udev,ept_num); + } + else + { + /* endpoint continue send data */ + usbd_ept_send(udev, ept_num, ept_info->trans_buf, ept_info->total_len); + } + /* set the host assignment address */ + if(udev->conn_state == USB_CONN_STATE_ADDRESSED && udev->device_addr > 0) + { + usb_set_address(udev->usb_reg, udev->device_addr); + udev->device_addr = 0; + } + } + else + { + /* setup and out interrupt request */ + + /* get endpoint register and out transfer info struct */ + ept_info = &udev->ept_out[ept_num]; + + if((ept_val & USB_SETUPTC) != 0) + { + /* endpoint setup interrupt request */ + + /* get endpoint received data length */ + ept_info->trans_len = USB_GET_RX_LEN(ept_num); + + /* read endpoint received data */ + usb_read_packet(udev->setup_buffer, ept_info->rx_addr, ept_info->trans_len); + + /* clear endpoint rx tc flag */ + USB_CLEAR_RXTC(USB_EPT0); + + /* endpoint setup complete handler */ + usbd_core_setup_handler(udev, ept_num); + usbd_setup_phase_done_callback(udev); + } + else if(ept_val & USB_RXTC ) + { + /* endpoint out interrupt request */ + USB_CLEAR_RXTC(ept_num); + + if(ept_info->is_double_buffer == 0) + { + /* get endpoint received data length */ + length = USB_GET_RX_LEN(ept_num); + + /* read endpoint received data */ + usb_read_packet(ept_info->trans_buf, ept_info->rx_addr, length); + } + else + { + if( ept_val & USB_RXDTS) + { + length = USB_DBUF0_GET_LEN(ept_num); + usb_read_packet(ept_info->trans_buf, ept_info->tx_addr, length); + } + else + { + length = USB_DBUF1_GET_LEN(ept_num); + usb_read_packet(ept_info->trans_buf, ept_info->rx_addr, length); + } + USB_FREE_DB_USER_BUFFER(ept_num, DATA_TRANS_OUT); + } + + /* set received data length */ + ept_info->trans_len += length; + ept_info->trans_buf += length; + + if(ept_info->total_len == 0 || length < ept_info->maxpacket || ept_num == USB_EPT0) + { + /* out transfer complete */ + usbd_data_out_stage_callback(udev, ept_num); + } + else + { + /* endpoint continue receive data */ + usbd_ept_recv(udev, ept_num, ept_info->trans_buf, ept_info->total_len); + } + } + } +} + +/** + * @brief usb device endpoint loop handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_ept_loop_handler(usbd_core_type *udev) +{ + usbd_type *usbx = udev->usb_reg; + usb_ept_number_type ept_num = USB_EPT0; + uint32_t sts_val; + + while((sts_val = usbx->intsts) & USB_TC_FLAG) + { + /* get the interrupt endpoint number */ + ept_num = (usb_ept_number_type)(sts_val & USB_EPT_NUM_FLAG); + + usbd_eptn_handler(udev, ept_num); + } +} + +/** + * @brief usb device reset interrupt request handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_reset_handler(usbd_core_type *udev) +{ + /* free usb buffer */ + usb_buffer_free(); + + usbd_ept_defaut_init(udev); +#ifndef USB_EPT_AUTO_MALLOC_BUFFER + usbd_ept_buf_custom_define(udev, 0x80, EPT0_TX_ADDR); + usbd_ept_buf_custom_define(udev, 0x00, EPT0_RX_ADDR); +#endif + + /* open endpoint 0 out */ + usbd_ept_open(udev, 0x00, EPT_CONTROL_TYPE, 0x40); + + /* open endpoint 0 in */ + usbd_ept_open(udev, 0x80, EPT_CONTROL_TYPE, 0x40); + + /* set device address to 0 */ + usb_set_address(udev->usb_reg, 0); + + /* usb connect state set to default */ + udev->conn_state = USB_CONN_STATE_DEFAULT; + + /* user define reset event */ + usbd_reset_callback(udev); +} + +/** + * @brief usb device sof interrupt request handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_sof_handler(usbd_core_type *udev) +{ + /* user sof handler in class define*/ + if(udev->class_handler->sof_handler) + udev->class_handler->sof_handler(udev); +} + +/** + * @brief usb device suspend interrupt request handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_suspend_handler(usbd_core_type *udev) +{ + /* save connect state */ + udev->old_conn_state = udev->conn_state; + + /* set current state to suspend */ + udev->conn_state = USB_CONN_STATE_SUSPENDED; + + /* enter suspend mode */ + usbd_enter_suspend(udev); +} + +/** + * @brief usb device wakup interrupt request handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_wakeup_handler(usbd_core_type *udev) +{ + /* exit suspend mode */ + usb_exit_suspend(udev->usb_reg); + + /* restore connect state */ + udev->conn_state = udev->old_conn_state; + + /* user define wakeup event */ +} + +__weak void usbd_reset_callback(usbd_core_type *udev) +{ +} + +__weak void usbd_setup_phase_done_callback(usbd_core_type *udev) +{ +} + +__weak void usbd_data_in_stage_callback(usbd_core_type *udev, uint32_t ept_num) +{ +} + +__weak void usbd_sof_callback(usbd_core_type *udev) +{ +} + +__weak void usbd_data_out_stage_callback(usbd_core_type *udev, uint32_t ept_num) +{ +} + +__weak void usbd_connectCallback(usbd_core_type *udev) +{ +} + +__weak void usbd_disconnectCallback(usbd_core_type *udev) +{ +} + +/** + * @} + */ + +/** + * @} + */ + diff --git a/bsp/at32/libraries/usbd_library/src/usbd_sdr.c b/bsp/at32/libraries/usbd_library/src/usbd_sdr.c new file mode 100644 index 0000000000..3ddf0afac8 --- /dev/null +++ b/bsp/at32/libraries/usbd_library/src/usbd_sdr.c @@ -0,0 +1,533 @@ +/** + ************************************************************************** + * @file usbd_sdr.c + * @brief usb standard device request + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +#include "usbd_sdr.h" + +/** @defgroup USBD_drivers_standard_request + * @brief usb device standard_request + * @{ + */ + +/** @defgroup USBD_sdr_private_functions + * @{ + */ + +static usb_sts_type usbd_get_descriptor(usbd_core_type *udev); +static usb_sts_type usbd_set_address(usbd_core_type *udev); +static usb_sts_type usbd_get_status(usbd_core_type *udev); +static usb_sts_type usbd_clear_feature(usbd_core_type *udev); +static usb_sts_type usbd_set_feature(usbd_core_type *udev); +static usb_sts_type usbd_get_configuration(usbd_core_type *udev); +static usb_sts_type usbd_set_configuration(usbd_core_type *udev); + +/** + * @brief usb parse standard setup request + * @param setup: setup structure + * @param buf: setup buffer + * @retval none + */ +void usbd_setup_request_parse(usb_setup_type *setup, uint8_t *buf) +{ + setup->bmRequestType = *(uint8_t *) buf; + setup->bRequest = *(uint8_t *) (buf + 1); + setup->wValue = SWAPBYTE(buf + 2); + setup->wIndex = SWAPBYTE(buf + 4); + setup->wLength = SWAPBYTE(buf + 6); +} + +/** + * @brief get usb standard device description request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_get_descriptor(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + uint16_t len = 0; + uint8_t desc_type = udev->setup.wValue >> 8; + usbd_desc_t *desc = NULL, usbd_desc = + { + .length = 0xA, + .descriptor = NULL, + }; + + switch(desc_type) + { + case USB_DESCIPTOR_TYPE_DEVICE: + case USB_DESCIPTOR_TYPE_CONFIGURATION: + desc = &usbd_desc; + break; + case USB_DESCIPTOR_TYPE_STRING: + { + uint8_t str_desc = (uint8_t)udev->setup.wValue; + switch(str_desc) + { + case USB_LANGID_STRING: + case USB_MFC_STRING: + case USB_PRODUCT_STRING: + case USB_SERIAL_STRING: + case USB_CONFIG_STRING: + case USB_INTERFACE_STRING: + desc = &usbd_desc; + break; + default: + usbd_ctrl_send(udev, desc->descriptor, len); + return ret; + } + break; + } + case USB_DESCIPTOR_TYPE_DEVICE_QUALIFIER: + usbd_ctrl_unsupport(udev); + break; + case USB_DESCIPTOR_TYPE_OTHER_SPEED: + usbd_ctrl_unsupport(udev); + return ret; + default: + usbd_ctrl_unsupport(udev); + return ret; + } + + if(desc != NULL) + { + if((desc->length != 0) && (udev->setup.wLength != 0)) + { + len = MIN(desc->length , udev->setup.wLength); + usbd_ctrl_send(udev, desc->descriptor, len); + } + } + + return ret; +} + +/** + * @brief this request sets the device address + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_set_address(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + uint8_t dev_addr; + + /* if wIndex or wLength are non-zero, then the behavior of + the device is not specified + */ + if(setup->wIndex == 0 && setup->wLength == 0) + { + dev_addr = (uint8_t)(setup->wValue) & 0x7f; + + /* device behavior when this request is received + while the device is in the configured state is not specified.*/ + if(udev->conn_state == USB_CONN_STATE_CONFIGURED ) + { + usbd_ctrl_unsupport(udev); + } + else + { + udev->device_addr = dev_addr; + + if(dev_addr != 0) + { + udev->conn_state = USB_CONN_STATE_ADDRESSED; + } + else + { + udev->conn_state = USB_CONN_STATE_DEFAULT; + } + usbd_ctrl_send_status(udev); + } + } + else + { + usbd_ctrl_unsupport(udev); + } + return ret; +} + +/** + * @brief get usb status request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_get_status(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + case USB_CONN_STATE_CONFIGURED: + if(udev->remote_wakup) + { + udev->config_status |= USB_CONF_REMOTE_WAKEUP; + } + usbd_ctrl_send(udev, (uint8_t *)(&udev->config_status), 2); + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} + +/** + * @brief clear usb feature request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_clear_feature(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + case USB_CONN_STATE_CONFIGURED: + if(setup->wValue == USB_FEATURE_REMOTE_WAKEUP) + { + udev->remote_wakup = 0; + udev->config_status &= ~USB_CONF_REMOTE_WAKEUP; + usbd_ctrl_send_status(udev); + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} + +/** + * @brief set usb feature request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_set_feature(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + if(setup->wValue == USB_FEATURE_REMOTE_WAKEUP) + { + udev->remote_wakup = 1; + usbd_ctrl_send_status(udev); + } + return ret; +} + +/** + * @brief get usb configuration request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_get_configuration(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + if(setup->wLength != 1) + { + usbd_ctrl_unsupport(udev); + } + else + { + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + udev->default_config = 0; + usbd_ctrl_send(udev, (uint8_t *)(&udev->default_config), 1); + break; + case USB_CONN_STATE_CONFIGURED: + usbd_ctrl_send(udev, (uint8_t *)(&udev->dev_config), 1); + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + } + return ret; +} + +/** + * @brief sets the usb device configuration request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_set_configuration(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + static uint8_t config_value; + usb_setup_type *setup = &udev->setup; + config_value = (uint8_t)setup->wValue; + + if(setup->wIndex == 0 && setup->wLength == 0) + { + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + if(config_value) + { + udev->dev_config = config_value; + udev->conn_state = USB_CONN_STATE_CONFIGURED; + usbd_ctrl_send_status(udev); + } + else + { + usbd_ctrl_send_status(udev); + } + + break; + case USB_CONN_STATE_CONFIGURED: + if(config_value == 0) + { + udev->conn_state = USB_CONN_STATE_ADDRESSED; + udev->dev_config = config_value; + usbd_ctrl_send_status(udev); + } + else if(config_value == udev->dev_config) + { + udev->dev_config = config_value; + usbd_ctrl_send_status(udev); + } + else + { + usbd_ctrl_send_status(udev); + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + } + else + { + usbd_ctrl_unsupport(udev); + } + return ret; +} + +/** + * @brief standard usb device requests + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +usb_sts_type usbd_device_request(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + if((setup->bmRequestType & USB_REQ_TYPE_RESERVED) != USB_REQ_TYPE_STANDARD) + { + udev->class_handler->setup_handler(udev, &udev->setup); + return ret; + } + switch(udev->setup.bRequest) + { + case USB_STD_REQ_GET_STATUS: + usbd_get_status(udev); + break; + case USB_STD_REQ_CLEAR_FEATURE: + usbd_clear_feature(udev); + break; + case USB_STD_REQ_SET_FEATURE: + usbd_set_feature(udev); + break; + case USB_STD_REQ_SET_ADDRESS: + usbd_set_address(udev); + break; + case USB_STD_REQ_GET_DESCRIPTOR: + usbd_get_descriptor(udev); + break; + case USB_STD_REQ_GET_CONFIGURATION: + usbd_get_configuration(udev); + break; + case USB_STD_REQ_SET_CONFIGURATION: + usbd_set_configuration(udev); + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} + +/** + * @brief standard usb interface requests + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +usb_sts_type usbd_interface_request(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + switch(udev->conn_state) + { + case USB_CONN_STATE_CONFIGURED: + switch(setup->bmRequestType & USB_REQ_TYPE_RESERVED) + { + case USB_REQ_TYPE_CLASS: + if(setup->wLength) + { + if(setup->bmRequestType & USB_REQ_DIR_DTH) + { + USB_SET_RXSTS((udev->ept_in[0].ept_address & 0x7F), USB_RX_VALID); + } + else + { + usbd_ept_send(udev, 0, 0, 0); + USB_SET_TXSTS((udev->ept_in[0].ept_address & 0x7F), USB_TX_VALID); + } + } + default: + break; + } + + if(setup->wLength == 0) + { + usbd_ctrl_send_status(udev); + } + break; + + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} + +/** + * @brief standard usb endpoint requests + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +usb_sts_type usbd_endpoint_request(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + uint8_t ept_addr = LBYTE(setup->wIndex); + usb_ept_info *ept_info; + + if((setup->bmRequestType & USB_REQ_TYPE_RESERVED) == USB_REQ_TYPE_CLASS) + { + udev->class_handler->setup_handler(udev, &udev->setup); + } + switch(setup->bRequest) + { + case USB_STD_REQ_GET_STATUS: + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + if((ept_addr & 0x7F) != 0) + { + usbd_set_stall(udev, ept_addr); + } + break; + case USB_CONN_STATE_CONFIGURED: + { + if((ept_addr & 0x80) != 0) + { + ept_info = &udev->ept_in[ept_addr & 0x7F]; + } + else + { + ept_info = &udev->ept_out[ept_addr & 0x7F]; + } + if(ept_info->stall == 1) + { + ept_info->status = 0x0001; + } + else + { + ept_info->status = 0x0000; + } + usbd_ctrl_send(udev, (uint8_t *)(&ept_info->status), 2); + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + break; + case USB_STD_REQ_CLEAR_FEATURE: + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + if((ept_addr != 0x00) && (ept_addr != 0x80)) + { + usbd_set_stall(udev, ept_addr); + } + break; + case USB_CONN_STATE_CONFIGURED: + if(setup->wValue == USB_FEATURE_EPT_HALT) + { + if((ept_addr & 0x7F) != 0x00 ) + { + usbd_clear_stall(udev, ept_addr); + udev->class_handler->setup_handler(udev, &udev->setup); + } + usbd_ctrl_send_status(udev); + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + break; + case USB_STD_REQ_SET_FEATURE: + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + if((ept_addr != 0x00) && (ept_addr != 0x80)) + { + usbd_set_stall(udev, ept_addr); + } + break; + case USB_CONN_STATE_CONFIGURED: + if(setup->wValue == USB_FEATURE_EPT_HALT) + { + if((ept_addr != 0x00) && (ept_addr != 0x80)) + { + usbd_set_stall(udev, ept_addr); + } + } + udev->class_handler->setup_handler(udev, &udev->setup); + usbd_ctrl_send_status(udev); + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} + +/** + * @} + */ + +/** + * @} + */ + diff --git a/bsp/at32/libraries/usbfs_library/SConscript b/bsp/at32/libraries/usbfs_library/SConscript new file mode 100644 index 0000000000..d22ed3f0b1 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/SConscript @@ -0,0 +1,27 @@ +# RT-Thread building script for component +Import('RTT_ROOT') +Import('rtconfig') +from building import * + +# get current directory +cwd = GetCurrentDir() + +# add the general drivers. +src = Split(""" +""") + +# The set of source files associated with this SConscript file. +if GetDepend('BSP_USING_USBFS'): + src += ['src/usb_core.c'] + +if GetDepend('RT_USING_USB_DEVICE'): + src += ['src/usbd_core.c', 'src/usbd_int.c', 'src/usbd_sdr.c'] + +if GetDepend('RT_USING_USB_HOST'): + src += ['src/usbh_core.c', 'src/usbh_int.c', 'src/usbh_ctrl.c'] + +CPPPATH = [cwd + '/inc'] + +group = DefineGroup('usbfs_library', src, depend = [''], CPPPATH = CPPPATH) + +Return('group') diff --git a/bsp/at32/libraries/usbfs_library/inc/usb_core.h b/bsp/at32/libraries/usbfs_library/inc/usb_core.h new file mode 100644 index 0000000000..de67131fde --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/inc/usb_core.h @@ -0,0 +1,122 @@ +/** + ************************************************************************** + * @file usb_core.h + * @brief usb core header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USB_CORE_H +#define __USB_CORE_H + +#ifdef __cplusplus +extern "C" { +#endif + +#include "usb_std.h" + +#ifdef USE_OTG_DEVICE_MODE +#include "usbd_core.h" +#endif +#ifdef USE_OTG_HOST_MODE +#include "usbh_core.h" +#endif + +/** @addtogroup USB_drivers_core + * @{ + */ + +/** @defgroup USB_core_exported_types + * @{ + */ + +/** + * @brief usb core speed select + */ +typedef enum +{ + USB_LOW_SPEED_CORE_ID, /*!< usb low speed core id */ + USB_FULL_SPEED_CORE_ID, /*!< usb full speed core id */ + USB_HIGH_SPEED_CORE_ID, /*!< usb high speed core id */ +} usb_speed_type; + +/** + * @brief usb core cofig struct + */ +typedef struct +{ + uint8_t speed; /*!< otg speed */ + uint8_t dma_en; /*!< dma enable state, not use*/ + uint8_t hc_num; /*!< the otg host support number of channel */ + uint8_t ept_num; /*!< the otg device support number of endpoint */ + + uint16_t max_size; /*!< support max packet size */ + uint16_t fifo_size; /*!< the usb otg total file size */ + uint8_t phy_itface; /*!< usb phy select */ + uint8_t core_id; /*!< the usb otg core id */ + uint8_t low_power; /*!< the usb otg low power option */ + uint8_t sof_out; /*!< the sof signal output */ + uint8_t usb_id; /*!< select otgfs1 or otgfs2 */ + uint8_t vbusig; /*!< vbus ignore */ +} usb_core_cfg; + +/** + * @brief usb otg core struct type + */ +typedef struct +{ + usb_reg_type *usb_reg; /*!< the usb otg register type */ +#ifdef USE_OTG_DEVICE_MODE + usbd_core_type dev; /*!< the usb device core type */ +#endif + +#ifdef USE_OTG_HOST_MODE + usbh_core_type host; /*!< the usb host core type */ +#endif + + usb_core_cfg cfg; /*!< the usb otg core config type */ + +} otg_core_type; + +usb_sts_type usb_core_config(otg_core_type *otgdev, uint8_t core_id); +#ifdef USE_OTG_DEVICE_MODE +usb_sts_type usbd_init(otg_core_type *udev, + uint8_t core_id, uint8_t usb_id); +#endif + +#ifdef USE_OTG_HOST_MODE +usb_sts_type usbh_init(otg_core_type *hdev, + uint8_t core_id, uint8_t usb_id); +#endif + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif + diff --git a/bsp/at32/libraries/usbfs_library/inc/usb_std.h b/bsp/at32/libraries/usbfs_library/inc/usb_std.h new file mode 100644 index 0000000000..9cf57cf988 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/inc/usb_std.h @@ -0,0 +1,368 @@ +/** + ************************************************************************** + * @file usb_std.h + * @brief usb standard header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USB_STD_H +#define __USB_STD_H + +#ifdef __cplusplus +extern "C" { +#endif + +/* includes ------------------------------------------------------------------*/ +#include "drv_config.h" + +/** @addtogroup USB_standard + * @{ + */ + +/** @defgroup USB_standard_define + * @{ + */ + +/** + * @brief usb request recipient + */ +#define USB_REQ_RECIPIENT_DEVICE 0x00 /*!< usb request recipient device */ +#define USB_REQ_RECIPIENT_INTERFACE 0x01 /*!< usb request recipient interface */ +#define USB_REQ_RECIPIENT_ENDPOINT 0x02 /*!< usb request recipient endpoint */ +#define USB_REQ_RECIPIENT_OTHER 0x03 /*!< usb request recipient other */ +#define USB_REQ_RECIPIENT_MASK 0x1F /*!< usb request recipient mask */ + +/** + * @brief usb request type + */ +#define USB_REQ_TYPE_STANDARD 0x00 /*!< usb request type standard */ +#define USB_REQ_TYPE_CLASS 0x20 /*!< usb request type class */ +#define USB_REQ_TYPE_VENDOR 0x40 /*!< usb request type vendor */ +#define USB_REQ_TYPE_RESERVED 0x60 /*!< usb request type reserved */ + +/** + * @brief usb request data transfer direction + */ +#define USB_REQ_DIR_HTD 0x00 /*!< usb request data transfer direction host to device */ +#define USB_REQ_DIR_DTH 0x80 /*!< usb request data transfer direction device to host */ + +/** + * @brief usb standard device requests codes + */ +#define USB_STD_REQ_GET_STATUS 0 /*!< usb request code status */ +#define USB_STD_REQ_CLEAR_FEATURE 1 /*!< usb request code clear feature */ +#define USB_STD_REQ_SET_FEATURE 3 /*!< usb request code feature */ +#define USB_STD_REQ_SET_ADDRESS 5 /*!< usb request code address */ +#define USB_STD_REQ_GET_DESCRIPTOR 6 /*!< usb request code get descriptor */ +#define USB_STD_REQ_SET_DESCRIPTOR 7 /*!< usb request code set descriptor */ +#define USB_STD_REQ_GET_CONFIGURATION 8 /*!< usb request code get configuration */ +#define USB_STD_REQ_SET_CONFIGURATION 9 /*!< usb request code set configuration */ +#define USB_STD_REQ_GET_INTERFACE 10 /*!< usb request code get interface */ +#define USB_STD_REQ_SET_INTERFACE 11 /*!< usb request code set interface */ +#define USB_STD_REQ_SYNCH_FRAME 12 /*!< usb request code synch frame */ + +/** + * @brief usb standard device type + */ +#define USB_DESCIPTOR_TYPE_DEVICE 1 /*!< usb standard device type device */ +#define USB_DESCIPTOR_TYPE_CONFIGURATION 2 /*!< usb standard device type configuration */ +#define USB_DESCIPTOR_TYPE_STRING 3 /*!< usb standard device type string */ +#define USB_DESCIPTOR_TYPE_INTERFACE 4 /*!< usb standard device type interface */ +#define USB_DESCIPTOR_TYPE_ENDPOINT 5 /*!< usb standard device type endpoint */ +#define USB_DESCIPTOR_TYPE_DEVICE_QUALIFIER 6 /*!< usb standard device type qualifier */ +#define USB_DESCIPTOR_TYPE_OTHER_SPEED 7 /*!< usb standard device type other speed */ +#define USB_DESCIPTOR_TYPE_INTERFACE_POWER 8 /*!< usb standard device type interface power */ + +/** + * @brief usb standard string type + */ +#define USB_LANGID_STRING 0 /*!< usb standard string type lang id */ +#define USB_MFC_STRING 1 /*!< usb standard string type mfc */ +#define USB_PRODUCT_STRING 2 /*!< usb standard string type product */ +#define USB_SERIAL_STRING 3 /*!< usb standard string type serial */ +#define USB_CONFIG_STRING 4 /*!< usb standard string type config */ +#define USB_INTERFACE_STRING 5 /*!< usb standard string type interface */ + +/** + * @brief usb configuration attributes + */ +#define USB_CONF_REMOTE_WAKEUP 2 /*!< usb configuration attributes remote wakeup */ +#define USB_CONF_SELF_POWERED 1 /*!< usb configuration attributes self powered */ + +/** + * @brief usb standard feature selectors + */ +#define USB_FEATURE_EPT_HALT 0 /*!< usb standard feature selectors endpoint halt */ +#define USB_FEATURE_REMOTE_WAKEUP 1 /*!< usb standard feature selectors remote wakeup */ + +/** + * @brief usb device connect state + */ +typedef enum +{ + USB_CONN_STATE_DEFAULT =1, /*!< usb device connect state default */ + USB_CONN_STATE_ADDRESSED, /*!< usb device connect state address */ + USB_CONN_STATE_CONFIGURED, /*!< usb device connect state configured */ + USB_CONN_STATE_SUSPENDED /*!< usb device connect state suspend */ +}usbd_conn_state; + +/** + * @brief endpoint 0 state + */ +#define USB_EPT0_IDLE 0 /*!< usb endpoint state idle */ +#define USB_EPT0_SETUP 1 /*!< usb endpoint state setup */ +#define USB_EPT0_DATA_IN 2 /*!< usb endpoint state data in */ +#define USB_EPT0_DATA_OUT 3 /*!< usb endpoint state data out */ +#define USB_EPT0_STATUS_IN 4 /*!< usb endpoint state status in */ +#define USB_EPT0_STATUS_OUT 5 /*!< usb endpoint state status out */ +#define USB_EPT0_STALL 6 /*!< usb endpoint state stall */ + +/** + * @brief usb descriptor length + */ +#define USB_DEVICE_QUALIFIER_DESC_LEN 0x0A /*!< usb qualifier descriptor length */ +#define USB_DEVICE_DESC_LEN 0x12 /*!< usb device descriptor length */ +#define USB_DEVICE_CFG_DESC_LEN 0x09 /*!< usb configuration descriptor length */ +#define USB_DEVICE_IF_DESC_LEN 0x09 /*!< usb interface descriptor length */ +#define USB_DEVICE_EPT_LEN 0x07 /*!< usb endpoint descriptor length */ +#define USB_DEVICE_OTG_DESC_LEN 0x03 /*!< usb otg descriptor length */ +#define USB_DEVICE_LANGID_STR_DESC_LEN 0x04 /*!< usb lang id string descriptor length */ +#define USB_DEVICE_OTHER_SPEED_DESC_SIZ_LEN 0x09 /*!< usb other speed descriptor length */ + +/** + * @brief usb class code + */ +#define USB_CLASS_CODE_AUDIO 0x01 /*!< usb class code audio */ +#define USB_CLASS_CODE_CDC 0x02 /*!< usb class code cdc */ +#define USB_CLASS_CODE_HID 0x03 /*!< usb class code hid */ +#define USB_CLASS_CODE_PRINTER 0x07 /*!< usb class code printer */ +#define USB_CLASS_CODE_MSC 0x08 /*!< usb class code msc */ +#define USB_CLASS_CODE_HUB 0x09 /*!< usb class code hub */ +#define USB_CLASS_CODE_CDCDATA 0x0A /*!< usb class code cdc data */ +#define USB_CLASS_CODE_CCID 0x0B /*!< usb class code ccid */ +#define USB_CLASS_CODE_VIDEO 0x0E /*!< usb class code video */ +#define USB_CLASS_CODE_VENDOR 0xFF /*!< usb class code vendor */ + +/** + * @brief usb endpoint type + */ +#define USB_EPT_DESC_CONTROL 0x00 /*!< usb endpoint description type control */ +#define USB_EPT_DESC_ISO 0x01 /*!< usb endpoint description type iso */ +#define USB_EPT_DESC_BULK 0x02 /*!< usb endpoint description type bulk */ +#define USB_EPT_DESC_INTERRUPT 0x03 /*!< usb endpoint description type interrupt */ + +#define USB_EPT_DESC_NSYNC 0x00 /*!< usb endpoint description nsync */ +#define USB_ETP_DESC_ASYNC 0x04 /*!< usb endpoint description async */ +#define USB_ETP_DESC_ADAPTIVE 0x08 /*!< usb endpoint description adaptive */ +#define USB_ETP_DESC_SYNC 0x0C /*!< usb endpoint description sync */ + +#define USB_EPT_DESC_DATA_EPT 0x00 /*!< usb endpoint description data */ +#define USB_EPT_DESC_FD_EPT 0x10 /*!< usb endpoint description fd */ +#define USB_EPT_DESC_FDDATA_EPT 0x20 /*!< usb endpoint description fddata */ + +/** + * @brief usb cdc class descriptor define + */ +#define USBD_CDC_CS_INTERFACE 0x24 +#define USBD_CDC_CS_ENDPOINT 0x25 + +/** + * @brief usb cdc class sub-type define + */ +#define USBD_CDC_SUBTYPE_HEADER 0x00 +#define USBD_CDC_SUBTYPE_CMF 0x01 +#define USBD_CDC_SUBTYPE_ACM 0x02 +#define USBD_CDC_SUBTYPE_UFD 0x06 + +/** + * @brief usb cdc class request code define + */ +#define SET_LINE_CODING 0x20 +#define GET_LINE_CODING 0x21 + +/** + * @brief usb cdc class set line coding struct + */ +typedef struct +{ + uint32_t bitrate; /* line coding baud rate */ + uint8_t format; /* line coding foramt */ + uint8_t parity; /* line coding parity */ + uint8_t data; /* line coding data bit */ +}linecoding_type; + +/** + * @brief usb hid class descriptor define + */ +#define HID_CLASS_DESC_HID 0x21 +#define HID_CLASS_DESC_REPORT 0x22 +#define HID_CLASS_DESC_PHYSICAL 0x23 + +/** + * @brief usb hid class request code define + */ +#define HID_REQ_SET_PROTOCOL 0x0B +#define HID_REQ_GET_PROTOCOL 0x03 +#define HID_REQ_SET_IDLE 0x0A +#define HID_REQ_GET_IDLE 0x02 +#define HID_REQ_SET_REPORT 0x09 +#define HID_REQ_GET_REPORT 0x01 +#define HID_DESCRIPTOR_TYPE 0x21 +#define HID_REPORT_DESC 0x22 + +/** + * @brief endpoint 0 max size + */ +#define USB_MAX_EP0_SIZE 64 /*!< usb endpoint 0 max size */ + +/** + * @brief usb swap address + */ +#define SWAPBYTE(addr) (uint16_t)(((uint16_t)(*((uint8_t *)(addr)))) + \ + (((uint16_t)(*(((uint8_t *)(addr)) + 1))) << 8)) /*!< swap address */ + +/** + * @brief low byte and high byte define + */ +#define LBYTE(x) ((uint8_t)(x & 0x00FF)) /*!< low byte define */ +#define HBYTE(x) ((uint8_t)((x & 0xFF00) >>8)) /*!< high byte define*/ + +/** + * @brief usb return status + */ +typedef enum +{ + USB_OK, /*!< usb status ok */ + USB_FAIL, /*!< usb status fail */ + USB_WAIT, /*!< usb status wait */ + USB_NOT_SUPPORT, /*!< usb status not support */ + USB_ERROR, /*!< usb status error */ +}usb_sts_type; + + +/** + * @brief format of usb setup data + */ +typedef struct +{ + uint8_t bmRequestType; /*!< characteristics of request */ + uint8_t bRequest; /*!< specific request */ + uint16_t wValue; /*!< word-sized field that varies according to request */ + uint16_t wIndex; /*!< word-sized field that varies according to request + typically used to pass an index or offset */ + uint16_t wLength; /*!< number of bytes to transfer if there is a data stage */ +} usb_setup_type; + +/** + * @brief format of standard device descriptor + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< device descriptor type */ + uint16_t bcdUSB; /*!< usb specification release number */ + uint8_t bDeviceClass; /*!< class code (assigned by the usb-if) */ + uint8_t bDeviceSubClass; /*!< subclass code (assigned by the usb-if) */ + uint8_t bDeviceProtocol; /*!< protocol code ((assigned by the usb-if)) */ + uint8_t bMaxPacketSize0; /*!< maximum packet size for endpoint zero */ + uint16_t idVendor; /*!< verndor id ((assigned by the usb-if)) */ + uint16_t idProduct; /*!< product id ((assigned by the usb-if)) */ + uint16_t bcdDevice; /*!< device release number in binary-coded decimal */ + uint8_t iManufacturer; /*!< index of string descriptor describing manufacturer */ + uint8_t iProduct; /*!< index of string descriptor describing product */ + uint8_t iSerialNumber; /*!< index of string descriptor describing serial number */ + uint8_t bNumConfigurations; /*!< number of possible configurations */ +} usb_device_desc_type; + +/** + * @brief format of standard configuration descriptor + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< configuration descriptor type */ + uint16_t wTotalLength; /*!< total length of data returned for this configuration */ + uint8_t bNumInterfaces; /*!< number of interfaces supported by this configuration */ + uint8_t bConfigurationValue; /*!< value to use as an argument to the SetConfiguration() request */ + uint8_t iConfiguration; /*!< index of string descriptor describing this configuration */ + uint8_t bmAttributes; /*!< configuration characteristics + D7 reserved + D6 self-powered + D5 remote wakeup + D4~D0 reserved */ + uint8_t bMaxPower; /*!< maximum power consumption of the usb device from the bus */ + + +}usb_configuration_desc_type; + +/** + * @brief format of standard interface descriptor + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< interface descriptor type */ + uint8_t bInterfaceNumber; /*!< number of this interface */ + uint8_t bAlternateSetting; /*!< value used to select this alternate setting for the interface */ + uint8_t bNumEndpoints; /*!< number of endpoints used by this interface */ + uint8_t bInterfaceClass; /*!< class code (assigned by the usb-if) */ + uint8_t bInterfaceSubClass; /*!< subclass code (assigned by the usb-if) */ + uint8_t bInterfaceProtocol; /*!< protocol code (assigned by the usb-if) */ + uint8_t iInterface; /*!< index of string descriptor describing this interface */ +} usb_interface_desc_type; + +/** + * @brief format of standard endpoint descriptor + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< endpoint descriptor type */ + uint8_t bEndpointAddress; /*!< the address of the endpoint on the usb device described by this descriptor */ + uint8_t bmAttributes; /*!< describes the endpoints attributes when it is configured using bConfiguration value */ + uint16_t wMaxPacketSize; /*!< maximum packet size this endpoint */ + uint8_t bInterval; /*!< interval for polling endpoint for data transfers */ +} usb_endpoint_desc_type; + +/** + * @brief format of header + */ +typedef struct +{ + uint8_t bLength; /*!< size of this descriptor in bytes */ + uint8_t bDescriptorType; /*!< descriptor type */ +} usb_header_desc_type; + + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif + diff --git a/bsp/at32/libraries/usbfs_library/inc/usbd_core.h b/bsp/at32/libraries/usbfs_library/inc/usbd_core.h new file mode 100644 index 0000000000..74f521e17b --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/inc/usbd_core.h @@ -0,0 +1,176 @@ +/** + ************************************************************************** + * @file usbd_core.h + * @brief usb device core header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USBD_CORE_H +#define __USBD_CORE_H + +#ifdef __cplusplus +extern "C" { +#endif + +#include "usb_std.h" + +/** @addtogroup USBD_drivers_core + * @{ + */ + +/** @defgroup USBD_core_exported_types + * @{ + */ + +#ifdef USE_OTG_DEVICE_MODE + +/** + * @brief usb device event + */ +typedef enum +{ + USBD_NOP_EVENT, /*!< usb device nop event */ + USBD_RESET_EVENT, /*!< usb device reset event */ + USBD_SUSPEND_EVENT, /*!< usb device suspend event */ + USBD_WAKEUP_EVENT, /*!< usb device wakeup event */ + USBD_DISCONNECT_EVNET, /*!< usb device disconnect event */ + USBD_INISOINCOM_EVENT, /*!< usb device inisoincom event */ + USBD_OUTISOINCOM_EVENT, /*!< usb device outisoincom event */ + USBD_ERR_EVENT /*!< usb device error event */ +}usbd_event_type; + +/** + * @brief usb device descriptor struct + */ +typedef struct +{ + uint16_t length; /*!< descriptor length */ + uint8_t *descriptor; /*!< descriptor string */ +}usbd_desc_t; + +/** + * @brief usb device descriptor handler + */ +typedef struct +{ + usbd_desc_t *(*get_device_descriptor)(void); /*!< get device descriptor callback */ + usbd_desc_t *(*get_device_qualifier)(void); /*!< get device qualifier callback */ + usbd_desc_t *(*get_device_configuration)(void); /*!< get device configuration callback */ + usbd_desc_t *(*get_device_other_speed)(void); /*!< get device other speed callback */ + usbd_desc_t *(*get_device_lang_id)(void); /*!< get device lang id callback */ + usbd_desc_t *(*get_device_manufacturer_string)(void); /*!< get device manufacturer callback */ + usbd_desc_t *(*get_device_product_string)(void); /*!< get device product callback */ + usbd_desc_t *(*get_device_serial_string)(void); /*!< get device serial callback */ + usbd_desc_t *(*get_device_interface_string)(void); /*!< get device interface string callback */ + usbd_desc_t *(*get_device_config_string)(void); /*!< get device device config callback */ +}usbd_desc_handler; + +/** + * @brief usb device class handler + */ +typedef struct +{ + usb_sts_type (*init_handler)(void *udev); /*!< usb class init handler */ + usb_sts_type (*clear_handler)(void *udev); /*!< usb class clear handler */ + usb_sts_type (*setup_handler)(void *udev, usb_setup_type *setup); /*!< usb class setup handler */ + usb_sts_type (*ept0_tx_handler)(void *udev); /*!< usb class endpoint 0 tx complete handler */ + usb_sts_type (*ept0_rx_handler)(void *udev); /*!< usb class endpoint 0 rx complete handler */ + usb_sts_type (*in_handler)(void *udev, uint8_t ept_num); /*!< usb class in transfer complete handler */ + usb_sts_type (*out_handler)(void *udev, uint8_t ept_num); /*!< usb class out transfer complete handler */ + usb_sts_type (*sof_handler)(void *udev); /*!< usb class sof handler */ + usb_sts_type (*event_handler)(void *udev, usbd_event_type event); /*!< usb class event handler */ + void *pdata; /*!< usb class data pointer */ +}usbd_class_handler; + +/** + * @brief usb device core struct type + */ +typedef struct +{ + usb_reg_type *usb_reg; /*!< usb register pointer */ + + usbd_class_handler *class_handler; /*!< usb device class handler pointer */ + usbd_desc_handler *desc_handler; /*!< usb device descriptor handler pointer */ + + usb_ept_info ept_in[USB_EPT_MAX_NUM]; /*!< usb in endpoint infomation struct */ + usb_ept_info ept_out[USB_EPT_MAX_NUM]; /*!< usb out endpoint infomation struct */ + + usb_setup_type setup; /*!< usb setup type struct */ + uint8_t setup_buffer[12]; /*!< usb setup request buffer */ + + uint8_t ept0_sts; /*!< usb control endpoint 0 state */ + uint8_t speed; /*!< usb speed */ + uint16_t ept0_wlength; /*!< usb endpoint 0 transfer length */ + + usbd_conn_state conn_state; /*!< usb current connect state */ + usbd_conn_state old_conn_state; /*!< usb save the previous connect state */ + + uint8_t device_addr; /*!< device address */ + uint8_t remote_wakup; /*!< remote wakeup state */ + uint8_t default_config; /*!< usb default config state */ + uint8_t dev_config; /*!< usb device config state */ + uint32_t config_status; /*!< usb configure status */ + + void *pdata; +}usbd_core_type; + +void usbd_core_in_handler(usbd_core_type *udev, uint8_t ept_num); +void usbd_core_out_handler(usbd_core_type *udev, uint8_t ept_num); +void usbd_core_setup_handler(usbd_core_type *udev, uint8_t ept_num); +void usbd_ctrl_unsupport(usbd_core_type *udev); +void usbd_ctrl_send(usbd_core_type *udev, uint8_t *buffer, uint16_t len); +void usbd_ctrl_recv(usbd_core_type *udev, uint8_t *buffer, uint16_t len); +void usbd_ctrl_send_status(usbd_core_type *udev); +void usbd_ctrl_recv_status(usbd_core_type *udev); +void usbd_set_stall(usbd_core_type *udev, uint8_t ept_addr); +void usbd_clear_stall(usbd_core_type *udev, uint8_t ept_addr); +void usbd_ept_open(usbd_core_type *udev, uint8_t ept_addr, uint8_t ept_type, uint16_t maxpacket); +void usbd_ept_close(usbd_core_type *udev, uint8_t ept_addr); +void usbd_ept_send(usbd_core_type *udev, uint8_t ept_num, uint8_t *buffer, uint16_t len); +void usbd_ept_recv(usbd_core_type *udev, uint8_t ept_num, uint8_t *buffer, uint16_t len); +void usbd_connect(usbd_core_type *udev); +void usbd_disconnect(usbd_core_type *udev); +void usbd_set_device_addr(usbd_core_type *udev, uint8_t address); +uint32_t usbd_get_recv_len(usbd_core_type *udev, uint8_t ept_addr); +void usb_ept_defaut_init(usbd_core_type *udev); +usbd_conn_state usbd_connect_state_get(usbd_core_type *udev); +void usbd_remote_wakeup(usbd_core_type *udev); +void usbd_enter_suspend(usbd_core_type *udev); +void usbd_flush_tx_fifo(usbd_core_type *udev, uint8_t ept_num); +void usbd_fifo_alloc(usbd_core_type *udev); +usb_sts_type usbd_core_init(usbd_core_type *udev, + usb_reg_type *usb_reg, + uint8_t core_id); +#endif + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/bsp/at32/libraries/usbfs_library/inc/usbd_int.h b/bsp/at32/libraries/usbfs_library/inc/usbd_int.h new file mode 100644 index 0000000000..95f645ee59 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/inc/usbd_int.h @@ -0,0 +1,85 @@ +/** + ************************************************************************** + * @file usbd_int.h + * @brief usb interrupt header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USBD_INT_H +#define __USBD_INT_H + +#ifdef __cplusplus +extern "C" { +#endif + +/* includes ------------------------------------------------------------------*/ +#include "usbd_core.h" +#include "usb_core.h" + +#ifdef USE_OTG_DEVICE_MODE + +/** @addtogroup USBD_drivers_int + * @{ + */ + +/** @defgroup USBD_interrupt_exported_types + * @{ + */ + +void usbd_irq_handler(otg_core_type *udev); +void usbd_ept_handler(usbd_core_type *udev); +void usbd_reset_handler(usbd_core_type *udev); +void usbd_sof_handler(usbd_core_type *udev); +void usbd_suspend_handler(usbd_core_type *udev); +void usbd_wakeup_handler(usbd_core_type *udev); +void usbd_inept_handler(usbd_core_type *udev); +void usbd_outept_handler(usbd_core_type *udev); +void usbd_enumdone_handler(usbd_core_type *udev); +void usbd_rxflvl_handler(usbd_core_type *udev); +void usbd_incomisioin_handler(usbd_core_type *udev); +void usbd_discon_handler(usbd_core_type *udev); +void usbd_incomisoout_handler(usbd_core_type *udev); +void usb_write_empty_txfifo(usbd_core_type *udev, uint32_t ept_num); + +/* callback for rt-thread */ +void usbd_reset_callback(usbd_core_type *udev); +void usbd_setup_phase_done_callback(usbd_core_type *udev); +void usbd_data_in_stage_callback(usbd_core_type *udev, uint32_t ept_num); +void usbd_sof_callback(usbd_core_type *udev); +void usbd_data_out_stage_callback(usbd_core_type *udev, uint32_t ept_num); +void usbd_connectCallback(usbd_core_type *udev); +void usbd_disconnectCallback(usbd_core_type *udev); + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif + +#endif diff --git a/bsp/at32/libraries/usbfs_library/inc/usbd_sdr.h b/bsp/at32/libraries/usbfs_library/inc/usbd_sdr.h new file mode 100644 index 0000000000..a95e67a475 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/inc/usbd_sdr.h @@ -0,0 +1,65 @@ +/** + ************************************************************************** + * @file usb_sdr.h + * @brief usb header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USB_SDR_H +#define __USB_SDR_H + +#ifdef __cplusplus +extern "C" { +#endif + +/* includes ------------------------------------------------------------------*/ +#include "usb_core.h" + +#ifdef USE_OTG_DEVICE_MODE + +/** @addtogroup USBD_drivers_standard_request + * @{ + */ + +/** @defgroup USBD_sdr_exported_functions + * @{ + */ + +void usbd_setup_request_parse(usb_setup_type *setup, uint8_t *buf); +usb_sts_type usbd_device_request(usbd_core_type *udev); +usb_sts_type usbd_interface_request(usbd_core_type *udev); +usb_sts_type usbd_endpoint_request(usbd_core_type *udev); + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif + +#endif diff --git a/bsp/at32/libraries/usbfs_library/inc/usbh_core.h b/bsp/at32/libraries/usbfs_library/inc/usbh_core.h new file mode 100644 index 0000000000..333dee5a01 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/inc/usbh_core.h @@ -0,0 +1,367 @@ +/** + ************************************************************************** + * @file usbh_core.h + * @brief usb host core header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USBH_CORE_H +#define __USBH_CORE_H + +#ifdef __cplusplus +extern "C" { +#endif + +#include "usb_std.h" + +/** @addtogroup USBH_drivers_core + * @{ + */ + +/** @defgroup USBH_core_exported_types + * @{ + */ + +#ifdef USE_OTG_HOST_MODE + +/** + * @brief usb channel flag + */ +typedef enum +{ + HCH_IDLE, /*!< usb host channel idle */ + HCH_XFRC, /*!< usb host channel transfer completed */ + HCH_HALTED, /*!< usb host channel halted */ + HCH_NAK, /*!< usb host channel nak */ + HCH_NYET, /*!< usb host channel nyet */ + HCH_STALL, /*!< usb host channel stall */ + HCH_XACTERR, /*!< usb host channel transaction error */ + HCH_BBLERR, /*!< usb host channel babble error */ + HCH_DATATGLERR /*!< usb host channel data toggle error */ +} hch_sts_type; + +/** + * @brief usb channel state + */ +typedef enum +{ + URB_IDLE = 0, /*!< usb request idle state */ + URB_DONE, /*!< usb request done state */ + URB_NOTREADY, /*!< usb request not ready state */ + URB_NYET, /*!< usb request nyet stat e*/ + URB_ERROR, /*!< usb request error state */ + URB_STALL /*!< usb request stall state */ +} urb_sts_type; + +/** + * @brief usb control channel flag + */ +typedef enum +{ + CTRL_START = 0, /*!< usb control request start */ + CTRL_XFERC, /*!< usb control request completed */ + CTRL_HALTED, /*!< usb control request halted */ + CTRL_NAK, /*!< usb control request nak */ + CTRL_STALL, /*!< usb control request stall */ + CTRL_XACTERR, /*!< usb control request transaction error */ + CTRL_BBLERR, /*!< usb control request babble error */ + CTRL_DATATGLERR, /*!< usb control request data toggle error */ + CTRL_FAIL /*!< usb control request failed */ +} ctrl_sts_type; + +/** + * @brief usb host control state machine + */ +typedef enum +{ + CONTROL_IDLE, /*!< usb control state idle */ + CONTROL_SETUP, /*!< usb control state setup */ + CONTROL_SETUP_WAIT, /*!< usb control state setup wait */ + CONTROL_DATA_IN, /*!< usb control state data in */ + CONTROL_DATA_IN_WAIT, /*!< usb control state data in wait */ + CONTROL_DATA_OUT, /*!< usb control state data out */ + CONTROL_DATA_OUT_WAIT, /*!< usb control state data out wait */ + CONTROL_STATUS_IN, /*!< usb control state status in */ + CONTROL_STATUS_IN_WAIT, /*!< usb control state status in wait */ + CONTROL_STATUS_OUT, /*!< usb control state out */ + CONTROL_STATUS_OUT_WAIT, /*!< usb control state out wait */ + CONTROL_ERROR, /*!< usb control state error */ + CONTROL_STALL, /*!< usb control state stall */ + CONTROL_COMPLETE /*!< usb control state complete */ +} ctrl_ept0_sts_type; + +/** + * @brief usb host enumration state machine + */ +typedef enum +{ + ENUM_IDLE, /*!< usb host enumration state idle */ + ENUM_GET_MIN_DESC, /*!< usb host enumration state get descriptor 8 byte*/ + ENUM_GET_FULL_DESC, /*!< usb host enumration state get descriptor 18 byte*/ + ENUM_SET_ADDR, /*!< usb host enumration state set address */ + ENUM_GET_CFG, /*!< usb host enumration state get configuration */ + ENUM_GET_FULL_CFG, /*!< usb host enumration state get full configuration */ + ENUM_GET_MFC_STRING, /*!< usb host enumration state get manufacturer string */ + ENUM_GET_PRODUCT_STRING, /*!< usb host enumration state get product string */ + ENUM_GET_SERIALNUM_STRING, /*!< usb host enumration state get serial number string */ + ENUM_SET_CONFIG, /*!< usb host enumration state set config */ + ENUM_COMPLETE, /*!< usb host enumration state complete */ +} usbh_enum_sts_type; + +/** + * @brief usb host global state machine + */ +typedef enum +{ + USBH_IDLE, /*!< usb host global state idle */ + USBH_PORT_EN, /*!< usb host global state port enable */ + USBH_ATTACHED, /*!< usb host global state attached */ + USBH_DISCONNECT, /*!< usb host global state disconnect */ + USBH_DEV_SPEED, /*!< usb host global state device speed */ + USBH_ENUMERATION, /*!< usb host global state enumeration */ + USBH_CLASS_REQUEST, /*!< usb host global state class request */ + USBH_CLASS, /*!< usb host global state class */ + USBH_CTRL_XFER, /*!< usb host global state control transfer */ + USBH_USER_HANDLER, /*!< usb host global state user handler */ + USBH_SUSPEND, /*!< usb host global state suspend */ + USBH_SUSPENDED, /*!< usb host have in suspend mode */ + USBH_WAKEUP, /*!< usb host global state wakeup */ + USBH_UNSUPPORT, /*!< usb host global unsupport device */ + USBH_ERROR_STATE, /*!< usb host global state error */ +} usbh_gstate_type; + +/** + * @brief usb host transfer state + */ +typedef enum +{ + CMD_IDLE, /*!< usb host transfer state idle */ + CMD_SEND, /*!< usb host transfer state send */ + CMD_WAIT /*!< usb host transfer state wait */ +} cmd_sts_type; + +/** + * @brief usb host channel malloc state + */ +#define HCH_OK 0x0000 /*!< usb channel malloc state ok */ +#define HCH_USED 0x8000 /*!< usb channel had used */ +#define HCH_ERROR 0xFFFF /*!< usb channel error */ +#define HCH_USED_MASK 0x7FFF /*!< usb channel use mask */ + +/** + * @brief channel pid + */ +#define HCH_PID_DATA0 0 /*!< usb channel pid data 0 */ +#define HCH_PID_DATA2 1 /*!< usb channel pid data 2 */ +#define HCH_PID_DATA1 2 /*!< usb channel pid data 1 */ +#define HCH_PID_SETUP 3 /*!< usb channel pid setup */ + +/** + * @brief channel data transfer direction + */ +#define USB_REQUEST_DIR_MASK 0x80 /*!< usb request direction mask */ +#define USB_DIR_H2D USB_REQ_DIR_HTD /*!< usb request direction host to device */ +#define USB_DIR_D2H USB_REQ_DIR_DTH /*!< usb request direction device to host */ + +/** + * @brief request timeout + */ +#define DATA_STAGE_TIMEOUT 5000 /*!< usb data stage timeout */ +#define NODATA_STAGE_TIMEOUT 50 /*!< usb no-data stage timeout */ + +/** + * @brief max interface and endpoint + */ +#define USBH_MAX_ERROR_COUNT 2 /*!< usb support maximum error */ +#define USBH_MAX_INTERFACE 5 /*!< usb support maximum interface */ +#define USBH_MAX_ENDPOINT 5 /*!< usb support maximum endpoint */ + +/** + * @brief interface descriptor + */ +typedef struct +{ + usb_interface_desc_type interface; /*!< usb device interface descriptor structure */ + usb_endpoint_desc_type endpoint[USBH_MAX_ENDPOINT]; /*!< usb device endpoint descriptor structure array */ +} usb_itf_desc_type; + +/** + * @brief configure descriptor + */ +typedef struct +{ + usb_configuration_desc_type cfg; /*!< usb device configuration descriptor structure */ + usb_itf_desc_type interface[USBH_MAX_INTERFACE]; /*!< usb device interface descriptor structure array*/ +} usb_cfg_desc_type; + +/** + * @brief device descriptor + */ +typedef struct +{ + uint8_t address; /*!< usb device address */ + uint8_t speed; /*!< usb device speed */ + usb_device_desc_type dev_desc; /*!< usb device descriptor */ + usb_cfg_desc_type cfg_desc; /*!< usb device configuration */ +} usbh_dev_desc_type; + +/** + * @brief usb host control struct type + */ +typedef struct +{ + uint8_t hch_in; /*!< in channel number */ + uint8_t hch_out; /*!< out channel number */ + uint8_t ept0_size; /*!< endpoint 0 size */ + uint8_t *buffer; /*!< endpoint 0 transfer buffer */ + usb_setup_type setup; /*!< control setup type */ + uint16_t len; /*!< transfer length */ + uint8_t err_cnt; /*!< error counter */ + uint32_t timer; /*!< transfer timer */ + ctrl_sts_type sts; /*!< control transfer status */ + ctrl_ept0_sts_type state; /*!< endpoint 0 state */ +} usbh_ctrl_type; + +/** + * @brief host class handler type + */ +typedef struct +{ + usb_sts_type (*init_handler)(void *uhost); /*!< usb host class init handler */ + usb_sts_type (*reset_handler)(void *uhost); /*!< usb host class reset handler */ + usb_sts_type (*request_handler)(void *uhost); /*!< usb host class request handler */ + usb_sts_type (*process_handler)(void *uhost); /*!< usb host class process handler */ + void *pdata; /*!< usb host class data */ +} usbh_class_handler_type; + +/** + * @brief host user handler type + */ +typedef struct +{ + usb_sts_type (*user_init)(void); /*!< usb host user init handler */ + usb_sts_type (*user_reset)(void); /*!< usb host user reset handler */ + usb_sts_type (*user_attached)(void); /*!< usb host user attached handler */ + usb_sts_type (*user_disconnect)(void); /*!< usb host user disconnect handler */ + usb_sts_type (*user_speed)(uint8_t speed); /*!< usb host user speed handler */ + usb_sts_type (*user_mfc_string)(void *); /*!< usb host user manufacturer string handler */ + usb_sts_type (*user_product_string)(void *); /*!< usb host user product string handler */ + usb_sts_type (*user_serial_string)(void *); /*!< usb host user serial handler */ + usb_sts_type (*user_enumeration_done)(void); /*!< usb host user enumeration done handler */ + usb_sts_type (*user_application)(void); /*!< usb host user application handler */ + usb_sts_type (*user_active_vbus)(void *uhost, confirm_state state); /*!< usb host user active vbus */ + usb_sts_type (*user_not_support)(void); /*!< usb host user not support handler */ +} usbh_user_handler_type; + +/** + * @brief host host core handler type + */ +typedef struct +{ + usb_reg_type *usb_reg; /*!< usb register pointer */ + + uint8_t global_state; /*!< usb host global state machine */ + uint8_t enum_state; /*!< usb host enumeration state machine */ + uint8_t req_state; /*!< usb host request state machine */ + + usbh_dev_desc_type dev; /*!< usb device descriptor */ + usbh_ctrl_type ctrl; /*!< usb host control transfer struct */ + + usbh_class_handler_type *class_handler; /*!< usb host class handler pointer */ + usbh_user_handler_type *user_handler; /*!< usb host user handler pointer */ + + usb_hch_type hch[USB_HOST_CHANNEL_NUM]; /*!< usb host channel array */ + uint8_t rx_buffer[USB_MAX_DATA_LENGTH]; /*!< usb host rx buffer */ + + uint32_t conn_sts; /*!< connect status */ + uint32_t port_enable; /*!< port enable status */ + uint32_t timer; /*!< sof timer */ + + uint32_t err_cnt[USB_HOST_CHANNEL_NUM]; /*!< error counter */ + uint32_t xfer_cnt[USB_HOST_CHANNEL_NUM]; /*!< xfer counter */ + hch_sts_type hch_state[USB_HOST_CHANNEL_NUM];/*!< channel state */ + urb_sts_type urb_state[USB_HOST_CHANNEL_NUM];/*!< usb request state */ + uint16_t channel[USB_HOST_CHANNEL_NUM]; /*!< channel array */ + + void *pdata; +} usbh_core_type; + + +void usbh_free_channel(usbh_core_type *uhost, uint8_t index); +uint16_t usbh_get_free_channel(usbh_core_type *uhost); +usb_sts_type usbh_set_toggle(usbh_core_type *uhost, uint8_t hc_num, uint8_t toggle); +usb_sts_type usbh_in_out_request(usbh_core_type *uhost, uint8_t hc_num); +usb_sts_type usbh_interrupt_recv(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length); +usb_sts_type usbh_interrupt_send(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length); +usb_sts_type usbh_bulk_recv(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length); +usb_sts_type usbh_bulk_send(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length); +usb_sts_type usbh_isoc_send(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length); +usb_sts_type usbh_isoc_recv(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length); +usb_sts_type usbh_cfg_default_init(usbh_core_type *uhost); +void usbh_enter_suspend(usbh_core_type *uhost); +void usbh_resume(usbh_core_type *uhost); + +uint16_t usbh_alloc_channel(usbh_core_type *uhost, uint8_t ept_addr); +urb_sts_type usbh_get_urb_status(usbh_core_type *uhost, uint8_t ch_num); +usb_sts_type usbh_ctrl_result_check(usbh_core_type *uhost, + ctrl_ept0_sts_type next_ctrl_state, + uint8_t next_enum_state); +uint8_t usbh_alloc_address(void); +void usbh_reset_port(usbh_core_type *uhost); +usb_sts_type usbh_loop_handler(usbh_core_type *uhost); +void usbh_ch_disable(usbh_core_type *uhost, uint8_t chn); +void usbh_hc_open(usbh_core_type *uhost, + uint8_t chn, + uint8_t ept_num, + uint8_t dev_address, + uint8_t type, + uint16_t maxpacket, + uint8_t speed); +void usbh_active_vbus(usbh_core_type *uhost, confirm_state state); + +usb_sts_type usbh_core_init(usbh_core_type *uhost, + usb_reg_type *usb_reg, + uint8_t core_id); + +hch_sts_type usbh_get_status(usbh_core_type *uhost, uint8_t ch_num); + +#endif + + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/bsp/at32/libraries/usbfs_library/inc/usbh_ctrl.h b/bsp/at32/libraries/usbfs_library/inc/usbh_ctrl.h new file mode 100644 index 0000000000..d96a448573 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/inc/usbh_ctrl.h @@ -0,0 +1,98 @@ +/** + ************************************************************************** + * @file usbh_ctrl.h + * @brief usb header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USBH_CTRL_H +#define __USBH_CTRL_H + +#ifdef __cplusplus +extern "C" { +#endif + +/* includes ------------------------------------------------------------------*/ +#include "usbh_core.h" + +/** @addtogroup USBH_drivers_control + * @{ + */ + +/** @defgroup USBH_ctrl_exported_types + * @{ + */ + +usb_sts_type usbh_ctrl_send_setup(usbh_core_type *uhost, uint8_t *buffer, uint8_t hc_num); +usb_sts_type usbh_ctrl_recv_data(usbh_core_type *uhost, uint8_t *buffer, + uint16_t length, uint16_t hc_num); +usb_sts_type usbh_ctrl_send_data(usbh_core_type *uhost, uint8_t *buffer, + uint16_t length, uint16_t hc_num); +usb_sts_type usbh_ctrl_setup_handler(usbh_core_type *uhost); +usb_sts_type usbh_ctrl_setup_wait_handler(usbh_core_type *uhost, uint32_t *timeout); +usb_sts_type usbh_ctrl_data_in_handler(usbh_core_type *uhost); +usb_sts_type usbh_ctrl_data_in_wait_handler(usbh_core_type *uhost, uint32_t timeout); +usb_sts_type usbh_ctrl_data_out_handler(usbh_core_type *uhost); +usb_sts_type usbh_ctrl_data_out_wait_handler(usbh_core_type *uhost, uint32_t timeout); +usb_sts_type usbh_ctrl_status_in_handler(usbh_core_type *uhost); +usb_sts_type usbh_ctrl_status_in_wait_handler(usbh_core_type *uhost, uint32_t timeout); +usb_sts_type usbh_ctrl_status_out_handler(usbh_core_type *uhost); +usb_sts_type usbh_ctrl_status_out_wait_handler(usbh_core_type *uhost, uint32_t timeout); +usb_sts_type usbh_ctrl_error_handler(usbh_core_type *uhost); +usb_sts_type usbh_ctrl_stall_handler(usbh_core_type *uhost); +usb_sts_type usbh_ctrl_complete_handler(usbh_core_type *uhost); +usb_sts_type usbh_ctrl_transfer_loop(usbh_core_type *uhost); +usb_sts_type usbh_ctrl_request(usbh_core_type *uhost, uint8_t *buffer, uint16_t length); +usb_sts_type usbh_get_descriptor(usbh_core_type *uhost, uint16_t length, + uint8_t req_type, uint16_t wvalue, + uint8_t *buffer); +void usbh_parse_dev_desc(usbh_core_type *uhost, uint8_t *buffer, uint16_t length); +usb_header_desc_type *usbh_get_next_header(uint8_t *buf, uint16_t *index_len); +void usbh_parse_interface_desc(usb_interface_desc_type *intf, uint8_t *buf); +void usbh_parse_endpoint_desc(usb_endpoint_desc_type *ept_desc, uint8_t *buf); +usb_sts_type usbh_parse_configure_desc(usbh_core_type *uhost, + uint8_t *buffer, uint16_t length); +uint8_t usbh_find_interface(usbh_core_type *uhost, uint8_t class_code, uint8_t sub_class, uint8_t protocol); +void usbh_parse_string_desc(uint8_t *src, uint8_t *dest, uint16_t length); +usb_sts_type usbh_get_device_descriptor(usbh_core_type *uhost, uint16_t length); +usb_sts_type usbh_get_configure_descriptor(usbh_core_type *uhost, uint16_t length); +usb_sts_type usbh_get_sting_descriptor(usbh_core_type *uhost, uint8_t string_id, + uint8_t *buffer, uint16_t length); +usb_sts_type usbh_set_configuration(usbh_core_type *uhost, uint16_t config); +usb_sts_type usbh_set_address(usbh_core_type *uhost, uint8_t address); +usb_sts_type usbh_set_interface(usbh_core_type *uhost, uint8_t ept_num, uint8_t altsetting); +usb_sts_type usbh_set_feature(usbh_core_type *uhost, uint8_t feature, uint16_t index); +usb_sts_type usbh_clear_dev_feature(usbh_core_type *uhost, uint8_t feature, uint16_t index); +usb_sts_type usbh_clear_ept_feature(usbh_core_type *uhost, uint8_t ept_num, uint8_t hc_num); + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/bsp/at32/libraries/usbfs_library/inc/usbh_int.h b/bsp/at32/libraries/usbfs_library/inc/usbh_int.h new file mode 100644 index 0000000000..fac3c575e5 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/inc/usbh_int.h @@ -0,0 +1,71 @@ +/** + ************************************************************************** + * @file usbh_int.h + * @brief usb header file + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +/* define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USBH_INT_H +#define __USBH_INT_H + +#ifdef __cplusplus +extern "C" { +#endif + +/* includes ------------------------------------------------------------------*/ +#include "usbh_core.h" +#include "usb_core.h" + +/** @addtogroup USBH_drivers_int + * @{ + */ + +/** @defgroup USBH_interrupt_exported_types + * @{ + */ + +void usbh_irq_handler(otg_core_type *hdev); +void usbh_hch_handler(usbh_core_type *uhost); +void usbh_port_handler(usbh_core_type *uhost); +void usbh_disconnect_handler(usbh_core_type *uhost); +void usbh_hch_in_handler(usbh_core_type *uhost, uint8_t chn); +void usbh_hch_out_handler(usbh_core_type *uhost, uint8_t chn); +void usbh_rx_qlvl_handler(usbh_core_type *uhost); +void usbh_wakeup_handler(usbh_core_type *uhost); +void usbh_sof_handler(usbh_core_type *uhost); +void usbh_connect_callback(usbh_core_type *uhost); +void usbh_disconnect_callback(usbh_core_type *uhost); +void usbd_notify_urbchange_callback(usbh_core_type *uhost, uint8_t chnum, urb_sts_type sts); + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif + diff --git a/bsp/at32/libraries/usbfs_library/src/usb_core.c b/bsp/at32/libraries/usbfs_library/src/usb_core.c new file mode 100644 index 0000000000..6145988f25 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/src/usb_core.c @@ -0,0 +1,171 @@ +/** + ************************************************************************** + * @file usb_core.c + * @brief usb driver + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ +#include "usb_core.h" + +/** @defgroup USB_drivers_core + * @brief usb global drivers core + * @{ + */ + +/** @defgroup USB_core_private_functions + * @{ + */ + +usb_sts_type usb_core_config(otg_core_type *udev, uint8_t core_id); + +/** + * @brief usb core config + * @param otgdev: to the structure of otg_core_type + * @param core_id: usb core id number (USB_FULL_SPEED_CORE_ID) + * @retval usb_sts_type + */ +usb_sts_type usb_core_config(otg_core_type *otgdev, uint8_t core_id) +{ + /* set usb speed and core id */ + otgdev->cfg.speed = core_id; + otgdev->cfg.core_id = core_id; + + /* default sof out and vbus ignore */ + otgdev->cfg.sof_out = FALSE; + otgdev->cfg.vbusig = FALSE; + + /* set max size */ + otgdev->cfg.max_size = 64; + + /* set support number of channel and endpoint */ +#ifdef USE_OTG_HOST_MODE + otgdev->cfg.hc_num = USB_HOST_CHANNEL_NUM; +#endif +#ifdef USE_OTG_DEVICE_MODE + otgdev->cfg.ept_num = USB_EPT_MAX_NUM; +#endif + otgdev->cfg.fifo_size = OTG_FIFO_SIZE; + if(core_id == USB_FULL_SPEED_CORE_ID) + { + otgdev->cfg.phy_itface = 2; + } +#ifdef USB_SOF_OUTPUT_ENABLE + otgdev->cfg.sof_out = TRUE; +#endif + +#ifdef USB_VBUS_IGNORE + otgdev->cfg.vbusig = TRUE; +#endif + + return USB_OK; +} + +#ifdef USE_OTG_DEVICE_MODE +/** + * @brief usb device initialization + * @param otgdev: to the structure of otg_core_type + * @param core_id: usb core id number (USB_FULL_SPEED_CORE_ID) + * @param usb_id: select use OTG1 or OTG2 + * this parameter can be one of the following values: + * - USB_OTG1_ID + * - USB_OTG2_ID + * @param dev_handler: usb class callback handler + * @param desc_handler: device config callback handler + * @retval usb_sts_type + */ +usb_sts_type usbd_init(otg_core_type *otgdev, + uint8_t core_id, uint8_t usb_id) +{ + usb_sts_type usb_sts = USB_OK; + + /* select use OTG1 or OTG2 */ + otgdev->usb_reg = usb_global_select_core(usb_id); + + /* usb device core config */ + usb_core_config(otgdev, core_id); + + if(otgdev->cfg.sof_out) + { + otgdev->usb_reg->gccfg_bit.sofouten = TRUE; + } + + if(otgdev->cfg.vbusig) + { + otgdev->usb_reg->gccfg_bit.vbusig = TRUE; + } + + /* usb device core init */ + usbd_core_init(&(otgdev->dev), otgdev->usb_reg, + core_id); + + return usb_sts; +} +#endif + +#ifdef USE_OTG_HOST_MODE + +/** + * @brief usb host initialization. + * @param otgdev: to the structure of otg_core_type + * @param core_id: usb core id number (USB_FULL_SPEED_CORE_ID) + * @param usb_id: select use OTG1 or OTG2 + * this parameter can be one of the following values: + * - USB_OTG1_ID + * - USB_OTG2_ID + * @param class_handler: usb class callback handler + * @param user_handler: user callback handler + * @retval usb_sts_type + */ +usb_sts_type usbh_init(otg_core_type *otgdev, + uint8_t core_id, uint8_t usb_id) +{ + usb_sts_type status = USB_OK; + + /* select use otg1 or otg2 */ + otgdev->usb_reg = usb_global_select_core(usb_id); + + /* usb core config */ + usb_core_config(otgdev, core_id); + + if(otgdev->cfg.sof_out) + { + otgdev->usb_reg->gccfg_bit.sofouten = TRUE; + } + + if(otgdev->cfg.vbusig) + { + otgdev->usb_reg->gccfg_bit.vbusig = TRUE; + } + + /* usb host core init */ + usbh_core_init(&otgdev->host, otgdev->usb_reg, + core_id); + + return status; +} +#endif + +/** + * @} + */ + +/** + * @} + */ + diff --git a/bsp/at32/libraries/usbfs_library/src/usbd_core.c b/bsp/at32/libraries/usbfs_library/src/usbd_core.c new file mode 100644 index 0000000000..09a4259757 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/src/usbd_core.c @@ -0,0 +1,870 @@ +/** + ************************************************************************** + * @file usbd_core.c + * @brief usb device driver + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +#include "usb_core.h" +#include "usbd_core.h" +#include "usbd_sdr.h" + +/** @defgroup USBD_drivers_core + * @brief usb device drivers core + * @{ + */ + +/** @defgroup USBD_core_private_functions + * @{ + */ + +#ifdef USE_OTG_DEVICE_MODE + +/** + * @brief usb core in transfer complete handler + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_core_in_handler(usbd_core_type *udev, uint8_t ept_addr) +{ + /* get endpoint info*/ + usb_ept_info *ept_info = &udev->ept_in[ept_addr & 0x7F]; + + if(ept_addr == 0) + { + if(udev->ept0_sts == USB_EPT0_DATA_IN) + { + if(ept_info->rem0_len > ept_info->maxpacket) + { + ept_info->rem0_len -= ept_info->maxpacket; + usbd_ept_send(udev, 0, ept_info->trans_buf, + MIN(ept_info->rem0_len, ept_info->maxpacket)); + } + /* endpoint 0 */ + else if(ept_info->last_len == ept_info->maxpacket + && ept_info->ept0_slen >= ept_info->maxpacket + && ept_info->ept0_slen < udev->ept0_wlength) + { + ept_info->last_len = 0; + usbd_ept_send(udev, 0, 0, 0); + usbd_ept_recv(udev, ept_addr, 0, 0); + } + else + { + + if(udev->class_handler->ept0_tx_handler != 0 && + udev->conn_state == USB_CONN_STATE_CONFIGURED) + { + udev->class_handler->ept0_tx_handler(udev); + } + usbd_ctrl_recv_status(udev); + + } + } + } + else if(udev->class_handler->in_handler != 0 && + udev->conn_state == USB_CONN_STATE_CONFIGURED) + { + /* other user define endpoint */ + udev->class_handler->in_handler(udev, ept_addr); + } +} + +/** + * @brief usb core out transfer complete handler + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_core_out_handler(usbd_core_type *udev, uint8_t ept_addr) +{ + /* get endpoint info*/ + usb_ept_info *ept_info = &udev->ept_out[ept_addr & 0x7F]; + + if(ept_addr == 0) + { + /* endpoint 0 */ + if(udev->ept0_sts == USB_EPT0_DATA_OUT) + { + if(ept_info->rem0_len > ept_info->maxpacket) + { + ept_info->rem0_len -= ept_info->maxpacket; + usbd_ept_recv(udev, ept_addr, ept_info->trans_buf, + MIN(ept_info->rem0_len, ept_info->maxpacket)); + } + else + { + if(udev->class_handler->ept0_rx_handler != 0) + { + udev->class_handler->ept0_rx_handler(udev); + } + usbd_ctrl_send_status(udev); + } + } + } + else if(udev->class_handler->out_handler != 0 && + udev->conn_state == USB_CONN_STATE_CONFIGURED) + { + /* other user define endpoint */ + udev->class_handler->out_handler(udev, ept_addr); + } +} + +/** + * @brief usb core setup transfer complete handler + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_core_setup_handler(usbd_core_type *udev, uint8_t ept_num) +{ + /* setup parse */ + usbd_setup_request_parse(&udev->setup, udev->setup_buffer); + + /* set ept0 status */ + udev->ept0_sts = USB_EPT0_SETUP; + udev->ept0_wlength = udev->setup.wLength; + + switch(udev->setup.bmRequestType & USB_REQ_RECIPIENT_MASK) + { + case USB_REQ_RECIPIENT_DEVICE: + /* recipient device request */ + usbd_device_request(udev); + break; + case USB_REQ_RECIPIENT_INTERFACE: + /* recipient interface request */ + usbd_interface_request(udev); + break; + case USB_REQ_RECIPIENT_ENDPOINT: + /* recipient endpoint request */ + usbd_endpoint_request(udev); + break; + default: + break; + } +} + +/** + * @brief usb control endpoint send data + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param buffer: send data buffer + * @param len: send data length + * @retval none + */ +void usbd_ctrl_send(usbd_core_type *udev, uint8_t *buffer, uint16_t len) +{ + usb_ept_info *ept_info = &udev->ept_in[0]; + + ept_info->ept0_slen = len; + ept_info->rem0_len = len; + udev->ept0_sts = USB_EPT0_DATA_IN; + + usbd_ept_send(udev, 0, buffer, len); +} + +/** + * @brief usb control endpoint recv data + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param buffer: recv data buffer + * @param len: recv data length + * @retval none + */ +void usbd_ctrl_recv(usbd_core_type *udev, uint8_t *buffer, uint16_t len) +{ + usb_ept_info *ept_info = &udev->ept_out[0]; + + ept_info->ept0_slen = len; + ept_info->rem0_len = len; + udev->ept0_sts = USB_EPT0_DATA_OUT; + + usbd_ept_recv(udev, 0, buffer, len); +} + +/** + * @brief usb control endpoint send in status + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_ctrl_send_status(usbd_core_type *udev) +{ + udev->ept0_sts = USB_EPT0_STATUS_IN; + + usbd_ept_send(udev, 0, 0, 0); +} + +/** + * @brief usb control endpoint send out status + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_ctrl_recv_status(usbd_core_type *udev) +{ + udev->ept0_sts = USB_EPT0_STATUS_OUT; + + usbd_ept_recv(udev, 0, 0, 0); +} + +/** + * @brief clear endpoint stall + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_clear_stall(usbd_core_type *udev, uint8_t ept_addr) +{ + usb_ept_info *ept_info; + usb_reg_type *usbx = udev->usb_reg; + + if(ept_addr & 0x80) + { + /* in endpoint */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + } + else + { + /* out endpoint */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + } + usb_ept_clear_stall(usbx, ept_info); + ept_info->stall = 0; +} + +/** + * @brief usb set endpoint to stall status + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_set_stall(usbd_core_type *udev, uint8_t ept_addr) +{ + usb_ept_info *ept_info; + usb_reg_type *usbx = udev->usb_reg; + + if(ept_addr & 0x80) + { + /* in endpoint */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + } + else + { + /* out endpoint */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + } + usb_ept_stall(usbx, ept_info); + + ept_info->stall = 1; +} + +/** + * @brief un-support device request + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_ctrl_unsupport(usbd_core_type *udev) +{ + /* return stall status */ + usbd_set_stall(udev, 0x00); + usbd_set_stall(udev, 0x80); +} + +/** + * @brief get endpoint receive data length + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval data receive len + */ +uint32_t usbd_get_recv_len(usbd_core_type *udev, uint8_t ept_addr) +{ + usb_ept_info *ept = &udev->ept_out[ept_addr & 0x7F]; + return ept->trans_len; +} + +/** + * @brief usb open endpoint + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param ept_type: endpoint type + * @param maxpacket: endpoint support max buffer size + * @retval none + */ +void usbd_ept_open(usbd_core_type *udev, uint8_t ept_addr, uint8_t ept_type, uint16_t maxpacket) +{ + usb_reg_type *usbx = udev->usb_reg; + usb_ept_info *ept_info; + + if((ept_addr & 0x80) == 0) + { + /* out endpoint info */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + ept_info->inout = EPT_DIR_OUT; + } + else + { + /* in endpoint info */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + ept_info->inout = EPT_DIR_IN; + } + + /* set endpoint maxpacket and type */ + ept_info->maxpacket = maxpacket; + ept_info->trans_type = ept_type; + + /* open endpoint */ + usb_ept_open(usbx, ept_info); +} + +/** + * @brief usb close endpoint + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @retval none + */ +void usbd_ept_close(usbd_core_type *udev, uint8_t ept_addr) +{ + usb_ept_info *ept_info; + if(ept_addr & 0x80) + { + /* in endpoint */ + ept_info = &udev->ept_in[ept_addr & 0x7F]; + } + else + { + /* out endpoint */ + ept_info = &udev->ept_out[ept_addr & 0x7F]; + } + + /* close endpoint */ + usb_ept_close(udev->usb_reg, ept_info); +} + +/** + * @brief usb device connect to host + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_connect(usbd_core_type *udev) +{ + usb_connect(udev->usb_reg); +} + +/** + * @brief usb device disconnect to host + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_disconnect(usbd_core_type *udev) +{ + usb_disconnect(udev->usb_reg); +} + +/** + * @brief usb device set device address. + * @param udev: to the structure of usbd_core_type + * @param address: host assignment address + * @retval none + */ +void usbd_set_device_addr(usbd_core_type *udev, uint8_t address) +{ + usb_set_address(udev->usb_reg, address); +} + +/** + * @brief usb endpoint structure initialization + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usb_ept_default_init(usbd_core_type *udev) +{ + uint8_t i_index = 0; + /* init in endpoint info structure */ + for(i_index = 0; i_index < USB_EPT_MAX_NUM; i_index ++) + { + udev->ept_in[i_index].eptn = i_index; + udev->ept_in[i_index].ept_address = i_index; + udev->ept_in[i_index].inout = EPT_DIR_IN; + udev->ept_in[i_index].maxpacket = 0; + udev->ept_in[i_index].trans_buf = 0; + udev->ept_in[i_index].total_len = 0; + } + + /* init out endpoint info structure */ + for(i_index = 0; i_index < USB_EPT_MAX_NUM; i_index ++) + { + udev->ept_out[i_index].eptn = i_index; + udev->ept_out[i_index].ept_address = i_index; + udev->ept_out[i_index].inout = EPT_DIR_OUT; + udev->ept_out[i_index].maxpacket = 0; + udev->ept_out[i_index].trans_buf = 0; + udev->ept_out[i_index].total_len = 0; + } +} + +/** + * @brief endpoint send data + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param buffer: send data buffer + * @param len: send data length + * @retval none + */ +void usbd_ept_send(usbd_core_type *udev, uint8_t ept_addr, uint8_t *buffer, uint16_t len) +{ + /* get endpoint info struct and register */ + usb_reg_type *usbx = udev->usb_reg; + usb_ept_info *ept_info = &udev->ept_in[ept_addr & 0x7F]; + otg_eptin_type *ept_in = USB_INEPT(usbx, ept_info->eptn); + otg_device_type *dev = OTG_DEVICE(usbx); + uint32_t pktcnt; + + /* set send data buffer and length */ + ept_info->trans_buf = buffer; + ept_info->total_len = len; + ept_info->trans_len = 0; + + /* transfer data len is zero */ + if(ept_info->total_len == 0) + { + ept_in->dieptsiz_bit.pktcnt = 1; + ept_in->dieptsiz_bit.xfersize = 0; + } + else + { + if((ept_addr & 0x7F) == 0) // endpoint 0 + { + /* endpoint 0 */ + if(ept_info->total_len > ept_info->maxpacket) + { + ept_info->total_len = ept_info->maxpacket; + } + + /* set transfer size */ + ept_in->dieptsiz_bit.xfersize = ept_info->total_len; + + /* set packet count */ + ept_in->dieptsiz_bit.pktcnt = 1; + + ept_info->last_len = ept_info->total_len; + } + else + { + /* other endpoint */ + + /* packet count */ + pktcnt = (ept_info->total_len + ept_info->maxpacket - 1) / ept_info->maxpacket; + + /* set transfer size */ + ept_in->dieptsiz_bit.xfersize = ept_info->total_len; + + /* set packet count */ + ept_in->dieptsiz_bit.pktcnt = pktcnt; + + if(ept_info->trans_type == EPT_ISO_TYPE) + { + ept_in->dieptsiz_bit.mc = 1; + } + } + } + + if(ept_info->trans_type == EPT_ISO_TYPE) + { + if((dev->dsts_bit.soffn & 0x1) == 0) + { + ept_in->diepctl_bit.setd1pid = TRUE; + } + else + { + ept_in->diepctl_bit.setd0pid = TRUE; + } + } + + /* clear endpoint nak */ + ept_in->diepctl_bit.cnak = TRUE; + + /* endpoint enable */ + ept_in->diepctl_bit.eptena = TRUE; + + if(ept_info->trans_type == EPT_ISO_TYPE) + { + /* write data to fifo */ + usb_write_packet(usbx, ept_info->trans_buf, ept_info->eptn, ept_info->total_len); + } + if(ept_info->trans_type != EPT_ISO_TYPE) + { + if(ept_info->total_len > 0) + { + /* set in endpoint tx fifo empty interrupt mask */ + dev->diepempmsk |= 1 << ept_info->eptn; + } + } +} + +/** + * @brief endpoint receive data + * @param udev: to the structure of usbd_core_type + * @param ept_addr: endpoint number + * @param buffer: receive data buffer + * @param len: receive data length + * @retval none + */ +void usbd_ept_recv(usbd_core_type *udev, uint8_t ept_addr, uint8_t *buffer, uint16_t len) +{ + /* get endpoint info struct and register */ + usb_reg_type *usbx = udev->usb_reg; + usb_ept_info *ept_info = &udev->ept_out[ept_addr & 0x7F]; + otg_eptout_type *ept_out = USB_OUTEPT(usbx, ept_info->eptn); + otg_device_type *dev = OTG_DEVICE(usbx); + uint32_t pktcnt; + + /* set receive data buffer and length */ + ept_info->trans_buf = buffer; + ept_info->total_len = len; + ept_info->trans_len = 0; + + if((ept_addr & 0x7F) == 0) + { + /* endpoint 0 */ + ept_info->total_len = ept_info->maxpacket; + } + + if(ept_info->total_len == 0 || ((ept_addr & 0x7F) == 0)) + { + /* set transfer size */ + ept_out->doeptsiz_bit.xfersize = ept_info->maxpacket; + + /* set packet count */ + ept_out->doeptsiz_bit.pktcnt = 1; + } + else + { + pktcnt = (ept_info->total_len + ept_info->maxpacket - 1) / ept_info->maxpacket; + + /* set transfer size */ + ept_out->doeptsiz_bit.xfersize = ept_info->maxpacket * pktcnt; + + /* set packet count */ + ept_out->doeptsiz_bit.pktcnt = pktcnt; + } + + if(ept_info->trans_type == EPT_ISO_TYPE) + { + if((dev->dsts_bit.soffn & 0x01) == 0) + { + ept_out->doepctl_bit.setd1pid = TRUE; + } + else + { + ept_out->doepctl_bit.setd0pid = TRUE; + } + } + + /* clear endpoint nak */ + ept_out->doepctl_bit.cnak = TRUE; + + /* endpoint enable */ + ept_out->doepctl_bit.eptena = TRUE; +} + +/** + * @brief get usb connect state + * @param udev: to the structure of usbd_core_type + * @retval usb connect state + */ +usbd_conn_state usbd_connect_state_get(usbd_core_type *udev) +{ + return udev->conn_state; +} + +/** + * @brief usb device remote wakeup + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_remote_wakeup(usbd_core_type *udev) +{ + /* check device is in suspend mode */ + if(usb_suspend_status_get(udev->usb_reg) == 1) + { + /* set connect state */ + udev->conn_state = udev->old_conn_state; + + /* open phy clock */ + usb_open_phy_clk(udev->usb_reg); + + /* set remote wakeup */ + usb_remote_wkup_set(udev->usb_reg); + + /* delay 10 ms */ + rt_thread_mdelay(10); + + /* clear remote wakup */ + usb_remote_wkup_clear(udev->usb_reg); + } +} + +/** + * @brief usb device enter suspend mode + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_enter_suspend(usbd_core_type *udev) +{ + /* check device is in suspend mode */ + if(usb_suspend_status_get(udev->usb_reg) == 1) + { + /* stop phy clk */ + usb_stop_phy_clk(udev->usb_reg); + } +} + +/** + * @brief usb device flush in endpoint fifo + * @param udev: to the structure of usbd_core_type + * @param ept_num: endpoint number + * @retval none + */ +void usbd_flush_tx_fifo(usbd_core_type *udev, uint8_t ept_num) +{ + /* flush endpoint tx fifo */ + usb_flush_tx_fifo(udev->usb_reg, ept_num & 0x1F); +} + +/** + * @brief usb device endpoint fifo alloc + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_fifo_alloc(usbd_core_type *udev) +{ + usb_reg_type *usbx = udev->usb_reg; + + if(usbx == OTG1_GLOBAL) + { + /* set receive fifo size */ + usb_set_rx_fifo(usbx, USBD_RX_SIZE); + + /* set endpoint0 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT0, USBD_EP0_TX_SIZE); + + /* set endpoint1 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT1, USBD_EP1_TX_SIZE); + + /* set endpoint2 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT2, USBD_EP2_TX_SIZE); + + /* set endpoint3 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT3, USBD_EP3_TX_SIZE); +#if (USB_EPT_MAX_NUM == 8) + if(USB_EPT_MAX_NUM == 8) + { + /* set endpoint4 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT4, USBD_EP4_TX_SIZE); + + /* set endpoint5 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT5, USBD_EP5_TX_SIZE); + + /* set endpoint6 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT6, USBD_EP6_TX_SIZE); + + /* set endpoint7 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT7, USBD_EP7_TX_SIZE); + } +#endif + } +#ifdef OTG2_GLOBAL + if(usbx == OTG2_GLOBAL) + { + /* set receive fifo size */ + usb_set_rx_fifo(usbx, USBD2_RX_SIZE); + + /* set endpoint0 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT0, USBD2_EP0_TX_SIZE); + + /* set endpoint1 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT1, USBD2_EP1_TX_SIZE); + + /* set endpoint2 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT2, USBD2_EP2_TX_SIZE); + + /* set endpoint3 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT3, USBD2_EP3_TX_SIZE); + + if(USB_EPT_MAX_NUM == 8) + { + /* set endpoint4 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT4, USBD2_EP4_TX_SIZE); + + /* set endpoint5 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT5, USBD2_EP5_TX_SIZE); + + /* set endpoint6 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT6, USBD2_EP6_TX_SIZE); + + /* set endpoint7 tx fifo size */ + usb_set_tx_fifo(usbx, USB_EPT7, USBD2_EP7_TX_SIZE); + } + } +#endif +} + +/** + * @brief usb device core initialization + * @param udev: to the structure of usbd_core_type + * @param usb_reg: usb otgfs peripheral global register + * this parameter can be one of the following values: + * OTG1_GLOBAL , OTG2_GLOBAL + * @param class_handler: usb class handler + * @param desc_handler: device config handler + * @param core_id: usb core id number + * @retval usb_sts_type + */ +usb_sts_type usbd_core_init(usbd_core_type *udev, + usb_reg_type *usb_reg, + uint8_t core_id) +{ + usb_reg_type *usbx; + otg_device_type *dev; + otg_eptin_type *ept_in; + otg_eptout_type *ept_out; + uint32_t i_index; + + udev->usb_reg = usb_reg; + usbx = usb_reg; + dev = OTG_DEVICE(usbx); + + /* set connect state */ + udev->conn_state = USB_CONN_STATE_DEFAULT; + + /* device class config */ + udev->device_addr = 0; + /* set device disconnect */ + usbd_disconnect(udev); + + /* set endpoint to default status */ + usb_ept_default_init(udev); + + /* disable usb global interrupt */ + usb_interrupt_disable(usbx); + + /* init global register */ + usb_global_init(usbx); + + /* set device mode */ + usb_global_set_mode(usbx, OTG_DEVICE_MODE); + + /* open phy clock */ + usb_open_phy_clk(udev->usb_reg); + + /* set periodic frame interval */ + dev->dcfg_bit.perfrint = DCFG_PERFRINT_80; + + /* set device speed to full-speed */ + dev->dcfg_bit.devspd = USB_DCFG_FULL_SPEED; + + /* flush all tx fifo */ + usb_flush_tx_fifo(usbx, 16); + + /* flush share rx fifo */ + usb_flush_rx_fifo(usbx); + + /* clear all endpoint interrupt flag and mask */ + dev->daint = 0xFFFFFFFF; + dev->daintmsk = 0; + dev->diepmsk = 0; + dev->doepmsk = 0; + + for(i_index = 0; i_index < USB_EPT_MAX_NUM; i_index ++) + { + usbx->dieptxfn[i_index] = 0; + } + + /* endpoint fifo alloc */ + usbd_fifo_alloc(udev); + + /* disable all in endpoint */ + for(i_index = 0; i_index < USB_EPT_MAX_NUM; i_index ++) + { + ept_in = USB_INEPT(usbx, i_index); + if(ept_in->diepctl_bit.eptena) + { + ept_in->diepctl = 0; + ept_in->diepctl_bit.eptdis = TRUE; + ept_in->diepctl_bit.snak = TRUE; + } + else + { + ept_in->diepctl = 0; + } + ept_in->dieptsiz = 0; + ept_in->diepint = 0xFF; + } + + /* disable all out endpoint */ + for(i_index = 0; i_index < USB_EPT_MAX_NUM; i_index ++) + { + ept_out = USB_OUTEPT(usbx, i_index); + if(ept_out->doepctl_bit.eptena) + { + ept_out->doepctl = 0; + ept_out->doepctl_bit.eptdis = TRUE; + ept_out->doepctl_bit.snak = TRUE; + } + else + { + ept_out->doepctl = 0; + } + ept_out->doeptsiz = 0; + ept_out->doepint = 0xFF; + } + dev->diepmsk_bit.txfifoudrmsk = TRUE; + + /* clear global interrupt and mask */ + usbx->gintmsk = 0; + usbx->gintsts = 0xBFFFFFFF; + + /* enable global interrupt mask */ + usbx->gintmsk = USB_OTG_SOF_INT | USB_OTG_RXFLVL_INT | + USB_OTG_USBSUSP_INT | USB_OTG_USBRST_INT | + USB_OTG_ENUMDONE_INT | USB_OTG_IEPT_INT | + USB_OTG_OEPT_INT | USB_OTG_INCOMISOIN_INT | + USB_OTG_INCOMPIP_INCOMPISOOUT_INT | USB_OTG_WKUP_INT | + USB_OTG_OTGINT_INT; + + /* usb connect */ + usbd_connect(udev); + + /* enable global interrupt */ + usb_interrupt_enable(usbx); + + return USB_OK; + +} + +/** + * @} + */ + +/** + * @} + */ + +#endif diff --git a/bsp/at32/libraries/usbfs_library/src/usbd_int.c b/bsp/at32/libraries/usbfs_library/src/usbd_int.c new file mode 100644 index 0000000000..3f69c1e850 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/src/usbd_int.c @@ -0,0 +1,560 @@ +/** + ************************************************************************** + * @file usbd_int.c + * @brief usb interrupt request + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ +#include "usbd_int.h" + +/** @defgroup USBD_drivers_interrupt + * @brief usb device interrupt + * @{ + */ + +/** @defgroup USBD_int_private_functions + * @{ + */ + +#ifdef USE_OTG_DEVICE_MODE + +/** + * @brief usb device interrput request handler. + * @param otgdev: to the structure of otg_core_type + * @retval none + */ +void usbd_irq_handler(otg_core_type *otgdev) +{ + otg_global_type *usbx = otgdev->usb_reg; + usbd_core_type *udev = &otgdev->dev; + uint32_t intsts = usb_global_get_all_interrupt(usbx); + + /* check current device mode */ + if(usbx->gintsts_bit.curmode == 0) + { + /* mode mismatch interrupt */ + if(intsts & USB_OTG_MODEMIS_FLAG) + { + usb_global_clear_interrupt(usbx, USB_OTG_MODEMIS_FLAG); + } + + /* in endpoint interrupt */ + if(intsts & USB_OTG_IEPT_FLAG) + { + usbd_inept_handler(udev); + } + + /* out endpoint interrupt */ + if(intsts & USB_OTG_OEPT_FLAG) + { + usbd_outept_handler(udev); + } + + /* usb reset interrupt */ + if(intsts & USB_OTG_USBRST_FLAG) + { + usbd_reset_handler(udev); + usbd_connectCallback(udev); + usb_global_clear_interrupt(usbx, USB_OTG_USBRST_FLAG); + } + + /* sof interrupt */ + if(intsts & USB_OTG_SOF_FLAG) + { + usbd_sof_handler(udev); + usbd_sof_callback(udev); + usb_global_clear_interrupt(usbx, USB_OTG_SOF_FLAG); + } + + /* enumeration done interrupt */ + if(intsts & USB_OTG_ENUMDONE_FLAG) + { + usbd_enumdone_handler(udev); + usb_global_clear_interrupt(usbx, USB_OTG_ENUMDONE_FLAG); + } + + /* rx non-empty interrupt, indicates that there is at least one + data packet pending to be read in rx fifo */ + if(intsts & USB_OTG_RXFLVL_FLAG) + { + usbd_rxflvl_handler(udev); + } + + /* incomplete isochronous in transfer interrupt */ + if(intsts & USB_OTG_INCOMISOIN_FLAG) + { + usbd_incomisioin_handler(udev); + usb_global_clear_interrupt(usbx, USB_OTG_INCOMISOIN_FLAG); + } + #ifndef USB_VBUS_IGNORE + /* disconnect detected interrupt */ + if(intsts & USB_OTG_OTGINT_FLAG) + { + uint32_t tmp = udev->usb_reg->gotgint; + if(udev->usb_reg->gotgint_bit.sesenddet) + usbd_disconnectCallback(udev); + udev->usb_reg->gotgint = tmp; + usb_global_clear_interrupt(usbx, USB_OTG_OTGINT_FLAG); + } +#endif + /* incomplete isochronous out transfer interrupt */ + if(intsts & USB_OTG_INCOMPIP_INCOMPISOOUT_FLAG) + { + usbd_incomisoout_handler(udev); + usb_global_clear_interrupt(usbx, USB_OTG_INCOMPIP_INCOMPISOOUT_FLAG); + } + + /* resume/remote wakeup interrupt */ + if(intsts & USB_OTG_WKUP_FLAG) + { + usbd_wakeup_handler(udev); + usb_global_clear_interrupt(usbx, USB_OTG_WKUP_FLAG); + } + + /* usb suspend interrupt */ + if(intsts & USB_OTG_USBSUSP_FLAG) + { + usbd_suspend_handler(udev); + usb_global_clear_interrupt(usbx, USB_OTG_USBSUSP_FLAG); + } + } +} + +/** + * @brief usb write tx fifo. + * @param udev: to the structure of usbd_core_type + * @param ept_num: endpoint number + * @retval none + */ +void usb_write_empty_txfifo(usbd_core_type *udev, uint32_t ept_num) +{ + otg_global_type *usbx = udev->usb_reg; + usb_ept_info *ept_info = &udev->ept_in[ept_num]; + uint32_t length = ept_info->total_len - ept_info->trans_len; + uint32_t wlen = 0; + + if(length > ept_info->maxpacket) + { + length = ept_info->maxpacket; + } + wlen = (length + 3) / 4; + + while((USB_INEPT(usbx, ept_num)->dtxfsts & USB_OTG_DTXFSTS_INEPTFSAV) > wlen && + (ept_info->trans_len < ept_info->total_len) && (ept_info->total_len != 0)) + { + length = ept_info->total_len - ept_info->trans_len; + if(length > ept_info->maxpacket) + { + length = ept_info->maxpacket; + } + wlen = (length + 3) / 4; + usb_write_packet(usbx, ept_info->trans_buf, ept_num, length); + + ept_info->trans_buf += length; + ept_info->trans_len += length; + + } + if(length <= 0) + { + OTG_DEVICE(usbx)->diepempmsk &= ~(0x1 << ept_num); + } +} + + +/** + * @brief usb in endpoint handler + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_inept_handler(usbd_core_type *udev) +{ + otg_global_type *usbx = udev->usb_reg; + uint32_t ept_num = 0, ept_int; + uint32_t intsts; + + /*get all endpoint interrut */ + intsts = usb_get_all_in_interrupt(usbx); + while(intsts) + { + if(intsts & 0x1) + { + /* get endpoint interrupt flag */ + ept_int = usb_ept_in_interrupt(usbx, ept_num); + + /* transfer completed interrupt */ + if(ept_int & USB_OTG_DIEPINT_XFERC_FLAG) + { + OTG_DEVICE(usbx)->diepempmsk &= ~(1 << ept_num); + usb_ept_in_clear(usbx, ept_num , USB_OTG_DIEPINT_XFERC_FLAG); + usbd_data_in_stage_callback(udev, ept_num); + } + + /* timeout condition interrupt */ + if(ept_int & USB_OTG_DIEPINT_TIMEOUT_FLAG) + { + usb_ept_in_clear(usbx, ept_num , USB_OTG_DIEPINT_TIMEOUT_FLAG); + } + + /* in token received when tx fifo is empty */ + if(ept_int & USB_OTG_DIEPINT_INTKNTXFEMP_FLAG) + { + usb_ept_in_clear(usbx, ept_num , USB_OTG_DIEPINT_INTKNTXFEMP_FLAG); + } + + /* in endpoint nak effective */ + if(ept_int & USB_OTG_DIEPINT_INEPTNAK_FLAG) + { + usb_ept_in_clear(usbx, ept_num , USB_OTG_DIEPINT_INEPTNAK_FLAG); + } + + /* endpoint disable interrupt */ + if(ept_int & USB_OTG_DIEPINT_EPTDISD_FLAG) + { + usb_ept_in_clear(usbx, ept_num , USB_OTG_DIEPINT_EPTDISD_FLAG); + } + + /* transmit fifo empty interrupt */ + if(ept_int & USB_OTG_DIEPINT_TXFEMP_FLAG) + { + usb_write_empty_txfifo(udev, ept_num); + } + } + ept_num ++; + intsts >>= 1; + } +} + +/** + * @brief usb out endpoint handler + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_outept_handler(usbd_core_type *udev) +{ + otg_global_type *usbx = udev->usb_reg; + uint32_t ept_num = 0, ept_int; + uint32_t intsts; + + /* get all out endpoint interrupt */ + intsts = usb_get_all_out_interrupt(usbx); + + while(intsts) + { + if(intsts & 0x1) + { + /* get out endpoint interrupt */ + ept_int = usb_ept_out_interrupt(usbx, ept_num); + + /* transfer completed interrupt */ + if(ept_int & USB_OTG_DOEPINT_XFERC_FLAG) + { + usb_ept_out_clear(usbx, ept_num , USB_OTG_DOEPINT_XFERC_FLAG); + usbd_data_out_stage_callback(udev, ept_num); + } + + /* setup phase done interrupt */ + if(ept_int & USB_OTG_DOEPINT_SETUP_FLAG) + { + usb_ept_out_clear(usbx, ept_num , USB_OTG_DOEPINT_SETUP_FLAG); + + usbd_setup_phase_done_callback(udev); + if(udev->device_addr != 0) + { + OTG_DEVICE(udev->usb_reg)->dcfg_bit.devaddr = udev->device_addr; + udev->device_addr = 0; + } + } + + /* endpoint disable interrupt */ + if(ept_int & USB_OTG_DOEPINT_OUTTEPD_FLAG) + { + usb_ept_out_clear(usbx, ept_num , USB_OTG_DOEPINT_OUTTEPD_FLAG); + } + } + ept_num ++; + intsts >>= 1; + } +} + +/** + * @brief usb enumeration done handler + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_enumdone_handler(usbd_core_type *udev) +{ + otg_global_type *usbx = udev->usb_reg; + + usb_ept0_setup(usbx); + + usbx->gusbcfg_bit.usbtrdtim = USB_TRDTIM_16; + + /* open endpoint 0 out */ + usbd_ept_open(udev, 0x00, EPT_CONTROL_TYPE, 0x40); + + /* open endpoint 0 in */ + usbd_ept_open(udev, 0x80, EPT_CONTROL_TYPE, 0x40); + + /* usb connect state set to default */ + usbd_reset_callback(udev); +} + +/** + * @brief usb rx non-empty handler + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_rxflvl_handler(usbd_core_type *udev) +{ + otg_global_type *usbx = udev->usb_reg; + uint32_t stsp; + uint32_t count; + uint32_t pktsts; + usb_ept_info *ept_info; + + /* disable rxflvl interrupt */ + usb_global_interrupt_enable(usbx, USB_OTG_RXFLVL_INT, FALSE); + + /* get rx status */ + stsp = usbx->grxstsp; + + /*get the byte count of receive */ + count = (stsp & USB_OTG_GRXSTSP_BCNT) >> 4; + + /* get packet status */ + pktsts = (stsp &USB_OTG_GRXSTSP_PKTSTS) >> 17; + + /* get endpoint infomation struct */ + ept_info = &udev->ept_out[stsp & USB_OTG_GRXSTSP_EPTNUM]; + + /* received out data packet */ + if(pktsts == USB_OUT_STS_DATA) + { + if(count != 0) + { + /* read packet to buffer */ + usb_read_packet(usbx, ept_info->trans_buf, (stsp & USB_OTG_GRXSTSP_EPTNUM), count); + ept_info->trans_buf += count; + ept_info->trans_len += count; + + } + } + /* setup data received */ + else if ( pktsts == USB_SETUP_STS_DATA) + { + /* read packet to buffer */ + usb_read_packet(usbx, udev->setup_buffer, (stsp & USB_OTG_GRXSTSP_EPTNUM), count); + ept_info->trans_len += count; + } + + /* enable rxflvl interrupt */ + usb_global_interrupt_enable(usbx, USB_OTG_RXFLVL_INT, TRUE); + +} + +/** + * @brief usb disconnect handler + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_discon_handler(usbd_core_type *udev) +{ + /* disconnect callback handler */ + if(udev->class_handler->event_handler != 0) + udev->class_handler->event_handler(udev, USBD_DISCONNECT_EVNET); +} + + +/** + * @brief usb incomplete out handler + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_incomisoout_handler(usbd_core_type *udev) +{ + if(udev->class_handler->event_handler != 0) + udev->class_handler->event_handler(udev, USBD_OUTISOINCOM_EVENT); +} + +/** + * @brief usb incomplete in handler + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_incomisioin_handler(usbd_core_type *udev) +{ + if(udev->class_handler->event_handler != 0) + udev->class_handler->event_handler(udev, USBD_INISOINCOM_EVENT); +} + +/** + * @brief usb device reset interrupt request handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_reset_handler(usbd_core_type *udev) +{ + otg_global_type *usbx = udev->usb_reg; + otg_device_type *dev = OTG_DEVICE(usbx); + uint32_t i_index = 0; + + /* disable remote wakeup singal */ + dev->dctl_bit.rwkupsig = FALSE; + + /* endpoint fifo alloc */ + usbd_fifo_alloc(udev); + + /* flush all tx fifo */ + usb_flush_tx_fifo(usbx, 0x10); + + /* clear in and out endpoint interrupt flag */ + for(i_index = 0; i_index < USB_EPT_MAX_NUM; i_index ++) + { + USB_INEPT(usbx, i_index)->diepint = 0xFF; + USB_OUTEPT(usbx, i_index)->doepint = 0xFF; + } + + /* clear endpoint flag */ + dev->daint = 0xFFFFFFFF; + + /*clear endpoint interrupt mask */ + dev->daintmsk = 0x10001; + + /* enable out endpoint xfer, eptdis, setup interrupt mask */ + dev->doepmsk_bit.xfercmsk = TRUE; + dev->doepmsk_bit.eptdismsk = TRUE; + dev->doepmsk_bit.setupmsk = TRUE; + + /* enable in endpoint xfer, eptdis, timeout interrupt mask */ + dev->diepmsk_bit.xfercmsk = TRUE; + dev->diepmsk_bit.eptdismsk = TRUE; + dev->diepmsk_bit.timeoutmsk = TRUE; + + /* set device address to 0 */ + usb_set_address(usbx, 0); + + /* enable endpoint 0 */ + usb_ept0_start(usbx); + + /* usb connect state set to default */ + udev->conn_state = USB_CONN_STATE_DEFAULT; + + /* user define reset event */ + if(udev->class_handler->event_handler) + udev->class_handler->event_handler(udev, USBD_RESET_EVENT); +} + +/** + * @brief usb device sof interrupt request handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_sof_handler(usbd_core_type *udev) +{ + /* user sof handler in class define */ + if(udev->class_handler->sof_handler) + udev->class_handler->sof_handler(udev); +} + +/** + * @brief usb device suspend interrupt request handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_suspend_handler(usbd_core_type *udev) +{ + otg_global_type *usbx = udev->usb_reg; + + if(OTG_DEVICE(usbx)->dsts_bit.suspsts) + { + /* save connect state */ + udev->old_conn_state = udev->conn_state; + + /* set current state to suspend */ + udev->conn_state = USB_CONN_STATE_SUSPENDED; + + /* enter suspend mode */ + usbd_enter_suspend(udev); + + /* user suspend handler */ + if(udev->class_handler->event_handler != 0) + udev->class_handler->event_handler(udev, USBD_SUSPEND_EVENT); + } +} + +/** + * @brief usb device wakup interrupt request handler. + * @param udev: to the structure of usbd_core_type + * @retval none + */ +void usbd_wakeup_handler(usbd_core_type *udev) +{ + otg_global_type *usbx = udev->usb_reg; + + /* clear remote wakeup bit */ + OTG_DEVICE(usbx)->dctl_bit.rwkupsig = FALSE; + + /* exit suspend mode */ + usb_open_phy_clk(udev->usb_reg); + + /* restore connect state */ + udev->conn_state = udev->old_conn_state; + + /* user suspend handler */ + if(udev->class_handler->event_handler != 0) + udev->class_handler->event_handler(udev, USBD_WAKEUP_EVENT); +} + +__weak void usbd_reset_callback(usbd_core_type *udev) +{ +} + +__weak void usbd_setup_phase_done_callback(usbd_core_type *udev) +{ +} + +__weak void usbd_data_in_stage_callback(usbd_core_type *udev, uint32_t ept_num) +{ +} + +__weak void usbd_sof_callback(usbd_core_type *udev) +{ +} + +__weak void usbd_data_out_stage_callback(usbd_core_type *udev, uint32_t ept_num) +{ +} + +__weak void usbd_connectCallback(usbd_core_type *udev) +{ +} + +__weak void usbd_disconnectCallback(usbd_core_type *udev) +{ +} + +/** + * @} + */ + +/** + * @} + */ + +#endif diff --git a/bsp/at32/libraries/usbfs_library/src/usbd_sdr.c b/bsp/at32/libraries/usbfs_library/src/usbd_sdr.c new file mode 100644 index 0000000000..4630ba9177 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/src/usbd_sdr.c @@ -0,0 +1,535 @@ +/** + ************************************************************************** + * @file usbd_sdr.c + * @brief usb standard device request + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ +#include "usbd_sdr.h" + +/** @addtogroup AT32F435_437_middlewares_usbd_drivers + * @{ + */ + +/** @defgroup USBD_drivers_standard_request + * @brief usb device standard_request + * @{ + */ + +#ifdef USE_OTG_DEVICE_MODE + +/** @defgroup USBD_sdr_private_functions + * @{ + */ + +static usb_sts_type usbd_get_descriptor(usbd_core_type *udev); +static usb_sts_type usbd_set_address(usbd_core_type *udev); +static usb_sts_type usbd_get_status(usbd_core_type *udev); +static usb_sts_type usbd_clear_feature(usbd_core_type *udev); +static usb_sts_type usbd_set_feature(usbd_core_type *udev); +static usb_sts_type usbd_get_configuration(usbd_core_type *udev); +static usb_sts_type usbd_set_configuration(usbd_core_type *udev); + +/** + * @brief usb parse standard setup request + * @param setup: setup structure + * @param buf: setup buffer + * @retval none + */ +void usbd_setup_request_parse(usb_setup_type *setup, uint8_t *buf) +{ + setup->bmRequestType = *(uint8_t *) buf; + setup->bRequest = *(uint8_t *) (buf + 1); + setup->wValue = SWAPBYTE(buf + 2); + setup->wIndex = SWAPBYTE(buf + 4); + setup->wLength = SWAPBYTE(buf + 6); +} + +/** + * @brief get usb standard device description request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_get_descriptor(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + uint16_t len = 0; + usbd_desc_t *desc = NULL; + uint8_t desc_type = udev->setup.wValue >> 8; + switch(desc_type) + { + case USB_DESCIPTOR_TYPE_DEVICE: + desc = udev->desc_handler->get_device_descriptor(); + break; + case USB_DESCIPTOR_TYPE_CONFIGURATION: + desc = udev->desc_handler->get_device_configuration(); + break; + case USB_DESCIPTOR_TYPE_STRING: + { + uint8_t str_desc = (uint8_t)udev->setup.wValue; + switch(str_desc) + { + case USB_LANGID_STRING: + desc = udev->desc_handler->get_device_lang_id(); + break; + case USB_MFC_STRING: + desc = udev->desc_handler->get_device_manufacturer_string(); + break; + case USB_PRODUCT_STRING: + desc = udev->desc_handler->get_device_product_string(); + break; + case USB_SERIAL_STRING: + desc = udev->desc_handler->get_device_serial_string(); + break; + case USB_CONFIG_STRING: + desc = udev->desc_handler->get_device_config_string(); + break; + case USB_INTERFACE_STRING: + desc = udev->desc_handler->get_device_interface_string(); + break; + default: + udev->class_handler->setup_handler(udev, &udev->setup); + return ret; + } + break; + } + case USB_DESCIPTOR_TYPE_DEVICE_QUALIFIER: + usbd_ctrl_unsupport(udev); + break; + case USB_DESCIPTOR_TYPE_OTHER_SPEED: + usbd_ctrl_unsupport(udev); + return ret; + default: + usbd_ctrl_unsupport(udev); + return ret; + } + + if(desc != NULL) + { + if((desc->length != 0) && (udev->setup.wLength != 0)) + { + len = MIN(desc->length , udev->setup.wLength); + usbd_ctrl_send(udev, desc->descriptor, len); + } + } + return ret; +} + +/** + * @brief this request sets the device address + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_set_address(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + uint8_t dev_addr; + + /* if wIndex or wLength are non-zero, then the behavior of + the device is not specified + */ + if(setup->wIndex == 0 && setup->wLength == 0) + { + dev_addr = (uint8_t)(setup->wValue) & 0x7f; + + /* device behavior when this request is received + while the device is in the configured state is not specified.*/ + if(udev->conn_state == USB_CONN_STATE_CONFIGURED ) + { + usbd_ctrl_unsupport(udev); + } + else + { + udev->device_addr = dev_addr; + + if(dev_addr != 0) + { + udev->conn_state = USB_CONN_STATE_ADDRESSED; + } + else + { + udev->conn_state = USB_CONN_STATE_DEFAULT; + } + usbd_ctrl_send_status(udev); + } + } + else + { + usbd_ctrl_unsupport(udev); + } + return ret; +} + +/** + * @brief get usb status request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_get_status(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + case USB_CONN_STATE_CONFIGURED: + if(udev->remote_wakup) + { + udev->config_status |= USB_CONF_REMOTE_WAKEUP; + } + usbd_ctrl_send(udev, (uint8_t *)(&udev->config_status), 2); + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} + +/** + * @brief clear usb feature request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_clear_feature(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + case USB_CONN_STATE_CONFIGURED: + if(setup->wValue == USB_FEATURE_REMOTE_WAKEUP) + { + udev->remote_wakup = 0; + udev->config_status &= ~USB_CONF_REMOTE_WAKEUP; + udev->class_handler->setup_handler(udev, &udev->setup); + usbd_ctrl_send_status(udev); + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} + +/** + * @brief set usb feature request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_set_feature(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + if(setup->wValue == USB_FEATURE_REMOTE_WAKEUP) + { + udev->remote_wakup = 1; + udev->class_handler->setup_handler(udev, &udev->setup); + usbd_ctrl_send_status(udev); + } + return ret; +} + +/** + * @brief get usb configuration request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_get_configuration(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + if(setup->wLength != 1) + { + usbd_ctrl_unsupport(udev); + } + else + { + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + udev->default_config = 0; + usbd_ctrl_send(udev, (uint8_t *)(&udev->default_config), 1); + break; + case USB_CONN_STATE_CONFIGURED: + usbd_ctrl_send(udev, (uint8_t *)(&udev->dev_config), 1); + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + } + return ret; +} + +/** + * @brief sets the usb device configuration request + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +static usb_sts_type usbd_set_configuration(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + static uint8_t config_value; + usb_setup_type *setup = &udev->setup; + config_value = (uint8_t)setup->wValue; + + if(setup->wIndex == 0 && setup->wLength == 0) + { + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + if(config_value) + { + udev->dev_config = config_value; + udev->conn_state = USB_CONN_STATE_CONFIGURED; + udev->class_handler->init_handler(udev); + usbd_ctrl_send_status(udev); + } + else + { + usbd_ctrl_send_status(udev); + } + + break; + case USB_CONN_STATE_CONFIGURED: + if(config_value == 0) + { + udev->conn_state = USB_CONN_STATE_ADDRESSED; + udev->dev_config = config_value; + udev->class_handler->clear_handler(udev); + usbd_ctrl_send_status(udev); + } + else if(config_value == udev->dev_config) + { + udev->class_handler->clear_handler(udev); + udev->dev_config = config_value; + udev->class_handler->init_handler(udev); + usbd_ctrl_send_status(udev); + } + else + { + usbd_ctrl_send_status(udev); + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + } + else + { + usbd_ctrl_unsupport(udev); + } + return ret; +} + +/** + * @brief standard usb device requests + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +usb_sts_type usbd_device_request(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + if((setup->bmRequestType & USB_REQ_TYPE_RESERVED) != USB_REQ_TYPE_STANDARD) + { + udev->class_handler->setup_handler(udev, &udev->setup); + return ret; + } + switch(udev->setup.bRequest) + { + case USB_STD_REQ_GET_STATUS: + usbd_get_status(udev); + break; + case USB_STD_REQ_CLEAR_FEATURE: + usbd_clear_feature(udev); + break; + case USB_STD_REQ_SET_FEATURE: + usbd_set_feature(udev); + break; + case USB_STD_REQ_SET_ADDRESS: + usbd_set_address(udev); + break; + case USB_STD_REQ_GET_DESCRIPTOR: + usbd_get_descriptor(udev); + break; + case USB_STD_REQ_GET_CONFIGURATION: + usbd_get_configuration(udev); + break; + case USB_STD_REQ_SET_CONFIGURATION: + usbd_set_configuration(udev); + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} + +/** + * @brief standard usb interface requests + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +usb_sts_type usbd_interface_request(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + switch(udev->conn_state) + { + case USB_CONN_STATE_CONFIGURED: + udev->class_handler->setup_handler(udev, &udev->setup); + if(setup->wLength == 0) + { + usbd_ctrl_send_status(udev); + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} + +/** + * @brief standard usb endpoint requests + * @param udev: to the structure of usbd_core_type + * @retval status of usb_sts_type + */ +usb_sts_type usbd_endpoint_request(usbd_core_type *udev) +{ + usb_sts_type ret = USB_OK; + usb_setup_type *setup = &udev->setup; + uint8_t ept_addr = LBYTE(setup->wIndex); + usb_ept_info *ept_info; + + if((setup->bmRequestType & USB_REQ_TYPE_RESERVED) == USB_REQ_TYPE_CLASS) + { + udev->class_handler->setup_handler(udev, &udev->setup); + } + switch(setup->bRequest) + { + case USB_STD_REQ_GET_STATUS: + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + if((ept_addr & 0x7F) != 0) + { + usbd_set_stall(udev, ept_addr); + } + break; + case USB_CONN_STATE_CONFIGURED: + { + if((ept_addr & 0x80) != 0) + { + ept_info = &udev->ept_in[ept_addr & 0x7F]; + } + else + { + ept_info = &udev->ept_out[ept_addr & 0x7F]; + } + if(ept_info->stall == 1) + { + ept_info->status = 0x0001; + } + else + { + ept_info->status = 0x0000; + } + usbd_ctrl_send(udev, (uint8_t *)(&ept_info->status), 2); + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + break; + case USB_STD_REQ_CLEAR_FEATURE: + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + if((ept_addr != 0x00) && (ept_addr != 0x80)) + { + usbd_set_stall(udev, ept_addr); + } + break; + case USB_CONN_STATE_CONFIGURED: + if(setup->wValue == USB_FEATURE_EPT_HALT) + { + if((ept_addr & 0x7F) != 0x00 ) + { + usbd_clear_stall(udev, ept_addr); + udev->class_handler->setup_handler(udev, &udev->setup); + } + usbd_ctrl_send_status(udev); + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + break; + case USB_STD_REQ_SET_FEATURE: + switch(udev->conn_state) + { + case USB_CONN_STATE_ADDRESSED: + if((ept_addr != 0x00) && (ept_addr != 0x80)) + { + usbd_set_stall(udev, ept_addr); + } + break; + case USB_CONN_STATE_CONFIGURED: + if(setup->wValue == USB_FEATURE_EPT_HALT) + { + if((ept_addr != 0x00) && (ept_addr != 0x80)) + { + usbd_set_stall(udev, ept_addr); + } + } + udev->class_handler->setup_handler(udev, &udev->setup); + usbd_ctrl_send_status(udev); + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + break; + default: + usbd_ctrl_unsupport(udev); + break; + } + return ret; +} +/** + * @} + */ + +/** + * @} + */ + +/** + * @} + */ + +#endif diff --git a/bsp/at32/libraries/usbfs_library/src/usbh_core.c b/bsp/at32/libraries/usbfs_library/src/usbh_core.c new file mode 100644 index 0000000000..00359130db --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/src/usbh_core.c @@ -0,0 +1,1222 @@ +/** + ************************************************************************** + * @file usbh_core.c + * @brief usb host driver + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ + +#include "usbh_core.h" +#include "usb_core.h" +#include "usbh_ctrl.h" + +/** @defgroup USBH_drivers_core + * @brief usb host drivers core + * @{ + */ + +/** @defgroup USBH_core_private_functions + * @{ + */ + +static void usbh_attached(usbh_core_type *uhost); +static void usbh_enumeration(usbh_core_type *uhost); +static void usbh_class_request(usbh_core_type *uhost); +static void usbh_class(usbh_core_type *uhost); +static void usbh_suspend(usbh_core_type *uhost); +static void usbh_wakeup(usbh_core_type *uhost); +static void usbh_disconnect(usbh_core_type *uhost); +/** + * @brief usb host free channel + * @param uhost: to the structure of usbh_core_type + * @param index: channle number + * @retval none + */ +void usbh_free_channel(usbh_core_type *uhost, uint8_t index) +{ + if(index < USB_HOST_CHANNEL_NUM) + { + /* free host channel */ + uhost->channel[index] = 0x0; + } +} + +/** + * @brief get usb host free channel + * @param uhost: to the structure of usbh_core_type + * @retval channel index + */ +uint16_t usbh_get_free_channel(usbh_core_type *uhost) +{ + uint16_t i_index = 0; + for(i_index = 0; i_index < USB_HOST_CHANNEL_NUM; i_index ++) + { + /* find unuse channel */ + if((uhost->channel[i_index] & HCH_USED) == 0) + { + /* return channel index */ + return i_index; + } + } + return HCH_ERROR; +} + + +/** + * @brief usb host set toggle + * @param uhost: to the structure of usbh_core_type + * @param hc_num: channel number + * @param toggle: toggle value + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_set_toggle(usbh_core_type *uhost, uint8_t hc_num, uint8_t toggle) +{ + if(uhost->hch[hc_num].dir) + { + /* direction in */ + uhost->hch[hc_num].toggle_in = toggle; + } + else + { + /* direction out */ + uhost->hch[hc_num].toggle_out = toggle; + } + return USB_OK; +} + +/** + * @brief usb host in out request + * @param uhost: to the structure of usbh_core_type + * @param hc_num: channel number + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_in_out_request(usbh_core_type *uhost, uint8_t hc_num) +{ + usb_sts_type status = USB_OK; + uint32_t n_packet = 0; + uint32_t num_words = 0; + uint32_t tmp; + otg_global_type *usbx = uhost->usb_reg; + otg_hchannel_type *ch = USB_CHL(uhost->usb_reg, hc_num); + + /* set usb request block to idle */ + uhost->urb_state[hc_num] = URB_IDLE; + uhost->hch[hc_num].state = HCH_IDLE; + + /* set usb channel transmit count to zero */ + uhost->hch[hc_num].trans_count = 0; + + /* check transmit data len */ + if(uhost->hch[hc_num].trans_len > 0) + { + /* count how many packet need to send */ + n_packet = (uhost->hch[hc_num].trans_len + \ + uhost->hch[hc_num].maxpacket - 1) / \ + uhost->hch[hc_num].maxpacket; + + /* packet count max 256 */ + if(n_packet > 256) + { + n_packet = 256; + uhost->hch[hc_num].trans_len = n_packet * uhost->hch[hc_num].maxpacket; + } + } + else + { + /* zero data len */ + n_packet = 1; + } + + /* direction is in */ + if(uhost->hch[hc_num].dir) + { + uhost->hch[hc_num].trans_len = n_packet * uhost->hch[hc_num].maxpacket; + } + + /* set transfer information to channel register */ + ch->hctsiz = (uhost->hch[hc_num].trans_len & USB_OTG_HCTSIZ_XFERSIZE) | + ((n_packet << 19) & USB_OTG_HCTSIZ_PKTCNT) | + ((uhost->hch[hc_num].data_pid << 29) & USB_OTG_HCTSIZ_PID); + + /* set odd frame */ + ch->hcchar_bit.oddfrm = !(OTG_HOST(uhost->usb_reg)->hfnum & 0x1); + + /* clear channel disable bit and enable channel */ + tmp = ch->hcchar; + tmp &= ~(USB_OTG_HCCHAR_CHDIS); + tmp |= USB_OTG_HCCHAR_CHENA; + ch->hcchar = tmp; + + /* channel direction is out and transfer len > 0 */ + if((uhost->hch[hc_num].dir == 0) && + (uhost->hch[hc_num].trans_len > 0 )) + { + switch(uhost->hch[hc_num].ept_type) + { + case EPT_CONTROL_TYPE: + case EPT_BULK_TYPE: + num_words = (uhost->hch[hc_num].trans_len + 3) / 4; + + /* non-periodic transfer */ + if(num_words > usbx->gnptxsts_bit.nptxfspcavail) + { + usbx->gintmsk_bit.nptxfempmsk = 1; + } + break; + case EPT_ISO_TYPE: + case EPT_INT_TYPE: + num_words = (uhost->hch[hc_num].trans_len + 3) / 4; + + /* periodic transfer */ + if(num_words > OTG_HOST(usbx)->hptxsts_bit.ptxfspcavil) + { + usbx->gintmsk_bit.ptxfempmsk = 1; + } + break; + default: + break; + } + /* write data to fifo */ + usb_write_packet(usbx, uhost->hch[hc_num].trans_buf, + hc_num, uhost->hch[hc_num].trans_len); + } + + return status; +} + +/** + * @brief usb host interrupt receive request + * @param uhost: to the structure of usbh_core_type + * @param hc_num: channel number + * @param buffer: receive buffer + * @param length: receive length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_interrupt_recv(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length) +{ + /* set direction is in */ + uhost->hch[hc_num].dir = 1; + + /* set transfer buffer */ + uhost->hch[hc_num].trans_buf = buffer; + + /* set transfer len*/ + uhost->hch[hc_num].trans_len = length; + + if(uhost->hch[hc_num].toggle_in == 0) + { + /* pid: data0 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA0; + } + else + { + /* pid: data1 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA1; + } + + return usbh_in_out_request(uhost, hc_num); +} + +/** + * @brief usb host interrupt send request + * @param uhost: to the structure of usbh_core_type + * @param hc_num: channel number + * @param buffer: send buffer + * @param length: send length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_interrupt_send(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length) +{ + /* set direction is out */ + uhost->hch[hc_num].dir = 0; + + /* set transfer buffer */ + uhost->hch[hc_num].trans_buf = buffer; + + /* set transfer len*/ + uhost->hch[hc_num].trans_len = length; + + if(uhost->hch[hc_num].toggle_out == 0) + { + /* pid: data0 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA0; + } + else + { + /* pid: data1 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA1; + } + + return usbh_in_out_request(uhost, hc_num); +} + + +/** + * @brief usb host bulk receive request + * @param uhost: to the structure of usbh_core_type + * @param hc_num: channel number + * @param buffer: receive buffer + * @param length: receive length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_bulk_recv(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length) +{ + /* set direction is in */ + uhost->hch[hc_num].dir = 1; + + /* set transfer buffer */ + uhost->hch[hc_num].trans_buf = buffer; + + /* set transfer len*/ + uhost->hch[hc_num].trans_len = length; + + if(uhost->hch[hc_num].toggle_in == 0) + { + /* pid: data0 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA0; + } + else + { + /* pid: data1 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA1; + } + + return usbh_in_out_request(uhost, hc_num); +} + + +/** + * @brief usb host bulk send request + * @param uhost: to the structure of usbh_core_type + * @param hc_num: channel number + * @param buffer: receive buffer + * @param length: receive length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_bulk_send(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length) +{ + /* set direction is out */ + uhost->hch[hc_num].dir = 0; + + /* set transfer buffer */ + uhost->hch[hc_num].trans_buf = buffer; + + /* set transfer len*/ + uhost->hch[hc_num].trans_len = length; + + if(uhost->hch[hc_num].toggle_out == 0) + { + /* pid: data0 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA0; + } + else + { + /* pid: data1 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA1; + } + + return usbh_in_out_request(uhost, hc_num); +} + + +/** + * @brief usb host iso send request + * @param uhost: to the structure of usbh_core_type + * @param hc_num: channel number + * @param buffer: send buffer + * @param length: send length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_isoc_send(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length) +{ + /* set direction is out */ + uhost->hch[hc_num].dir = 0; + + /* set transfer buffer */ + uhost->hch[hc_num].trans_buf = buffer; + + /* set transfer len*/ + uhost->hch[hc_num].trans_len = length; + + /* pid: data0 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA0; + + return usbh_in_out_request(uhost, hc_num); +} + +/** + * @brief usb host iso receive request + * @param uhost: to the structure of usbh_core_type + * @param hc_num: channel number + * @param buffer: receive buffer + * @param length: receive length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_isoc_recv(usbh_core_type *uhost, uint8_t hc_num, + uint8_t *buffer, uint16_t length) +{ + /* set direction is in */ + uhost->hch[hc_num].dir = 1; + + /* set transfer buffer */ + uhost->hch[hc_num].trans_buf = buffer; + + /* set transfer len*/ + uhost->hch[hc_num].trans_len = length; + + /* pid: data0 */ + uhost->hch[hc_num].data_pid = HCH_PID_DATA0; + + return usbh_in_out_request(uhost, hc_num); +} + +/** + * @brief usb host cfg default init + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_cfg_default_init(usbh_core_type *uhost) +{ + /* set global state to idle */ + uhost->global_state = USBH_IDLE; + + /* enumeration state to get description */ + uhost->enum_state = ENUM_GET_MIN_DESC; + + /* request state send */ + uhost->req_state = CMD_SEND; + + /* control transfer state is idle*/ + uhost->ctrl.state = CONTROL_IDLE; + + /* defaut endpoint 0 max size is 8byte */ + uhost->ctrl.ept0_size = 8; + + /* default device address is 0 */ + uhost->dev.address = 0; + + /* default speed is full speed */ + uhost->dev.speed = USB_FULL_SPEED_CORE_ID; + + uhost->timer = 0; + + uhost->ctrl.err_cnt = 0; + + /* free all channel */ + usbh_free_channel(uhost, uhost->ctrl.hch_in); + usbh_free_channel(uhost, uhost->ctrl.hch_out); + return USB_OK; +} + +/** + * @brief usb host enter suspend + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +void usbh_enter_suspend(usbh_core_type *uhost) +{ + otg_host_type *host = OTG_HOST(uhost->usb_reg); + uint32_t hprt_val = host->hprt; + + hprt_val &= ~(USB_OTG_HPRT_PRTENA | USB_OTG_HPRT_PRTENCHNG | + USB_OTG_HPRT_PRTOVRCACT | USB_OTG_HPRT_PRTCONDET); + + /* set port suspend */ + host->hprt = hprt_val | USB_OTG_HPRT_PRTSUSP; + + /* stop phy clock */ + usb_stop_phy_clk(uhost->usb_reg); + +} + +/** + * @brief usb host resume + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +void usbh_resume(usbh_core_type *uhost) +{ + otg_host_type *host = OTG_HOST(uhost->usb_reg); + uint32_t temp = host->hprt; + + /* open phy clock */ + usb_open_phy_clk(uhost->usb_reg); + + /* clear port suspend and set port resume*/ + temp &= ~(USB_OTG_HPRT_PRTENA | USB_OTG_HPRT_PRTENCHNG | + USB_OTG_HPRT_PRTOVRCACT | USB_OTG_HPRT_PRTCONDET + | USB_OTG_HPRT_PRTSUSP); + host->hprt = temp | USB_OTG_HPRT_PRTRES; + + /* delay 20 ms */ + rt_thread_mdelay(20); + + /*clear port resume */ + temp = host->hprt; + temp &= ~(USB_OTG_HPRT_PRTENA | USB_OTG_HPRT_PRTENCHNG | + USB_OTG_HPRT_PRTOVRCACT | USB_OTG_HPRT_PRTCONDET + | USB_OTG_HPRT_PRTRES); + host->hprt = temp; + rt_thread_mdelay(5); +} + +/** + * @brief usb host core initialize + * @param uhost: to the structure of usbh_core_type + * @param usb_reg: usb otgfs peripheral global register + * this parameter can be one of the following values: + * OTG1_GLOBAL , OTG2_GLOBAL + * @param class_handler: usb host class handler type pointer + * @param user_handler: usb host user handler type pointer + * @param core_id: usb core select id + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_core_init(usbh_core_type *uhost, + usb_reg_type *usb_reg, + uint8_t core_id) +{ + usb_sts_type status = USB_OK; + uint32_t i_index; + otg_global_type *usbx = usb_reg; + otg_host_type *host = OTG_HOST(usbx); + uhost->usb_reg = usb_reg; + + uhost->timer = 0; + + /* usb host cfg default init */ + usbh_cfg_default_init(uhost); + + /* clear host config to default value */ + for(i_index = 0; i_index < USB_HOST_CHANNEL_NUM; i_index ++) + { + uhost->err_cnt[i_index] = 0; + uhost->xfer_cnt[i_index] = 0; + uhost->hch_state[i_index] = HCH_IDLE; + uhost->hch[0].maxpacket = 8; + } + + /* no device connect */ + uhost->conn_sts = 0; + + /* disable usb interrupt */ + usb_interrupt_disable(usbx); + + /* usb global init */ + usb_global_init(usbx); + + /* set usb host mode */ + usb_global_set_mode(usbx, OTG_HOST_MODE); + + /* open usb phy clock*/ + usb_open_phy_clk(usbx); + + /* clock select */ + usbh_fsls_clksel(usbx, USB_HCFG_CLK_48M); + + /* set support ls and fs device */ + host->hcfg_bit.fslssupp = 0; + + if(usbx == OTG1_GLOBAL) + { + /* set receive fifo size */ + usbx->grxfsiz = USBH_RX_FIFO_SIZE; + + /* set non-periodic transmit fifo start address and depth */ + usbx->gnptxfsiz_ept0tx_bit.nptxfstaddr = USBH_RX_FIFO_SIZE; + usbx->gnptxfsiz_ept0tx_bit.nptxfdep = USBH_NP_TX_FIFO_SIZE; + + /* set periodic transmit fifo start address and depth */ + usbx->hptxfsiz_bit.ptxfstaddr = USBH_RX_FIFO_SIZE + USBH_NP_TX_FIFO_SIZE; + usbx->hptxfsiz_bit.ptxfsize = USBH_P_TX_FIFO_SIZE; + } +#ifdef OTG2_GLOBAL + if(usbx == OTG2_GLOBAL) + { + /* set receive fifo size */ + usbx->grxfsiz = USBH2_RX_FIFO_SIZE; + + /* set non-periodic transmit fifo start address and depth */ + usbx->gnptxfsiz_ept0tx_bit.nptxfstaddr = USBH2_RX_FIFO_SIZE; + usbx->gnptxfsiz_ept0tx_bit.nptxfdep = USBH2_NP_TX_FIFO_SIZE; + + /* set periodic transmit fifo start address and depth */ + usbx->hptxfsiz_bit.ptxfstaddr = USBH2_RX_FIFO_SIZE + USBH2_NP_TX_FIFO_SIZE; + usbx->hptxfsiz_bit.ptxfsize = USBH2_P_TX_FIFO_SIZE; + } +#endif + /* flush tx fifo */ + usb_flush_tx_fifo(usbx, 16); + + /* flush rx fifo */ + usb_flush_rx_fifo(usbx); + + /* clear host channel interrut mask and status */ + for(i_index = 0; i_index < USB_HOST_CHANNEL_NUM; i_index ++) + { + USB_CHL(usbx, i_index)->hcintmsk = 0; + USB_CHL(usbx, i_index)->hcint = 0xFFFFFFFF; + } + + /* power on to this port */ + usb_port_power_on(usbx, TRUE); + + /* clear global interrupt mask and status */ + usbx->gintmsk = 0; + usbx->gintsts = 0xBFFFFFFF; + + /* set global interrut mask */ + usbx->gintmsk = USB_OTG_SOF_INT | USB_OTG_RXFLVL_INT | + USB_OTG_USBSUSP_INT | USB_OTG_PRT_INT | + USB_OTG_HCH_INT | USB_OTG_INCOMISOIN_INT | + USB_OTG_INCOMPIP_INCOMPISOOUT_INT | USB_OTG_WKUP_INT | + USB_OTG_DISCON_INT; + + /* enable usb global interrupt */ + usb_interrupt_enable(usbx); + + /* active vbus */ + usbh_active_vbus(uhost, TRUE); + return status; +} + +/** + * @brief usb host open channel + * @param uhost: to the structure of usbh_core_type + * @param chn: host channel number + * @param ept_num: devvice endpoint number + * @param dev_address: device address + * @param type: channel transfer type + * this parameter can be one of the following values: + * - EPT_CONTROL_TYPE + * - EPT_BULK_TYPE + * - EPT_INT_TYPE + * - EPT_ISO_TYPE + * @param maxpacket: support max packe size for this channel + * @param speed: device speed + * this parameter can be one of the following values: + * - USB_PRTSPD_FULL_SPEED + * - USB_PRTSPD_LOW_SPEED + * @param ept_addr: endpoint address + * @retval usb_sts_type + */ +void usbh_hc_open(usbh_core_type *uhost, + uint8_t chn, + uint8_t ept_num, + uint8_t dev_address, + uint8_t type, + uint16_t maxpacket, + uint8_t speed) +{ + /* device address */ + uhost->hch[chn].address = dev_address; + + /* device speed */ + uhost->hch[chn].speed = speed; + + /* endpoint transfer type */ + uhost->hch[chn].ept_type = type; + + /* endpoint support maxpacket */ + uhost->hch[chn].maxpacket = maxpacket; + + /* endpoint direction in or out */ + uhost->hch[chn].dir = (ept_num & 0x80)?1:0;; + + /* host channel number */ + uhost->hch[chn].ch_num = chn; + + /* device endpoint number */ + uhost->hch[chn].ept_num = ept_num; + + /* enable channel */ + usb_hc_enable(uhost->usb_reg, chn, + ept_num, dev_address, + type, maxpacket, speed + ); +} + +/** + * @brief disable host channel + * @param usbx: to select the otgfs peripheral. + * this parameter can be one of the following values: + * - OTG1_GLOBAL + * - OTG2_GLOBAL + * @param chn: channel number + * @retval none + */ +void usbh_ch_disable(usbh_core_type *uhost, uint8_t chn) +{ + usb_hch_halt(uhost->usb_reg, chn); +} + +/** + * @brief usb host alloc channel + * @param uhost: to the structure of usbh_core_type + * @param ept_addr: endpoint address + * @retval usb_sts_type + */ +uint16_t usbh_alloc_channel(usbh_core_type *uhost, uint8_t ept_addr) +{ + /* get one free channel */ + uint16_t ch_num = usbh_get_free_channel(uhost); + + if(ch_num == HCH_ERROR) + return USB_FAIL; + + /* set channel to used */ + uhost->channel[ch_num] = HCH_USED | ept_addr; + return ch_num; +} + +hch_sts_type usbh_get_status(usbh_core_type *uhost, uint8_t ch_num) +{ + return uhost->hch_state[ch_num]; +} + +/** + * @brief usb host get urb status + * @param uhost: to the structure of usbh_core_type + * @param ch_num: channel number + * @retval urb_sts_type: urb status + */ +urb_sts_type usbh_get_urb_status(usbh_core_type *uhost, uint8_t ch_num) +{ + return uhost->urb_state[ch_num]; +} +/** + * @brief usb wait control setup complete + * @param uhost: to the structure of usbh_core_type + * @param next_ctrl_state: next ctrl state when setup complete + * @param next_enum_state: next enum state when setup complete + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_result_check(usbh_core_type *uhost, ctrl_ept0_sts_type next_ctrl_state, uint8_t next_enum_state) +{ + usb_sts_type status; + + /* control transfer loop */ + status = usbh_ctrl_transfer_loop(uhost); + + if(status == USB_OK) + { + uhost->ctrl.state = next_ctrl_state; + uhost->enum_state = next_enum_state; + uhost->req_state = CMD_SEND; + } + else if(status == USB_ERROR) + { + uhost->ctrl.state = CONTROL_IDLE; + uhost->req_state = CMD_SEND; + } + else if(status == USB_NOT_SUPPORT) + { + uhost->ctrl.state = next_ctrl_state; + uhost->enum_state = next_enum_state; + uhost->req_state = CMD_SEND; + } + return status; +} + +/** + * @brief auto alloc address (1...20) + * @param none + * @retval address (1...20) + */ +uint8_t usbh_alloc_address(void) +{ + static uint8_t address = 1; + if(address == 20) + address = 1; + return address ++; +} + + +/** + * @brief usb host enumeration handler + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_enum_handler(usbh_core_type *uhost) +{ + usb_sts_type status = USB_WAIT; + switch(uhost->enum_state) + { + case ENUM_IDLE: + break; + case ENUM_GET_MIN_DESC: + /* get description */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + usbh_get_device_descriptor(uhost, 8); + } + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_GET_FULL_DESC) == USB_OK) + { + usbh_parse_dev_desc(uhost, uhost->rx_buffer, 8); + + /* set new control endpoint maxpacket size */ + uhost->ctrl.ept0_size = (uhost->dev).dev_desc.bMaxPacketSize0; + + /* enable channel */ + usbh_hc_open(uhost, uhost->ctrl.hch_in,0x80, + uhost->dev.address, EPT_CONTROL_TYPE, + uhost->ctrl.ept0_size, + uhost->dev.speed); + + /* enable channel */ + usbh_hc_open(uhost, uhost->ctrl.hch_out,0x00, + uhost->dev.address, EPT_CONTROL_TYPE, + uhost->ctrl.ept0_size, + uhost->dev.speed); + } + break; + + case ENUM_GET_FULL_DESC: + /* get description */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + usbh_get_device_descriptor(uhost, 18); + } + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_SET_ADDR) == USB_OK) + { + usbh_parse_dev_desc(uhost, uhost->rx_buffer, 18); + } + break; + + case ENUM_SET_ADDR: + /* set device address */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + uhost->dev.address = usbh_alloc_address(); + usbh_set_address(uhost, uhost->dev.address); + } + if (usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_GET_CFG) == USB_OK) + { + /* enable channel */ + usbh_hc_open(uhost, uhost->ctrl.hch_in,0x80, + uhost->dev.address, EPT_CONTROL_TYPE, + uhost->ctrl.ept0_size, + uhost->dev.speed); + + /* enable channel */ + usbh_hc_open(uhost, uhost->ctrl.hch_out,0x00, + uhost->dev.address, EPT_CONTROL_TYPE, + uhost->ctrl.ept0_size, + uhost->dev.speed); + } + break; + + case ENUM_GET_CFG: + /* get device confiuration */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + usbh_get_configure_descriptor(uhost, 9); + } + + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_GET_FULL_CFG) == USB_OK) + { + usbh_parse_configure_desc(uhost, uhost->rx_buffer, 9); + } + break; + + case ENUM_GET_FULL_CFG: + /* get device confiuration */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + usbh_get_configure_descriptor(uhost, uhost->dev.cfg_desc.cfg.wTotalLength); + } + + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_GET_MFC_STRING) == USB_OK) + { + usbh_parse_configure_desc(uhost, uhost->rx_buffer, uhost->dev.cfg_desc.cfg.wTotalLength); + } + break; + + case ENUM_GET_MFC_STRING: + /* get device mfc string */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + usbh_get_sting_descriptor(uhost, uhost->dev.dev_desc.iManufacturer, + uhost->rx_buffer, 0xFF); + } + + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_GET_PRODUCT_STRING) == USB_OK) + { + usbh_parse_string_desc(uhost->rx_buffer, uhost->rx_buffer, 0xFF); + uhost->user_handler->user_mfc_string(uhost->rx_buffer); + } + break; + + case ENUM_GET_PRODUCT_STRING: + /* get device product string */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + usbh_get_sting_descriptor(uhost, uhost->dev.dev_desc.iProduct, + uhost->rx_buffer, 0xFF); + } + + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_GET_SERIALNUM_STRING) == USB_OK) + { + usbh_parse_string_desc(uhost->rx_buffer, uhost->rx_buffer, 0xFF); + uhost->user_handler->user_product_string(uhost->rx_buffer); + } + break; + + case ENUM_GET_SERIALNUM_STRING: + /* get device serial string */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + usbh_get_sting_descriptor(uhost, uhost->dev.dev_desc.iSerialNumber, + uhost->rx_buffer, 0xFF); + } + + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_SET_CONFIG) == USB_OK) + { + usbh_parse_string_desc(uhost->rx_buffer, uhost->rx_buffer, 0xFF); + uhost->user_handler->user_serial_string(uhost->rx_buffer); + } + break; + + case ENUM_SET_CONFIG: + /* set device config */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + usbh_set_configuration(uhost, uhost->dev.cfg_desc.cfg.bConfigurationValue); + } + usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_COMPLETE); + + break; + + case ENUM_COMPLETE: + /* enum complete */ + status = USB_OK; + break; + default: + break; + } + return status; +} + +/** + * @brief active vbus. + * @param uhost: to the structure of usbh_core_type + * @param state: vbus state + * @retval none + */ +void usbh_active_vbus(usbh_core_type *uhost, confirm_state state) +{ +} + +/** + * @brief reset usb port + * @param usbx: to the structure of otg_global_type + * @retval none + */ +void usbh_reset_port(usbh_core_type *uhost) +{ + otg_host_type *usb_host = OTG_HOST(uhost->usb_reg); + uint32_t hprt_val = usb_host->hprt; + + hprt_val &= ~(USB_OTG_HPRT_PRTENA | USB_OTG_HPRT_PRTENCHNG | + USB_OTG_HPRT_PRTOVRCACT | USB_OTG_HPRT_PRTCONDET); + + /* set port reset */ + usb_host->hprt = hprt_val | USB_OTG_HPRT_PRTRST; + + rt_thread_mdelay(100); + + /* clear port reset */ + usb_host->hprt = hprt_val & (~USB_OTG_HPRT_PRTRST); + + rt_thread_mdelay(20); +} + +/** + * @brief usb host attached + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +static void usbh_attached(usbh_core_type *uhost) +{ + /* get free channel */ + uhost->ctrl.hch_in = usbh_alloc_channel(uhost, 0x80); + uhost->ctrl.hch_out = usbh_alloc_channel(uhost, 0x00); + + /* user reset callback handler */ + uhost->user_handler->user_reset(); + + /* get device speed */ + uhost->dev.speed = OTG_HOST(uhost->usb_reg)->hprt_bit.prtspd; + uhost->global_state = USBH_ENUMERATION; + uhost->user_handler->user_speed(uhost->dev.speed); + + /* enable channel */ + usbh_hc_open(uhost, uhost->ctrl.hch_in,0x80, + uhost->dev.address, EPT_CONTROL_TYPE, + uhost->ctrl.ept0_size, + uhost->dev.speed); + + /* enable channel */ + usbh_hc_open(uhost, uhost->ctrl.hch_out,0x00, + uhost->dev.address, EPT_CONTROL_TYPE, + uhost->ctrl.ept0_size, + uhost->dev.speed); + + usb_flush_tx_fifo(uhost->usb_reg, 0x10); + usb_flush_rx_fifo(uhost->usb_reg); + + /* user attached callback */ + uhost->user_handler->user_attached(); +} + + +/** + * @brief usb host enumeration + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +static void usbh_enumeration(usbh_core_type *uhost) +{ + /* enumeration process */ + if(usbh_enum_handler(uhost) == USB_OK) + { + /* user enumeration done callback */ + uhost->user_handler->user_enumeration_done(); + uhost->global_state = USBH_USER_HANDLER; + } +} + +/** + * @brief usb host class request + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +static void usbh_class_request(usbh_core_type *uhost) +{ + usb_sts_type status; + + /* class request callback */ + status = uhost->class_handler->request_handler((void *)uhost); + if(status == USB_OK) + { + uhost->global_state = USBH_CLASS; + } + else if(status == USB_ERROR || status == USB_FAIL) + { + uhost->global_state = USBH_ERROR_STATE; + } + else if(status == USB_NOT_SUPPORT) + { + uhost->global_state = USBH_ERROR_STATE; + } +} + +/** + * @brief usb host class handler + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +static void usbh_class(usbh_core_type *uhost) +{ + /* process handler */ + if(uhost->class_handler->process_handler((void *)uhost) == USB_OK) + { + } +} + +/** + * @brief usb host suspend + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +static void usbh_suspend(usbh_core_type *uhost) +{ + /* set device feature */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + usbh_set_feature(uhost, 0x01, 0); + } + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_IDLE) == USB_OK) + { + /* enter suspend mode */ + rt_thread_mdelay(3); + usbh_enter_suspend(uhost); + uhost->global_state = USBH_SUSPENDED; + + } +} + +/** + * @brief usb host wakeup + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +static void usbh_wakeup(usbh_core_type *uhost) +{ + /* clear device feature */ + if(uhost->ctrl.state == CONTROL_IDLE) + { + /* usb host resume */ + usbh_resume(uhost); + usbh_clear_dev_feature(uhost, 0x01, 0); + } + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_IDLE) == USB_OK) + { + uhost->global_state = USBH_CLASS_REQUEST; + } +} + +/** + * @brief usb host disconnect + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +static void usbh_disconnect(usbh_core_type *uhost) +{ + uint8_t i_index = 0; + + /* set host to default state */ + usbh_cfg_default_init(uhost); + + /* free host channel */ + for(i_index = 0; i_index < USB_HOST_CHANNEL_NUM; i_index ++) + { + usbh_free_channel(uhost, i_index); + } + + /* call class reset handler */ + if(uhost->class_handler->reset_handler != NULL) + { + uhost->class_handler->reset_handler(uhost); + } + + /* set global state to idle */ + uhost->global_state = USBH_IDLE; + + /*call user disconnect function */ + uhost->user_handler->user_disconnect(); +} + + +/** + * @brief usb host enum loop handler + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +usb_sts_type usbh_loop_handler(usbh_core_type *uhost) +{ + usb_sts_type status = USB_FAIL; + + if(uhost->conn_sts == 0 && + uhost->global_state != USBH_IDLE && + uhost->global_state != USBH_DISCONNECT) + { + uhost->global_state = USBH_IDLE; + } + switch(uhost->global_state) + { + case USBH_IDLE: + if(uhost->conn_sts == 1) + { + uhost->global_state = USBH_PORT_EN; + + /* wait stable */ + rt_thread_mdelay(200); + + /* port reset */ + usbh_reset_port(uhost); + + /* user reset */ + uhost->user_handler->user_reset(); + } + break; + + case USBH_PORT_EN: + if(uhost->port_enable) + { + uhost->global_state = USBH_ATTACHED; + rt_thread_mdelay(50); + } + break; + + case USBH_ATTACHED: + usbh_attached(uhost); + break; + + case USBH_ENUMERATION: + usbh_enumeration(uhost); + break; + + case USBH_USER_HANDLER: + uhost->global_state = USBH_CLASS_REQUEST; + if( uhost->class_handler->init_handler(uhost) == USB_NOT_SUPPORT) + { + uhost->global_state = USBH_UNSUPPORT; + } + break; + + case USBH_CLASS_REQUEST: + usbh_class_request(uhost); + break; + + case USBH_CLASS: + usbh_class(uhost); + break; + + case USBH_SUSPEND: + usbh_suspend(uhost); + break; + + case USBH_SUSPENDED: + break; + + case USBH_WAKEUP: + usbh_wakeup(uhost); + break; + + case USBH_DISCONNECT: + usbh_disconnect(uhost); + break; + + case USBH_ERROR_STATE: + usbh_cfg_default_init(uhost); + uhost->class_handler->reset_handler(uhost); + uhost->user_handler->user_reset(); + break; + case USBH_UNSUPPORT: + break; + default: + break; + } + + return status; +} + +/** + * @} + */ + +/** + * @} + */ + diff --git a/bsp/at32/libraries/usbfs_library/src/usbh_ctrl.c b/bsp/at32/libraries/usbfs_library/src/usbh_ctrl.c new file mode 100644 index 0000000000..93dc655281 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/src/usbh_ctrl.c @@ -0,0 +1,958 @@ +/** + ************************************************************************** + * @file usbh_ctrl.c + * @brief usb host control request + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ +#include "usbh_ctrl.h" +#include "usbh_core.h" +#include "usb_std.h" + +/** @defgroup USBH_drivers_control + * @brief usb host drivers control + * @{ + */ + +/** @defgroup USBH_ctrl_private_functions + * @{ + */ + +/* control timeout 5s */ +#define CTRL_TIMEOUT 5000 + +/** + * @brief usb host control send setup packet + * @param uhost: to the structure of usbh_core_type + * @param buffer: usb control setup send buffer + * @param hc_num: channel number + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_send_setup(usbh_core_type *uhost, uint8_t *buffer, uint8_t hc_num) +{ + uhost->hch[hc_num].dir = 0; + uhost->hch[hc_num].data_pid = HCH_PID_SETUP; + uhost->hch[hc_num].trans_buf = buffer; + uhost->hch[hc_num].trans_len = 8; /*setup */ + + return usbh_in_out_request(uhost, hc_num); +} + +/** + * @brief usb host control receive data from device + * @param uhost: to the structure of usbh_core_type + * @param buffer: usb control receive data buffer + * @param length: usb control receive data length + * @param hc_num: channel number + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_recv_data(usbh_core_type *uhost, uint8_t *buffer, + uint16_t length, uint16_t hc_num) +{ + uhost->hch[hc_num].dir = 1; + uhost->hch[hc_num].data_pid = HCH_PID_DATA1; + uhost->hch[hc_num].trans_buf = buffer; + uhost->hch[hc_num].trans_len = length; + + return usbh_in_out_request(uhost, hc_num); +} + +/** + * @brief usb host control send data packet + * @param uhost: to the structure of usbh_core_type + * @param buffer: usb control send data buffer + * @param length: usb control send data length + * @param hc_num: channel number + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_send_data(usbh_core_type *uhost, uint8_t *buffer, + uint16_t length, uint16_t hc_num) +{ + uhost->hch[hc_num].dir = 0; + uhost->hch[hc_num].trans_buf = buffer; + uhost->hch[hc_num].trans_len = length; + + if(length == 0) + { + uhost->hch[uhost->ctrl.hch_out].toggle_out = 1; + } + if(uhost->hch[uhost->ctrl.hch_out].toggle_out == 0) + { + uhost->hch[hc_num].data_pid = HCH_PID_DATA0; + } + else + { + uhost->hch[hc_num].data_pid = HCH_PID_DATA1; + } + return usbh_in_out_request(uhost, hc_num); +} + +/** + * @brief usb host control setup request handler + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_setup_handler(usbh_core_type *uhost) +{ + usbh_ctrl_send_setup(uhost, (uint8_t *)(&uhost->ctrl.setup), + uhost->ctrl.hch_out); + uhost->ctrl.state = CONTROL_SETUP_WAIT; + return USB_OK; +} + +/** + * @brief usb host control setup request wait handler + * @param uhost: to the structure of usbh_core_type + * @param timeout: pointer of wait timeout + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_setup_wait_handler(usbh_core_type *uhost, uint32_t *timeout) +{ + urb_sts_type urb_state; + usb_sts_type status = USB_WAIT; + uint8_t dir; + urb_state = uhost->urb_state[uhost->ctrl.hch_out]; + if(urb_state == URB_DONE) + { + dir = uhost->ctrl.setup.bmRequestType & USB_REQUEST_DIR_MASK; + if(uhost->ctrl.setup.wLength != 0) + { + *timeout = DATA_STAGE_TIMEOUT; + if(dir == USB_DIR_D2H) //in + { + uhost->ctrl.state = CONTROL_DATA_IN; + } + else //out + { + uhost->ctrl.state = CONTROL_DATA_OUT; + } + } + else + { + *timeout = NODATA_STAGE_TIMEOUT; + if(dir == USB_DIR_D2H) //no data, send status + { + uhost->ctrl.state = CONTROL_STATUS_OUT; + } + else //out + { + uhost->ctrl.state = CONTROL_STATUS_IN; + } + } + uhost->ctrl.timer = uhost->timer; + status = USB_OK; + } + else if(urb_state == URB_ERROR || urb_state == URB_NOTREADY) + { + uhost->ctrl.state = CONTROL_ERROR; + uhost->ctrl.sts = CTRL_XACTERR; + status = USB_ERROR; + } + else + { + /* wait nak timeout 5s*/ + if(uhost->timer - uhost->ctrl.timer > CTRL_TIMEOUT) + { + uhost->ctrl.state = CONTROL_ERROR; + uhost->ctrl.sts = CTRL_XACTERR; + status = USB_ERROR; + } + } + return status; +} + +/** + * @brief usb host control data in request handler + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_data_in_handler(usbh_core_type *uhost) +{ + usb_sts_type status = USB_OK; + usbh_ctrl_recv_data(uhost, uhost->ctrl.buffer, + uhost->ctrl.len, + uhost->ctrl.hch_in); + uhost->ctrl.state = CONTROL_DATA_IN_WAIT; + + return status; +} + +/** + * @brief usb host control data in wait handler + * @param uhost: to the structure of usbh_core_type + * @param timeout: wait timeout + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_data_in_wait_handler(usbh_core_type *uhost, uint32_t timeout) +{ + usb_sts_type status = USB_OK; + urb_sts_type urb_state; + urb_state = uhost->urb_state[uhost->ctrl.hch_in]; + + if(urb_state == URB_DONE) + { + uhost->ctrl.state = CONTROL_STATUS_OUT; + } + else if(urb_state == URB_STALL) + { + uhost->ctrl.state = CONTROL_STALL; + } + else if(urb_state == URB_ERROR) + { + uhost->ctrl.state = CONTROL_ERROR; + } + else + { + /* wait nak timeout 5s*/ + if(uhost->timer - uhost->ctrl.timer > CTRL_TIMEOUT) + { + uhost->ctrl.state = CONTROL_ERROR; + uhost->ctrl.sts = CTRL_XACTERR; + status = USB_ERROR; + } + + } + return status; +} + +/** + * @brief usb host control data out request handler + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_data_out_handler(usbh_core_type *uhost) +{ + usb_sts_type status = USB_OK; + uhost->hch[uhost->ctrl.hch_out].toggle_out = 1; + + usbh_ctrl_send_data(uhost, uhost->ctrl.buffer, + uhost->ctrl.len, + uhost->ctrl.hch_out); + uhost->ctrl.state = CONTROL_DATA_OUT_WAIT; + + return status; +} + +/** + * @brief usb host control data out wait handler + * @param uhost: to the structure of usbh_core_type + * @param timeout: wait timeout + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_data_out_wait_handler(usbh_core_type *uhost, uint32_t timeout) +{ + usb_sts_type status = USB_OK; + urb_sts_type urb_state; + urb_state = uhost->urb_state[uhost->ctrl.hch_out]; + if(urb_state == URB_DONE) + { + uhost->ctrl.state = CONTROL_STATUS_IN; + } + else if(urb_state == URB_STALL) + { + uhost->ctrl.state = CONTROL_STALL; + } + else if(urb_state == URB_ERROR) + { + uhost->ctrl.state = CONTROL_ERROR; + } + else if(urb_state == URB_NOTREADY) + { + uhost->ctrl.state = CONTROL_DATA_OUT; + } + + /* wait nak timeout 5s*/ + if((uhost->timer - uhost->ctrl.timer > CTRL_TIMEOUT) && (urb_state == URB_NOTREADY)) + { + uhost->ctrl.state = CONTROL_ERROR; + uhost->ctrl.sts = CTRL_XACTERR; + status = USB_ERROR; + } + return status; +} + +/** + * @brief usb host control status data in handler + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_status_in_handler(usbh_core_type *uhost) +{ + usb_sts_type status = USB_OK; + usbh_ctrl_recv_data(uhost, 0, 0, + uhost->ctrl.hch_in); + uhost->ctrl.state = CONTROL_STATUS_IN_WAIT; + + + return status; +} + +/** + * @brief usb host control status data in wait handler + * @param uhost: to the structure of usbh_core_type + * @param timeout: wait timeout + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_status_in_wait_handler(usbh_core_type *uhost, uint32_t timeout) +{ + usb_sts_type status = USB_OK; + urb_sts_type urb_state; + urb_state = uhost->urb_state[uhost->ctrl.hch_in]; + if(urb_state == URB_DONE) + { + uhost->ctrl.state = CONTROL_COMPLETE; + } + else if(urb_state == URB_STALL) + { + uhost->ctrl.state = CONTROL_STALL; + status = USB_NOT_SUPPORT; + } + else if(urb_state == URB_ERROR) + { + uhost->ctrl.state = CONTROL_ERROR; + } + else + { + /* wait nak timeout 5s*/ + if(uhost->timer - uhost->ctrl.timer > CTRL_TIMEOUT) + { + uhost->ctrl.state = CONTROL_ERROR; + uhost->ctrl.sts = CTRL_XACTERR; + status = USB_ERROR; + } + } + return status; +} + +/** + * @brief usb host control status data out wait handler + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_status_out_handler(usbh_core_type *uhost) +{ + usb_sts_type status = USB_OK; + uhost->hch[uhost->ctrl.hch_out].toggle_out ^= 1; + + usbh_ctrl_send_data(uhost, 0, 0, uhost->ctrl.hch_out); + uhost->ctrl.state = CONTROL_STATUS_OUT_WAIT; + + return status; +} + +/** + * @brief usb host control status data out wait handler + * @param uhost: to the structure of usbh_core_type + * @param timeout: wait timeout + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_status_out_wait_handler(usbh_core_type *uhost, uint32_t timeout) +{ + usb_sts_type status = USB_OK; + urb_sts_type urb_state; + urb_state = uhost->urb_state[uhost->ctrl.hch_out]; + if(urb_state == URB_DONE) + { + uhost->ctrl.state = CONTROL_COMPLETE; + } + else if(urb_state == URB_STALL) + { + uhost->ctrl.state = CONTROL_STALL; + } + else if(urb_state == URB_ERROR) + { + uhost->ctrl.state = CONTROL_ERROR; + } + else if(urb_state == URB_NOTREADY) + { + uhost->ctrl.state = CONTROL_STATUS_OUT; + } + /* wait nak timeout 5s*/ + if((uhost->timer - uhost->ctrl.timer > CTRL_TIMEOUT) && (urb_state == URB_NOTREADY)) + { + uhost->ctrl.state = CONTROL_ERROR; + uhost->ctrl.sts = CTRL_XACTERR; + status = USB_ERROR; + } + return status; +} + +/** + * @brief usb host control error handler + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_error_handler(usbh_core_type *uhost) +{ + usb_sts_type status = USB_WAIT; + if(++ uhost->ctrl.err_cnt <= USBH_MAX_ERROR_COUNT) + { + uhost->ctrl.state = CONTROL_SETUP; + } + else + { + uhost->ctrl.sts = CTRL_FAIL; + uhost->global_state = USBH_ERROR_STATE; + uhost->ctrl.err_cnt = 0; + status = USB_ERROR; + } + return status; +} + +/** + * @brief usb host control stall handler + * @param uhost: to the structure of usbh_core_type + * @retval usb_sts_type + */ +usb_sts_type usbh_ctrl_stall_handler(usbh_core_type *uhost) +{ + return USB_NOT_SUPPORT; +} + +/** + * @brief usb host control complete handler + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_complete_handler(usbh_core_type *uhost) +{ + return USB_OK; +} + +/** + * @brief usb host control transfer loop function + * @param uhost: to the structure of usbh_core_type + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_transfer_loop(usbh_core_type *uhost) +{ + usb_sts_type status = USB_WAIT; + static uint32_t timeout = 0; + uhost->ctrl.sts = CTRL_START; + + switch(uhost->ctrl.state) + { + case CONTROL_SETUP: + usbh_ctrl_setup_handler(uhost); + uhost->ctrl.timer = uhost->timer; + break; + + case CONTROL_SETUP_WAIT: + usbh_ctrl_setup_wait_handler(uhost, &timeout); + break; + + case CONTROL_DATA_IN: + usbh_ctrl_data_in_handler(uhost); + break; + + case CONTROL_DATA_IN_WAIT: + usbh_ctrl_data_in_wait_handler(uhost, timeout); + break; + + case CONTROL_DATA_OUT: + usbh_ctrl_data_out_handler(uhost); + break; + + case CONTROL_DATA_OUT_WAIT: + usbh_ctrl_data_out_wait_handler(uhost, timeout); + break; + + case CONTROL_STATUS_IN: + usbh_ctrl_status_in_handler(uhost); + break; + + case CONTROL_STATUS_IN_WAIT: + usbh_ctrl_status_in_wait_handler(uhost, timeout); + break; + + case CONTROL_STATUS_OUT: + usbh_ctrl_status_out_handler(uhost); + break; + + case CONTROL_STATUS_OUT_WAIT: + usbh_ctrl_status_out_wait_handler(uhost, timeout); + break; + case CONTROL_STALL: + status = usbh_ctrl_stall_handler(uhost); + break; + case CONTROL_ERROR: + status = usbh_ctrl_error_handler(uhost); + break; + case CONTROL_COMPLETE: + status = usbh_ctrl_complete_handler(uhost); + break; + + default: + break; + } + + return status; +} + +/** + * @brief usb host control request + * @param uhost: to the structure of usbh_core_type + * @param buffer: usb request buffer + * @param length: usb request length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_ctrl_request(usbh_core_type *uhost, uint8_t *buffer, uint16_t length) +{ + usb_sts_type status = USB_OK; + if(uhost->req_state == CMD_SEND) + { + uhost->req_state = CMD_WAIT; + uhost->ctrl.buffer = buffer; + uhost->ctrl.len = length; + uhost->ctrl.state = CONTROL_SETUP; + } + return status; +} + +/** + * @brief usb host get device descriptor + * @param uhost: to the structure of usbh_core_type + * @param length: get descriptor request length + * @param req_type: usb request type + * @param wvalue: usb wvalue + * @param buffer: request buffer + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_get_descriptor(usbh_core_type *uhost, uint16_t length, + uint8_t req_type, uint16_t wvalue, + uint8_t *buffer) +{ + usb_sts_type status; + uhost->ctrl.setup.bmRequestType = USB_DIR_D2H | req_type; + uhost->ctrl.setup.bRequest = USB_STD_REQ_GET_DESCRIPTOR; + uhost->ctrl.setup.wValue = wvalue; + uhost->ctrl.setup.wLength = length; + + if((wvalue & 0xFF00) == ((USB_DESCIPTOR_TYPE_STRING << 8) & 0xFF00)) + { + uhost->ctrl.setup.wIndex = 0x0409; + } + else + { + uhost->ctrl.setup.wIndex = 0; + } + + status = usbh_ctrl_request(uhost, buffer, length); + return status; +} + +/** + * @brief usb host parse device descriptor + * @param uhost: to the structure of usbh_core_type + * @param buffer: usb device descriptor buffer + * @param length: usb device descriptor length + * @retval status: usb_sts_type status + */ +void usbh_parse_dev_desc(usbh_core_type *uhost, uint8_t *buffer, uint16_t length) +{ + usbh_dev_desc_type *desc = &(uhost->dev); + + desc->dev_desc.bLength = *(uint8_t *)(buffer + 0); + desc->dev_desc.bDescriptorType = *(uint8_t *)(buffer + 1); + desc->dev_desc.bcdUSB = SWAPBYTE(buffer + 2); + desc->dev_desc.bDeviceClass = *(uint8_t *)(buffer + 4); + desc->dev_desc.bDeviceSubClass = *(uint8_t *)(buffer + 5); + desc->dev_desc.bDeviceProtocol = *(uint8_t *)(buffer + 6); + desc->dev_desc.bMaxPacketSize0 = *(uint8_t *)(buffer + 7); + + if(length > 8) + { + desc->dev_desc.idVendor = SWAPBYTE(buffer + 8); + desc->dev_desc.idProduct = SWAPBYTE(buffer + 10); + desc->dev_desc.bcdDevice = SWAPBYTE(buffer + 12); + desc->dev_desc.iManufacturer = *(uint8_t *)(buffer + 14); + desc->dev_desc.iProduct = *(uint8_t *)(buffer + 15); + desc->dev_desc.iSerialNumber = *(uint8_t *)(buffer + 16); + desc->dev_desc.bNumConfigurations = *(uint8_t *)(buffer + 17); + } +} + +/** + * @brief usb host get next header + * @param buffer: usb data buffer + * @param index_len: pointer of index len + * @retval status: usb_sts_type status + */ +usb_header_desc_type *usbh_get_next_header(uint8_t *buf, uint16_t *index_len) +{ + *index_len += ((usb_header_desc_type *)buf)->bLength; + return (usb_header_desc_type *) + ((uint8_t *)buf + ((usb_header_desc_type *)buf)->bLength); +} + +/** + * @brief usb host parse interface descriptor + * @param intf: usb interface description type + * @param buf: interface description data buffer + * @retval none + */ +void usbh_parse_interface_desc(usb_interface_desc_type *intf, uint8_t *buf) +{ + intf->bLength = *(uint8_t *)buf; + intf->bDescriptorType = *(uint8_t *)(buf + 1); + intf->bInterfaceNumber = *(uint8_t *)(buf + 2); + intf->bAlternateSetting = *(uint8_t *)(buf + 3); + intf->bNumEndpoints = *(uint8_t *)(buf + 4); + intf->bInterfaceClass = *(uint8_t *)(buf + 5); + intf->bInterfaceSubClass = *(uint8_t *)(buf + 6); + intf->bInterfaceProtocol = *(uint8_t *)(buf + 7); + intf->iInterface = *(uint8_t *)(buf + 8); +} + +/** + * @brief usb host parse endpoint descriptor + * @param ept_desc: endpoint type + * @param buf: endpoint description data buffer + * @retval none + */ +void usbh_parse_endpoint_desc(usb_endpoint_desc_type *ept_desc, uint8_t *buf) +{ + ept_desc->bLength = *(uint8_t *)(buf + 0); + ept_desc->bDescriptorType = *(uint8_t *)(buf + 1); + ept_desc->bEndpointAddress = *(uint8_t *)(buf + 2); + ept_desc->bmAttributes = *(uint8_t *)(buf + 3); + ept_desc->wMaxPacketSize = SWAPBYTE(buf + 4); + ept_desc->bInterval = *(uint8_t *)(buf + 6); +} + +/** + * @brief usb host parse configure descriptor + * @param uhost: to the structure of usbh_core_type + * @param buffer: configure buffer + * @param length: configure length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_parse_configure_desc(usbh_core_type *uhost, + uint8_t *buffer, uint16_t length) +{ + usb_cfg_desc_type *cfg_desc = &(uhost->dev.cfg_desc); + usb_interface_desc_type *intf_desc; + usb_endpoint_desc_type *ept_desc; + usb_header_desc_type *desc; + uint16_t index_len; + uint8_t index_intf = 0; + uint8_t index_ept = 0; + + desc = (usb_header_desc_type *)buffer; + cfg_desc->cfg.bLength = *(uint8_t *)buffer; + cfg_desc->cfg.bDescriptorType = *(uint8_t *)(buffer + 1); + cfg_desc->cfg.wTotalLength = SWAPBYTE(buffer + 2); + cfg_desc->cfg.bNumInterfaces = *(uint8_t *)(buffer + 4); + cfg_desc->cfg.bConfigurationValue = *(uint8_t *)(buffer + 5); + cfg_desc->cfg.iConfiguration = *(uint8_t *)(buffer + 6); + cfg_desc->cfg.bmAttributes = *(uint8_t *)(buffer + 7); + cfg_desc->cfg.bMaxPower = *(uint8_t *)(buffer + 8); + + if(length > USB_DEVICE_CFG_DESC_LEN) + { + index_len = USB_DEVICE_CFG_DESC_LEN; + + while((index_intf < USBH_MAX_INTERFACE) && index_len < cfg_desc->cfg.wTotalLength) + { + desc = usbh_get_next_header((uint8_t *)desc, &index_len); + if(desc->bDescriptorType == USB_DESCIPTOR_TYPE_INTERFACE) + { + index_ept = 0; + intf_desc = &cfg_desc->interface[index_intf].interface; + usbh_parse_interface_desc(intf_desc, (uint8_t *)desc); + + while(index_ept < intf_desc->bNumEndpoints && index_len < cfg_desc->cfg.wTotalLength) + { + desc = usbh_get_next_header((uint8_t *)desc, &index_len); + if(desc->bDescriptorType == USB_DESCIPTOR_TYPE_ENDPOINT) + { + ept_desc = &(cfg_desc->interface[index_intf].endpoint[index_ept]); + usbh_parse_endpoint_desc(ept_desc, (uint8_t *)desc); + index_ept ++; + } + } + index_intf ++; + } + } + } + return USB_OK; +} + +/** + * @brief usb host find interface + * @param uhost: to the structure of usbh_core_type + * @param class_code: class code + * @param sub_class: subclass code + * @param protocol: prtocol code + * @retval idx: interface index + */ +uint8_t usbh_find_interface(usbh_core_type *uhost, uint8_t class_code, uint8_t sub_class, uint8_t protocol) +{ + uint8_t idx = 0; + usb_itf_desc_type *usbitf; + for(idx = 0; idx < uhost->dev.cfg_desc.cfg.bNumInterfaces; idx ++) + { + usbitf = &uhost->dev.cfg_desc.interface[idx]; + if(((usbitf->interface.bInterfaceClass == class_code) || (class_code == 0xFF)) && + ((usbitf->interface.bInterfaceSubClass == sub_class) || (sub_class == 0xFF)) && + ((usbitf->interface.bInterfaceProtocol == protocol) || (protocol == 0xFF)) + ) + { + return idx; + } + } + return 0xFF; +} + +/** + * @brief usbh parse string descriptor + * @param src: string source pointer + * @param dest: string destination pointer + * @param length: string length + * @retval none + */ +void usbh_parse_string_desc(uint8_t *src, uint8_t *dest, uint16_t length) +{ + uint16_t len; + uint16_t i_index; + + if(src[1] == USB_DESCIPTOR_TYPE_STRING) + { + len = ((src[0] - 2) <= length ? (src[0] - 2) : length); + src += 2; + for(i_index = 0; i_index < len; i_index += 2) + { + *dest = src[i_index]; + dest ++; + } + *dest = 0; + } +} + +/** + * @brief usb host get device descriptor + * @param uhost: to the structure of usbh_core_type + * @param length: get device descriptor length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_get_device_descriptor(usbh_core_type *uhost, uint16_t length) +{ + usb_sts_type status = USB_WAIT; + uint8_t bm_req; + uint16_t wvalue; + + bm_req = USB_REQ_RECIPIENT_DEVICE | USB_REQ_TYPE_STANDARD; + wvalue = (USB_DESCIPTOR_TYPE_DEVICE << 8) & 0xFF00; + + status = usbh_get_descriptor(uhost, length, bm_req, + wvalue, uhost->rx_buffer); + return status; +} + +/** + * @brief usb host get configure descriptor + * @param uhost: to the structure of usbh_core_type + * @param length: get device configure length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_get_configure_descriptor(usbh_core_type *uhost, uint16_t length) +{ + usb_sts_type status = USB_WAIT; + uint8_t bm_req; + uint16_t wvalue; + + bm_req = USB_REQ_RECIPIENT_DEVICE | USB_REQ_TYPE_STANDARD; + wvalue = (USB_DESCIPTOR_TYPE_CONFIGURATION << 8) & 0xFF00; + + status = usbh_get_descriptor(uhost, length, bm_req, + wvalue, uhost->rx_buffer); + + return status; +} + +/** + * @brief usb host get string descriptor + * @param uhost: to the structure of usbh_core_type + * @param string_id: string id + * @param buffer: receive data buffer + * @param length: get device string length + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_get_sting_descriptor(usbh_core_type *uhost, uint8_t string_id, + uint8_t *buffer, uint16_t length) +{ + usb_sts_type status = USB_WAIT; + uint8_t bm_req; + uint16_t wvalue; + + bm_req = USB_REQ_RECIPIENT_DEVICE | USB_REQ_TYPE_STANDARD; + wvalue = (USB_DESCIPTOR_TYPE_STRING << 8) | string_id; + + status = usbh_get_descriptor(uhost, length, bm_req, + wvalue, uhost->rx_buffer); + + return status; +} + +/** + * @brief usb host set configurtion + * @param uhost: to the structure of usbh_core_type + * @param config: usb configuration + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_set_configuration(usbh_core_type *uhost, uint16_t config) +{ + usb_sts_type status = USB_WAIT; + uint8_t bm_req; + bm_req = USB_REQ_RECIPIENT_DEVICE | USB_REQ_TYPE_STANDARD; + + uhost->ctrl.setup.bmRequestType = USB_DIR_H2D | bm_req; + uhost->ctrl.setup.bRequest = USB_STD_REQ_SET_CONFIGURATION; + uhost->ctrl.setup.wValue = config; + uhost->ctrl.setup.wLength = 0; + uhost->ctrl.setup.wIndex = 0; + status = usbh_ctrl_request(uhost, 0, 0); + return status; +} + +/** + * @brief usb host set device address + * @param uhost: to the structure of usbh_core_type + * @param address: device address + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_set_address(usbh_core_type *uhost, uint8_t address) +{ + usb_sts_type status = USB_WAIT; + uint8_t bm_req; + bm_req = USB_REQ_RECIPIENT_DEVICE | USB_REQ_TYPE_STANDARD; + + uhost->ctrl.setup.bmRequestType = USB_DIR_H2D | bm_req; + uhost->ctrl.setup.bRequest = USB_STD_REQ_SET_ADDRESS; + uhost->ctrl.setup.wValue = (uint16_t)address; + uhost->ctrl.setup.wLength = 0; + uhost->ctrl.setup.wIndex = 0; + status = usbh_ctrl_request(uhost, 0, 0); + return status; +} + +/** + * @brief usb host set interface + * @param uhost: to the structure of usbh_core_type + * @param ept_num: endpoint number + * @param altsetting: alter setting + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_set_interface(usbh_core_type *uhost, uint8_t ept_num, uint8_t altsetting) +{ + usb_sts_type status = USB_WAIT; + uint8_t bm_req; + bm_req = USB_REQ_RECIPIENT_INTERFACE | USB_REQ_TYPE_STANDARD; + + uhost->ctrl.setup.bmRequestType = USB_DIR_H2D | bm_req; + uhost->ctrl.setup.bRequest = USB_STD_REQ_SET_INTERFACE; + uhost->ctrl.setup.wValue = (uint16_t)altsetting; + uhost->ctrl.setup.wLength = 0; + uhost->ctrl.setup.wIndex = ept_num; + status = usbh_ctrl_request(uhost, 0, 0); + return status; +} + +/** + * @brief usb host set feature + * @param uhost: to the structure of usbh_core_type + * @param feature: feature number + * @param index: index number + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_set_feature(usbh_core_type *uhost, uint8_t feature, uint16_t index) +{ + usb_sts_type status = USB_WAIT; + uint8_t bm_req; + bm_req = USB_REQ_RECIPIENT_DEVICE | USB_REQ_TYPE_STANDARD; + + uhost->ctrl.setup.bmRequestType = USB_DIR_H2D | bm_req; + uhost->ctrl.setup.bRequest = USB_STD_REQ_SET_FEATURE; + uhost->ctrl.setup.wValue = (uint16_t)feature; + uhost->ctrl.setup.wLength = 0; + uhost->ctrl.setup.wIndex = index; + status = usbh_ctrl_request(uhost, 0, 0); + return status; +} + + +/** + * @brief usb host clear device feature + * @param uhost: to the structure of usbh_core_type + * @param feature: feature number + * @param index: index number + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_clear_dev_feature(usbh_core_type *uhost, uint8_t feature, uint16_t index) +{ + usb_sts_type status = USB_WAIT; + uint8_t bm_req; + bm_req = USB_REQ_RECIPIENT_DEVICE | USB_REQ_TYPE_STANDARD; + + uhost->ctrl.setup.bmRequestType = USB_DIR_H2D | bm_req; + uhost->ctrl.setup.bRequest = USB_STD_REQ_CLEAR_FEATURE; + uhost->ctrl.setup.wValue = (uint16_t)feature; + uhost->ctrl.setup.wLength = 0; + uhost->ctrl.setup.wIndex = index; + status = usbh_ctrl_request(uhost, 0, 0); + return status; +} + +/** + * @brief usb host clear endpoint feature + * @param uhost: to the structure of usbh_core_type + * @param ept_num: endpoint number + * @param hc_num: host channel number + * @retval status: usb_sts_type status + */ +usb_sts_type usbh_clear_ept_feature(usbh_core_type *uhost, uint8_t ept_num, uint8_t hc_num) +{ + usb_sts_type status = USB_WAIT; + uint8_t bm_req; + if(uhost->ctrl.state == CONTROL_IDLE ) + { + bm_req = USB_REQ_RECIPIENT_ENDPOINT | USB_REQ_TYPE_STANDARD; + + uhost->ctrl.setup.bmRequestType = USB_DIR_H2D | bm_req; + uhost->ctrl.setup.bRequest = USB_STD_REQ_CLEAR_FEATURE; + uhost->ctrl.setup.wValue = USB_FEATURE_EPT_HALT; + uhost->ctrl.setup.wLength = 0; + uhost->ctrl.setup.wIndex = ept_num; + usbh_ctrl_request(uhost, 0, 0); + } + if(usbh_ctrl_result_check(uhost, CONTROL_IDLE, ENUM_IDLE) == USB_OK) + { + status = USB_OK; + } + return status; +} + +/** + * @} + */ + +/** + * @} + */ + diff --git a/bsp/at32/libraries/usbfs_library/src/usbh_int.c b/bsp/at32/libraries/usbfs_library/src/usbh_int.c new file mode 100644 index 0000000000..1b873eca86 --- /dev/null +++ b/bsp/at32/libraries/usbfs_library/src/usbh_int.c @@ -0,0 +1,540 @@ +/** + ************************************************************************** + * @file usbh_int.c + * @brief usb host interrupt request + ************************************************************************** + * Copyright notice & Disclaimer + * + * The software Board Support Package (BSP) that is made available to + * download from Artery official website is the copyrighted work of Artery. + * Artery authorizes customers to use, copy, and distribute the BSP + * software and its related documentation for the purpose of design and + * development in conjunction with Artery microcontrollers. Use of the + * software is governed by this copyright notice and the following disclaimer. + * + * THIS SOFTWARE IS PROVIDED ON "AS IS" BASIS WITHOUT WARRANTIES, + * GUARANTEES OR REPRESENTATIONS OF ANY KIND. ARTERY EXPRESSLY DISCLAIMS, + * TO THE FULLEST EXTENT PERMITTED BY LAW, ALL EXPRESS, IMPLIED OR + * STATUTORY OR OTHER WARRANTIES, GUARANTEES OR REPRESENTATIONS, + * INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. + * + ************************************************************************** + */ +#include "usbh_int.h" + +/** @defgroup USBH_drivers_interrupt + * @brief usb host interrupt + * @{ + */ + +/** @defgroup USBH_int_private_functions + * @{ + */ + +/** + * @brief usb host interrupt handler + * @param otgdev: to the structure of otg_core_type + * @retval none + */ +void usbh_irq_handler(otg_core_type *otgdev) +{ + otg_global_type *usbx = otgdev->usb_reg; + usbh_core_type *uhost = &otgdev->host; + uint32_t intsts = usb_global_get_all_interrupt(usbx); + + if(usbx->gintsts_bit.curmode == 1) + { + if(intsts & USB_OTG_HCH_FLAG) + { + usbh_hch_handler(uhost); + usb_global_clear_interrupt(usbx, USB_OTG_HCH_FLAG); + } + if(intsts & USB_OTG_SOF_FLAG) + { + usbh_sof_handler(uhost); + usb_global_clear_interrupt(usbx, USB_OTG_SOF_FLAG); + } + if(intsts & USB_OTG_MODEMIS_FLAG) + { + usb_global_clear_interrupt(usbx, USB_OTG_MODEMIS_FLAG); + } + if(intsts & USB_OTG_WKUP_FLAG) + { + usbh_wakeup_handler(uhost); + usb_global_clear_interrupt(usbx, USB_OTG_WKUP_FLAG); + } + while(usbx->gintsts & USB_OTG_RXFLVL_FLAG) + { + usbh_rx_qlvl_handler(uhost); + usb_global_clear_interrupt(usbx, USB_OTG_RXFLVL_FLAG); + } + if(intsts & USB_OTG_DISCON_FLAG) + { + usbh_disconnect_handler(uhost); + usb_global_clear_interrupt(usbx, USB_OTG_DISCON_FLAG); + } + if(intsts & USB_OTG_PRT_FLAG) + { + usbh_port_handler(uhost); + } + if(intsts & USB_OTG_INCOMPIP_INCOMPISOOUT_FLAG) + { + usb_global_clear_interrupt(usbx, USB_OTG_INCOMPIP_INCOMPISOOUT_FLAG); + } + if(intsts & USB_OTG_INCOMISOIN_FLAG) + { + usb_global_clear_interrupt(usbx, USB_OTG_INCOMISOIN_FLAG); + } + if(intsts & USB_OTG_PTXFEMP_FLAG) + { + usb_global_clear_interrupt(usbx, USB_OTG_PTXFEMP_FLAG); + } + if(intsts & USB_OTG_ISOOUTDROP_FLAG) + { + usb_global_clear_interrupt(usbx, USB_OTG_ISOOUTDROP_FLAG); + } + + } +} + +/** + * @brief usb host wakeup handler + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +void usbh_wakeup_handler(usbh_core_type *uhost) +{ + uhost->global_state = USBH_WAKEUP; +} + +/** + * @brief usb host sof handler + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +void usbh_sof_handler(usbh_core_type *uhost) +{ + uhost->timer ++; +} + +/** + * @brief usb host disconnect handler + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +void usbh_disconnect_handler(usbh_core_type *uhost) +{ + otg_global_type *usbx = uhost->usb_reg; + + uint8_t i_index; + + usb_host_disable(usbx); + + uhost->conn_sts = 0; + + uhost->global_state = USBH_DISCONNECT; + + for(i_index = 0; i_index < USB_HOST_CHANNEL_NUM; i_index ++) + { + usbh_free_channel(uhost, i_index); + } + usbh_fsls_clksel(usbx, USB_HCFG_CLK_48M); + + usbh_disconnect_callback(uhost); +} + +/** + * @brief usb host in transfer request handler + * @param uhost: to the structure of usbh_core_type + * @param chn: channel number + * @retval none + */ +void usbh_hch_in_handler(usbh_core_type *uhost, uint8_t chn) +{ + otg_global_type *usbx = uhost->usb_reg; + otg_hchannel_type *usb_chh = USB_CHL(usbx, chn); + uint32_t hcint_value = usb_chh->hcint & usb_chh->hcintmsk; + + if( hcint_value & USB_OTG_HC_ACK_FLAG) + { + usb_chh->hcint = USB_OTG_HC_ACK_FLAG; + } + else if(hcint_value & USB_OTG_HC_STALL_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_chh->hcint = USB_OTG_HC_NAK_FLAG | USB_OTG_HC_STALL_FLAG; + uhost->hch[chn].state = HCH_STALL; + usb_hch_halt(usbx, chn); + } + else if(hcint_value & USB_OTG_HC_DTGLERR_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_hch_halt(usbx, chn); + usb_chh->hcint = USB_OTG_HC_DTGLERR_FLAG | USB_OTG_HC_NAK_FLAG; + uhost->hch[chn].state = HCH_DATATGLERR; + } + + else if(hcint_value & USB_OTG_HC_FRMOVRRUN_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_hch_halt(usbx, chn); + usb_chh->hcint = USB_OTG_HC_FRMOVRRUN_FLAG; + } + else if(hcint_value & USB_OTG_HC_XFERC_FLAG) + { + uhost->hch[chn].state = HCH_XFRC; + usb_chh->hcint = USB_OTG_HC_XFERC_FLAG; + + if(usb_chh->hcchar_bit.eptype == EPT_BULK_TYPE || usb_chh->hcchar_bit.eptype == EPT_CONTROL_TYPE) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_hch_halt(usbx, chn); + usb_chh->hcint = USB_OTG_HC_NAK_FLAG; + } + else if(usb_chh->hcchar_bit.eptype == EPT_INT_TYPE) + { + usb_chh->hcchar_bit.oddfrm = TRUE; + uhost->urb_state[chn] = URB_DONE; + + usbd_notify_urbchange_callback(uhost, chn, uhost->urb_state[chn]); + } + else if(usb_chh->hcchar_bit.eptype == EPT_ISO_TYPE) + { + uhost->urb_state[chn] = URB_DONE; + usbd_notify_urbchange_callback(uhost, chn, uhost->urb_state[chn]); + } + uhost->hch[chn].toggle_in ^= 1; + } + else if(hcint_value & USB_OTG_HC_CHHLTD_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = FALSE; + if(uhost->hch[chn].state == HCH_XFRC ) + { + uhost->urb_state[chn] = URB_DONE; + } + else if(uhost->hch[chn].state == HCH_STALL) + { + uhost->urb_state[chn] = URB_STALL; + } + else if(uhost->hch[chn].state == HCH_XACTERR || + uhost->hch[chn].state == HCH_DATATGLERR) + { + uhost->err_cnt[chn] ++; + if(uhost->err_cnt[chn] > 3) + { + uhost->urb_state[chn] = URB_ERROR; + uhost->err_cnt[chn] = 0; + } + else + { + uhost->urb_state[chn] = URB_NOTREADY; + } + usb_chh->hcchar_bit.chdis = FALSE; + usb_chh->hcchar_bit.chena = TRUE; + } + else if(uhost->hch[chn].state == HCH_NAK) + { + usb_chh->hcchar_bit.chdis = FALSE; + usb_chh->hcchar_bit.chena = TRUE; + uhost->urb_state[chn] = URB_NOTREADY; + } + usb_chh->hcint = USB_OTG_HC_CHHLTD_FLAG; + usbd_notify_urbchange_callback(uhost, chn, uhost->urb_state[chn]); + } + else if(hcint_value & USB_OTG_HC_XACTERR_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + uhost->hch[chn].state = HCH_XACTERR; + usb_hch_halt(usbx, chn); + uhost->err_cnt[chn] ++; + usb_chh->hcint = USB_OTG_HC_XACTERR_FLAG; + } + else if(hcint_value & USB_OTG_HC_NAK_FLAG) + { + if(usb_chh->hcchar_bit.eptype == EPT_INT_TYPE) + { + uhost->err_cnt[chn] = 0; + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_hch_halt(usbx, chn); + } + else if(usb_chh->hcchar_bit.eptype == EPT_BULK_TYPE || + usb_chh->hcchar_bit.eptype == EPT_CONTROL_TYPE) + { + uhost->err_cnt[chn] = 0; + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_hch_halt(usbx, chn); + } + uhost->hch[chn].state = HCH_NAK; + usb_chh->hcint = USB_OTG_HC_NAK_FLAG; + } + else if(hcint_value & USB_OTG_HC_BBLERR_FLAG) + { + usb_chh->hcint = USB_OTG_HC_BBLERR_FLAG; + } +} + +/** + * @brief usb host out transfer request handler + * @param uhost: to the structure of usbh_core_type + * @param chn: channel number + * @retval none + */ +void usbh_hch_out_handler(usbh_core_type *uhost, uint8_t chn) +{ + otg_global_type *usbx = uhost->usb_reg; + otg_hchannel_type *usb_chh = USB_CHL(usbx, chn); + uint32_t hcint_value = usb_chh->hcint & usb_chh->hcintmsk; + + if( hcint_value & USB_OTG_HC_ACK_FLAG) + { + usb_chh->hcint = USB_OTG_HC_ACK_FLAG; + } + else if( hcint_value & USB_OTG_HC_FRMOVRRUN_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_hch_halt(usbx, chn); + usb_chh->hcint = USB_OTG_HC_FRMOVRRUN_FLAG; + } + else if( hcint_value & USB_OTG_HC_XFERC_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_hch_halt(usbx, chn); + uhost->hch[chn].state = HCH_XFRC; + usb_chh->hcint = USB_OTG_HC_XFERC_FLAG; + } + else if( hcint_value & USB_OTG_HC_STALL_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_chh->hcint = USB_OTG_HC_STALL_FLAG; + uhost->hch[chn].state = HCH_STALL; + usb_hch_halt(usbx, chn); + } + else if( hcint_value & USB_OTG_HC_DTGLERR_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + usb_hch_halt(usbx, chn); + usb_chh->hcint = USB_OTG_HC_DTGLERR_FLAG | USB_OTG_HC_NAK_FLAG; + uhost->hch[chn].state = HCH_DATATGLERR; + } + else if( hcint_value & USB_OTG_HC_CHHLTD_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = FALSE; + if(uhost->hch[chn].state == HCH_XFRC) + { + uhost->urb_state[chn] = URB_DONE; + if(uhost->hch[chn].ept_type == EPT_BULK_TYPE || + uhost->hch[chn].ept_type == EPT_INT_TYPE) + { + uhost->hch[chn].toggle_out ^= 1; + } + } + else if(uhost->hch[chn].state == HCH_NAK) + { + uhost->urb_state[chn] = URB_NOTREADY; + } + else if(uhost->hch[chn].state == HCH_STALL) + { + uhost->hch[chn].urb_sts = URB_STALL; + } + else if(uhost->hch[chn].state == HCH_XACTERR || + uhost->hch[chn].state == HCH_DATATGLERR) + { + uhost->err_cnt[chn] ++; + if(uhost->err_cnt[chn] > 3) + { + uhost->urb_state[chn] = URB_ERROR; + uhost->err_cnt[chn] = 0; + usbd_notify_urbchange_callback(uhost, chn, uhost->urb_state[chn]); + } + else + { + uhost->urb_state[chn] = URB_NOTREADY; + } + + usb_chh->hcchar_bit.chdis = FALSE; + usb_chh->hcchar_bit.chena = TRUE; + } + usb_chh->hcint = USB_OTG_HC_CHHLTD_FLAG; + usbd_notify_urbchange_callback(uhost, chn, uhost->urb_state[chn]); + } + else if( hcint_value & USB_OTG_HC_XACTERR_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + uhost->err_cnt[chn] ++; + uhost->hch[chn].state = HCH_XACTERR; + usb_hch_halt(usbx, chn); + usb_chh->hcint = USB_OTG_HC_XACTERR_FLAG | USB_OTG_HC_NAK_FLAG; + } + else if( hcint_value & USB_OTG_HC_NAK_FLAG) + { + usb_chh->hcintmsk_bit.chhltdmsk = TRUE; + uhost->err_cnt[chn] = 0; + usb_hch_halt(usbx, chn); + uhost->hch[chn].state = HCH_NAK; + usb_chh->hcint = USB_OTG_HC_NAK_FLAG; + } +} + +/** + * @brief usb host channel request handler + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +void usbh_hch_handler(usbh_core_type *uhost) +{ + otg_global_type *usbx = uhost->usb_reg; + otg_host_type *usb_host = OTG_HOST(usbx); + uint32_t intsts, i_index; + + intsts = usb_host->haint & 0xFFFF; + for(i_index = 0; i_index < 16; i_index ++) + { + if(intsts & (1 << i_index)) + { + if(USB_CHL(usbx, i_index)->hcchar_bit.eptdir) + { + //hc in + usbh_hch_in_handler(uhost, i_index); + } + else + { + //hc out + usbh_hch_out_handler(uhost, i_index); + } + } + } +} + +/** + * @brief usb host rx buffer not empty request handler + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +void usbh_rx_qlvl_handler(usbh_core_type *uhost) +{ + uint8_t chn; + uint32_t pktsts; + uint32_t pktcnt; + uint32_t tmp; + otg_hchannel_type *ch; + otg_global_type *usbx = uhost->usb_reg; + + usbx->gintmsk_bit.rxflvlmsk = 0; + + tmp = usbx->grxstsp; + chn = tmp & 0xF; + pktsts = (tmp >> 17) & 0xF; + pktcnt = (tmp >> 4) & 0x7FF; + ch = USB_CHL(usbx, chn); + switch(pktsts) + { + case PKTSTS_IN_DATA_PACKET_RECV: + if(pktcnt > 0 && (uhost->hch[chn].trans_buf) != 0) + { + usb_read_packet(usbx, uhost->hch[chn].trans_buf, chn, pktcnt); + uhost->hch[chn].trans_buf += pktcnt; + uhost->hch[chn].trans_count += pktcnt; + + if(ch->hctsiz_bit.pktcnt > 0) + { + ch->hcchar_bit.chdis = FALSE; + ch->hcchar_bit.chena = TRUE; + uhost->hch[chn].toggle_in ^= 1; + } + } + break; + case PKTSTS_IN_TRANSFER_COMPLETE: + break; + case PKTSTS_DATA_BIT_ERROR: + break; + case PKTSTS_CHANNEL_STOP: + break; + default: + break; + + } + usbx->gintmsk_bit.rxflvlmsk = 1; +} + +/** + * @brief usb host port request handler + * @param uhost: to the structure of usbh_core_type + * @retval none + */ +void usbh_port_handler(usbh_core_type *uhost) +{ + otg_global_type *usbx = uhost->usb_reg; + otg_host_type *usb_host = OTG_HOST(usbx); + + uint32_t prt = 0, prt_0; + + prt = usb_host->hprt; + prt_0 = prt; + + prt_0 &= ~(USB_OTG_HPRT_PRTENA | USB_OTG_HPRT_PRTENCHNG | + USB_OTG_HPRT_PRTOVRCACT | USB_OTG_HPRT_PRTCONDET); + if(prt & USB_OTG_HPRT_PRTCONDET) + { + if(prt & USB_OTG_HPRT_PRTCONSTS) + { + /* connect callback */ + uhost->conn_sts = 1; + } + + usbh_connect_callback(uhost); + prt_0 |= USB_OTG_HPRT_PRTCONDET; + } + + if(prt & USB_OTG_HPRT_PRTENCHNG) + { + prt_0 |= USB_OTG_HPRT_PRTENCHNG; + + if(prt & USB_OTG_HPRT_PRTENA) + { + if((prt & USB_OTG_HPRT_PRTSPD) == (USB_PRTSPD_LOW_SPEED << 17)) + { + usbh_fsls_clksel(usbx, USB_HCFG_CLK_6M); + } + else + { + usbh_fsls_clksel(usbx, USB_HCFG_CLK_48M); + } + /* connect callback */ + uhost->port_enable = 1; + } + else + { + /* clean up hprt */ + uhost->port_enable = 0; + } + } + + if(prt & USB_OTG_HPRT_PRTOVRCACT) + { + prt_0 |= USB_OTG_HPRT_PRTOVRCACT; + } + + usb_host->hprt = prt_0; +} + +__weak void usbh_connect_callback(usbh_core_type *uhost) +{ +} + +__weak void usbh_disconnect_callback(usbh_core_type *uhost) +{ +} + +__weak void usbd_notify_urbchange_callback(usbh_core_type *uhost, uint8_t chnum, urb_sts_type sts) +{ +} + +/** + * @} + */ + +/** + * @} + */ + diff --git a/bsp/at32/tools/sdk_dist.py b/bsp/at32/tools/sdk_dist.py index 3dac286330..39965e7bac 100644 --- a/bsp/at32/tools/sdk_dist.py +++ b/bsp/at32/tools/sdk_dist.py @@ -35,5 +35,7 @@ def dist_do_building(BSP_ROOT, dist_dir): print("=> copy bsp drivers") bsp_copy_files(os.path.join(library_path, 'rt_drivers'), os.path.join(library_dir, 'rt_drivers')) + bsp_copy_files(os.path.join(library_path, 'usbd_library'), os.path.join(library_dir, 'usbd_library')) + bsp_copy_files(os.path.join(library_path, 'usbfs_library'), os.path.join(library_dir, 'usbfs_library')) shutil.copyfile(os.path.join(library_path, 'Kconfig'), os.path.join(library_dir, 'Kconfig')) bsp_update_kconfig_library(dist_dir) \ No newline at end of file From 022760c56977a981ebe6112e9ed18ef9a9c202eb Mon Sep 17 00:00:00 2001 From: Rbb666 Date: Fri, 24 Feb 2023 18:36:51 +0800 Subject: [PATCH 13/23] [Infineon]Fix scb5 can't use bug --- .../IFX_PSOC6_HAL/mtb-hal-cat1/include_pvt/cyhal_scb_common.h | 2 +- .../IFX_PSOC6_HAL/mtb-hal-cat1/source/cyhal_scb_common.c | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/bsp/Infineon/libraries/IFX_PSOC6_HAL/mtb-hal-cat1/include_pvt/cyhal_scb_common.h b/bsp/Infineon/libraries/IFX_PSOC6_HAL/mtb-hal-cat1/include_pvt/cyhal_scb_common.h index ffaf754bc9..df766444e5 100644 --- a/bsp/Infineon/libraries/IFX_PSOC6_HAL/mtb-hal-cat1/include_pvt/cyhal_scb_common.h +++ b/bsp/Infineon/libraries/IFX_PSOC6_HAL/mtb-hal-cat1/include_pvt/cyhal_scb_common.h @@ -46,7 +46,7 @@ extern "C" { #endif #if defined(CY_IP_MXSCB_INSTANCES) -#if defined(CY_DEVICE_PSOC6A256K) +#if defined(CY_DEVICE_PSOC6A256K) || defined(CY8C624ALQI_S2D42) //Special case for 256k device which has 6 SCBs numbered 0, 1, 2, 4, 5, 6 #define _SCB_ARRAY_SIZE (CY_IP_MXSCB_INSTANCES + 1) #else diff --git a/bsp/Infineon/libraries/IFX_PSOC6_HAL/mtb-hal-cat1/source/cyhal_scb_common.c b/bsp/Infineon/libraries/IFX_PSOC6_HAL/mtb-hal-cat1/source/cyhal_scb_common.c index b507ba3547..30600d3937 100644 --- a/bsp/Infineon/libraries/IFX_PSOC6_HAL/mtb-hal-cat1/source/cyhal_scb_common.c +++ b/bsp/Infineon/libraries/IFX_PSOC6_HAL/mtb-hal-cat1/source/cyhal_scb_common.c @@ -51,7 +51,7 @@ CySCB_Type *const _CYHAL_SCB_BASE_ADDRESSES[_SCB_ARRAY_SIZE] = #endif #ifdef SCB3 SCB3, -#elif defined(CY_DEVICE_PSOC6A256K) +#elif defined(CY_DEVICE_PSOC6A256K) || defined(CY8C624ALQI_S2D42) NULL, // Placeholder #endif #ifdef SCB4 From faddfecbd299670ea82d2aa854fefe3c01d3d02c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E8=9E=BA=E4=B8=9D=E6=9D=BE=E6=8E=89=E7=9A=84=E4=BA=BA?= <95859513+Z8MAN8@users.noreply.github.com> Date: Sat, 25 Feb 2023 14:26:37 +0800 Subject: [PATCH 14/23] [rtduino][lpc55s69] update (#6972) --- .../lpc55s69_nxp_evk/applications/arduino_pinout/README.md | 2 +- .../lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c | 1 + bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig | 2 +- 3 files changed, 3 insertions(+), 2 deletions(-) diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md index 968594fc94..94b21fbfe0 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md @@ -22,7 +22,7 @@ Hardware Drivers Config ---> ![LPC55s69-nxp-evk-pinout2](LPC55s69-nxp-evk-pinout2.png) -| Arduino引脚编号 | STM32引脚编号 | 5V容忍 | 备注 | +| Arduino引脚编号 | LPC55引脚编号 | 5V容忍 | 备注 | | ------------------- | --------- | ---- | ------------------------------------------------------------------------- | | 0 (D0) | P(1,24) | 是/否 | Serial2-RX,默认被RT-Thread的UART设备框架uart1接管 | | 1 (D1) | P(0,27) | 是/否 | Serial2-TX,默认被RT-Thread的UART设备框架uart1接管 | diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c index ef62017fe5..5aa441b4d4 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c @@ -11,6 +11,7 @@ #include #include +#include #include "pins_arduino.h" /* diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig b/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig index 01f7c8ef98..ff786f2c5c 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig @@ -222,7 +222,7 @@ menu "On-chip Peripheral Drivers" config BSP_USING_CTIMER1_MAT3 bool "Enable CIMER1 Match3 as PWM output" - default y + default n config BSP_USING_CTIMER2_MAT0 bool "Enable CIMER2 Match0 as PWM output" From 7763183074f2e4fe1720ab92450fde64a9205f18 Mon Sep 17 00:00:00 2001 From: Yifang Date: Sat, 25 Feb 2023 14:28:03 +0800 Subject: [PATCH 15/23] =?UTF-8?q?[rtduino][lpc55s69]=20=E7=BA=A0=E6=AD=A3u?= =?UTF-8?q?art=E5=BC=95=E8=84=9A=E9=94=99=E8=AF=AF=E5=B9=B6=E4=BD=BF?= =?UTF-8?q?=E8=83=BD=E7=9B=B8=E5=85=B3=E4=BE=9D=E8=B5=96=E9=A1=B9=20(#6976?= =?UTF-8?q?)?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit * [rtduino][lpc55s69] 纠正uart引脚错误并使能相关依赖项 Co-authored-by: Man, Jianting (Meco) <920369182@qq.com> --- .../lpc55s69_nxp_evk/applications/arduino_pinout/README.md | 4 ++-- .../applications/arduino_pinout/pins_arduino.c | 4 ++-- .../applications/arduino_pinout/pins_arduino.h | 2 +- bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig | 2 +- 4 files changed, 6 insertions(+), 6 deletions(-) diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md index 94b21fbfe0..4f1a4bc2e2 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/README.md @@ -24,8 +24,8 @@ Hardware Drivers Config ---> | Arduino引脚编号 | LPC55引脚编号 | 5V容忍 | 备注 | | ------------------- | --------- | ---- | ------------------------------------------------------------------------- | -| 0 (D0) | P(1,24) | 是/否 | Serial2-RX,默认被RT-Thread的UART设备框架uart1接管 | -| 1 (D1) | P(0,27) | 是/否 | Serial2-TX,默认被RT-Thread的UART设备框架uart1接管 | +| 0 (D0) | P(1,24) | 是/否 | Serial2-RX,默认被RT-Thread的UART设备框架uart2接管 | +| 1 (D1) | P(0,27) | 是/否 | Serial2-TX,默认被RT-Thread的UART设备框架uart2接管 | | 2 (D2) | P(0,15) | 是/否 | | | 3 (D3) | P(1,6) | 是/否 | | | 4 (D4) | P(1,7) | 是/否 | PWM2-CH2,默认被RT-Thread的PWM设备框架pwm2接管 | diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c index 5aa441b4d4..0c02e3ac29 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.c @@ -23,8 +23,8 @@ */ const pin_map_t pin_map_table[]= { - {D0, GET_PINS(1,24), "uart1"}, /* Serial2-RX */ - {D1, GET_PINS(0,27), "uart1"}, /* Serial2-TX */ + {D0, GET_PINS(1,24), "uart2"}, /* Serial2-RX */ + {D1, GET_PINS(0,27), "uart2"}, /* Serial2-TX */ {D2, GET_PINS(0,15)}, {D3, GET_PINS(1,6)}, {D4, GET_PINS(1,7), "pwm2", 2}, /* PWM */ diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h index b5487c5426..22dbc3b4e3 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/applications/arduino_pinout/pins_arduino.h @@ -44,6 +44,6 @@ #define RTDUINO_DEFAULT_IIC_BUS_NAME "i2c1" /* Serial2 : P(,27-TX P(,24-RX */ -#define RTDUINO_SERIAL2_DEVICE_NAME "uart1" +#define RTDUINO_SERIAL2_DEVICE_NAME "uart2" #endif /* Pins_Arduino_h */ diff --git a/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig b/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig index ff786f2c5c..99d7da5bae 100644 --- a/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig +++ b/bsp/lpc55sxx/lpc55s69_nxp_evk/board/Kconfig @@ -266,7 +266,7 @@ menu "Onboard Peripheral Drivers" config BSP_USING_ARDUINO bool "Compatible with Arduino Ecosystem (RTduino)" select PKG_USING_RTDUINO - select BSP_USING_STLINK_TO_USART + select BSP_USING_UART2 select BSP_USING_GPIO select BSP_USING_ADC select BSP_USING_ADC0 From e50a7e3f854f9618e444e0be5020cc4c4d40e978 Mon Sep 17 00:00:00 2001 From: xqyjlj Date: Sat, 25 Feb 2023 15:33:28 +0800 Subject: [PATCH 16/23] =?UTF-8?q?=F0=9F=90=9E=20fix(components/drivers/tty?= =?UTF-8?q?/pty.c):=20fix=20ptmx=20not=20init=20(#6970)?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit * 🐞 fix(components/drivers/tty/tty.c): fix wrong log format * 🐞 fix(components/drivers/tty/pty.c): fix ptmx not init * ✨ feat(components/drivers/tty/pty.c): pts default use ECHO, ONLCR * 🌈 style(components/drivers/tty/tty.c): format --- components/drivers/tty/pty.c | 9 ++++++++- components/drivers/tty/tty.c | 6 +++--- 2 files changed, 11 insertions(+), 4 deletions(-) diff --git a/components/drivers/tty/pty.c b/components/drivers/tty/pty.c index 46a241a715..64e3a41df1 100644 --- a/components/drivers/tty/pty.c +++ b/components/drivers/tty/pty.c @@ -211,6 +211,12 @@ static int ptmx_open(struct dfs_fd *fd) ret = ld->ops->open(fd); } + rt_device_t device = (rt_device_t)fd->vnode->data; + if(fd->vnode->ref_count == 1) + { + ret = rt_device_open(device, fd->flags); + } + return ret; } #ifdef RT_USING_DEVICE_OPS @@ -286,7 +292,8 @@ static int pts_register(struct tty_struct *ptm_drv, struct tty_struct *pts_drv, extern struct termios tty_std_termios; pts_drv->init_termios = tty_std_termios; pts_drv->init_termios.c_cflag = B38400 | CS8 | CREAD; - pts_drv->init_termios.c_lflag |= ICANON; + pts_drv->init_termios.c_lflag |= ECHO | ICANON; + pts_drv->init_termios.c_oflag |= ONLCR; pts_drv->init_termios.__c_ispeed = 38400; pts_drv->init_termios.__c_ospeed = 38400; diff --git a/components/drivers/tty/tty.c b/components/drivers/tty/tty.c index 2a9380f55a..933d5f028b 100644 --- a/components/drivers/tty/tty.c +++ b/components/drivers/tty/tty.c @@ -200,7 +200,7 @@ int __tty_check_change(struct tty_struct *tty, int sig) if (!tty_pgrp) { - LOG_D(tty, "sig=%d, tty->pgrp == -1!\n", sig); + LOG_D("sig=%d, tty->pgrp == -1!\n", sig); } return ret; } @@ -275,7 +275,7 @@ static int tiocsctty(struct tty_struct *tty, int arg) if (current->leader && (current->session == tty->session)) { - return 0; + return 0; } /* @@ -284,7 +284,7 @@ static int tiocsctty(struct tty_struct *tty, int arg) */ if (!current->leader || current->tty) { - return -EPERM; + return -EPERM; } if (tty->session > 0) From 4c1c6ef4e40615492395ab18307f516803875e5a Mon Sep 17 00:00:00 2001 From: zhkag Date: Fri, 24 Feb 2023 13:59:40 +0800 Subject: [PATCH 17/23] =?UTF-8?q?=E8=A7=A3=E5=86=B3=E5=85=B3=E4=B8=AD?= =?UTF-8?q?=E6=96=AD=E6=97=B6=E8=BF=9B=E8=A1=8C=E4=BA=86=E8=B0=83=E5=BA=A6?= =?UTF-8?q?=E6=93=8D=E4=BD=9C?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- components/drivers/cputime/cputimer.c | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/components/drivers/cputime/cputimer.c b/components/drivers/cputime/cputimer.c index 27e64a1354..12be40356e 100644 --- a/components/drivers/cputime/cputimer.c +++ b/components/drivers/cputime/cputimer.c @@ -337,6 +337,8 @@ rt_err_t rt_cputime_sleep(rt_uint64_t tick) /* current context checking */ RT_DEBUG_SCHEDULER_AVAILABLE(RT_TRUE); + rt_cputimer_init(&cputimer, "cputime_sleep", _cputime_sleep_timeout, thread, 0, RT_TIMER_FLAG_ONE_SHOT | RT_TIMER_FLAG_SOFT_TIMER); + /* disable interrupt */ level = rt_hw_interrupt_disable(); @@ -349,7 +351,6 @@ rt_err_t rt_cputime_sleep(rt_uint64_t tick) /* reset the timeout of thread timer and start it */ if (err == RT_EOK) { - rt_cputimer_init(&cputimer, "cputime_sleep", _cputime_sleep_timeout, thread, 0, RT_TIMER_FLAG_ONE_SHOT | RT_TIMER_FLAG_SOFT_TIMER); rt_cputimer_control(&cputimer, RT_TIMER_CTRL_SET_TIME, &tick); rt_cputimer_start(&cputimer); @@ -359,7 +360,6 @@ rt_err_t rt_cputime_sleep(rt_uint64_t tick) thread->error = -RT_EINTR; rt_schedule(); - rt_cputimer_detach(&cputimer); if (thread->error == -RT_ETIMEOUT) thread->error = RT_EOK; } @@ -368,6 +368,7 @@ rt_err_t rt_cputime_sleep(rt_uint64_t tick) rt_hw_interrupt_enable(level); } + rt_cputimer_detach(&cputimer); return err; } From d3553e7220fd410b3e2d7635ab5a74ca45436e1d Mon Sep 17 00:00:00 2001 From: geniusgogo Date: Fri, 24 Feb 2023 21:00:54 +0800 Subject: [PATCH 18/23] fixed fstat/stat/readlink syscall. --- components/lwp/lwp_syscall.c | 105 ++++++++++++++++++++++++++++++++++- 1 file changed, 102 insertions(+), 3 deletions(-) diff --git a/components/lwp/lwp_syscall.c b/components/lwp/lwp_syscall.c index 37459366f5..6b12d929fe 100644 --- a/components/lwp/lwp_syscall.c +++ b/components/lwp/lwp_syscall.c @@ -612,7 +612,7 @@ int sys_fstat(int file, struct stat *buf) { #ifdef ARCH_MM_MMU int ret = -1; - struct stat statbuff; + struct stat statbuff = {0}; if (!lwp_user_accessable((void *)buf, sizeof(struct stat))) { @@ -2575,7 +2575,45 @@ int sys_log(const char* log, int size) int sys_stat(const char *file, struct stat *buf) { int ret = 0; - ret = stat(file, buf); + int err; + size_t len; + size_t copy_len; + char *copy_path; + struct stat statbuff = {0}; + + if (!lwp_user_accessable((void *)buf, sizeof(struct stat))) + { + return -EFAULT; + } + + len = lwp_user_strlen(file, &err); + if (err) + { + return -EFAULT; + } + + copy_path = (char*)rt_malloc(len + 1); + if (!copy_path) + { + return -ENOMEM; + } + + copy_len = lwp_get_from_user(copy_path, (void*)file, len); + if (copy_len == 0) + { + rt_free(copy_path); + return -EFAULT; + } + copy_path[copy_len] = '\0'; + + ret = stat(copy_path, &statbuff); + rt_free(copy_path); + + if (ret == 0) + { + lwp_put_to_user(buf, &statbuff, sizeof statbuff); + } + return (ret < 0 ? GET_ERRNO() : ret); } @@ -4157,6 +4195,67 @@ int sys_getrandom(void *buf, size_t buflen, unsigned int flags) #endif return ret; } + +ssize_t sys_readlink(char* path, char *buf, size_t bufsz) +{ + size_t len, copy_len; + int err; + int fd = -1; + struct dfs_fd *d; + char *copy_path; + + len = lwp_user_strlen(path, &err); + if (err) + { + return -EFAULT; + } + + if (!lwp_user_accessable(buf, bufsz)) + { + return -EINVAL; + } + + copy_path = (char*)rt_malloc(len + 1); + if (!copy_path) + { + return -ENOMEM; + } + + copy_len = lwp_get_from_user(copy_path, path, len); + copy_path[copy_len] = '\0'; + + /* musl __procfdname */ + err = sscanf(copy_path, "/proc/self/fd/%d", &fd); + rt_free(copy_path); + + if (err != 1) + { + LOG_E("readlink: path not is /proc/self/fd/* , call by musl __procfdname()?"); + return -EINVAL; + } + + d = fd_get(fd); + if (!d) + { + return -EBADF; + } + + if (!d->vnode) + { + return -EBADF; + } + + copy_len = strlen(d->vnode->fullpath); + if (copy_len > bufsz) + { + copy_len = bufsz; + } + + bufsz = lwp_put_to_user(buf, d->vnode->fullpath, copy_len); + + return bufsz; +} + int sys_setaffinity(pid_t pid, size_t size, void *set) { if (!lwp_user_accessable(set, sizeof(cpu_set_t))) @@ -4672,7 +4771,7 @@ const static void* func_table[] = SYSCALL_SIGN(sys_setrlimit), SYSCALL_SIGN(sys_setsid), SYSCALL_SIGN(sys_getrandom), - SYSCALL_SIGN(sys_notimpl), // SYSCALL_SIGN(sys_readlink) /* 145 */ + SYSCALL_SIGN(sys_readlink), // SYSCALL_SIGN(sys_readlink) /* 145 */ SYSCALL_USPACE(SYSCALL_SIGN(sys_mremap)), SYSCALL_USPACE(SYSCALL_SIGN(sys_madvise)), SYSCALL_SIGN(sys_sched_setparam), From 53afeda538b381bba1eb17950d3afb3e82476940 Mon Sep 17 00:00:00 2001 From: geniusgogo Date: Fri, 24 Feb 2023 21:02:04 +0800 Subject: [PATCH 19/23] fix inet_ioctlsocket set O_LARGEFILE flag by musl. --- components/net/sal/impl/af_inet_lwip.c | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/components/net/sal/impl/af_inet_lwip.c b/components/net/sal/impl/af_inet_lwip.c index 401b9001e4..db1d3f78b3 100644 --- a/components/net/sal/impl/af_inet_lwip.c +++ b/components/net/sal/impl/af_inet_lwip.c @@ -225,11 +225,14 @@ static int inet_getsockname(int socket, struct sockaddr *name, socklen_t *namele int inet_ioctlsocket(int socket, long cmd, void *arg) { + int flags; switch (cmd) { case F_GETFL: case F_SETFL: - return lwip_fcntl(socket, cmd, (int)(size_t)arg); + flags = (int)(size_t)arg; + flags &= ~O_LARGEFILE; + return lwip_fcntl(socket, cmd, flags); default: return lwip_ioctl(socket, cmd, arg); From a53367ac08e43520d67458a52956f191cc3f1194 Mon Sep 17 00:00:00 2001 From: geniusgogo Date: Sat, 25 Feb 2023 16:20:25 +0800 Subject: [PATCH 20/23] fixed O_LARGEFILE not defined ci build error. --- components/net/sal/impl/af_inet_lwip.c | 3 +++ 1 file changed, 3 insertions(+) diff --git a/components/net/sal/impl/af_inet_lwip.c b/components/net/sal/impl/af_inet_lwip.c index db1d3f78b3..52c1c2cb7d 100644 --- a/components/net/sal/impl/af_inet_lwip.c +++ b/components/net/sal/impl/af_inet_lwip.c @@ -226,12 +226,15 @@ static int inet_getsockname(int socket, struct sockaddr *name, socklen_t *namele int inet_ioctlsocket(int socket, long cmd, void *arg) { int flags; + switch (cmd) { case F_GETFL: case F_SETFL: flags = (int)(size_t)arg; +#ifdef O_LARGEFILE flags &= ~O_LARGEFILE; +#endif return lwip_fcntl(socket, cmd, flags); default: From fef26077640c9f243c41eef5204abad025f6e3a9 Mon Sep 17 00:00:00 2001 From: wangxiaoyao Date: Sat, 25 Feb 2023 19:11:26 +0800 Subject: [PATCH 21/23] [lwp] save virtual addr in shm structure --- components/lwp/lwp_shm.c | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/components/lwp/lwp_shm.c b/components/lwp/lwp_shm.c index 8c765fc8c9..51964dd2b8 100644 --- a/components/lwp/lwp_shm.c +++ b/components/lwp/lwp_shm.c @@ -101,7 +101,7 @@ static int _lwp_shmget(size_t key, size_t size, int create) int id = -1; struct lwp_avl_struct *node_key = 0; struct lwp_avl_struct *node_pa = 0; - void *page_addr = 0, *page_addr_p = RT_NULL; + void *page_addr = 0; uint32_t bit = 0; /* try to locate the item with the key in the binary tree */ @@ -134,11 +134,10 @@ static int _lwp_shmget(size_t key, size_t size, int create) { goto err; } - page_addr_p = (void *)((char *)page_addr + PV_OFFSET); /* physical address */ /* initialize the shared memory structure */ p = _shm_ary + id; - p->addr = (size_t)page_addr_p; + p->addr = (size_t)page_addr; p->size = (1UL << (bit + ARCH_PAGE_SHIFT)); p->ref = 0; p->key = key; @@ -236,7 +235,7 @@ static int _lwp_shmrm(int id) return 0; } bit = rt_page_bits(p->size); - rt_pages_free((void *)((char *)p->addr - PV_OFFSET), bit); + rt_pages_free((void *)p->addr, bit); lwp_avl_remove(node_key, &shm_tree_key); node_pa = node_key + 1; lwp_avl_remove(node_pa, &shm_tree_pa); From 12f0df9279c1a4ba6451cd3da029cc2cb19f7456 Mon Sep 17 00:00:00 2001 From: wangxiaoyao Date: Sat, 25 Feb 2023 19:14:02 +0800 Subject: [PATCH 22/23] [libcpu/aarch64] stop when no page is free --- libcpu/aarch64/common/mmu.c | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/libcpu/aarch64/common/mmu.c b/libcpu/aarch64/common/mmu.c index 345396e8cf..b97eb1eb6b 100644 --- a/libcpu/aarch64/common/mmu.c +++ b/libcpu/aarch64/common/mmu.c @@ -109,6 +109,10 @@ static void _kenrel_unmap_4K(unsigned long *lv0_tbl, void *v_addr) } rt_pages_free(cur_page, 0); } + else + { + break; + } level--; } From e63e33a3c64327aef3556fa811df0a8ec1e0e7ee Mon Sep 17 00:00:00 2001 From: linshire <89723088+linshire@users.noreply.github.com> Date: Sun, 26 Feb 2023 02:50:33 +0800 Subject: [PATCH 23/23] [ch32][bsp] fix warning: rt_size_t to rt_ssize_t --- bsp/wch/risc-v/Libraries/ch32_drivers/drv_usart.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/bsp/wch/risc-v/Libraries/ch32_drivers/drv_usart.c b/bsp/wch/risc-v/Libraries/ch32_drivers/drv_usart.c index 0d950f7dd3..4d70381721 100644 --- a/bsp/wch/risc-v/Libraries/ch32_drivers/drv_usart.c +++ b/bsp/wch/risc-v/Libraries/ch32_drivers/drv_usart.c @@ -343,7 +343,7 @@ static int ch32_getc(struct rt_serial_device *serial) return ch; } -rt_size_t ch32dma_transmit(struct rt_serial_device *serial, rt_uint8_t *buf, rt_size_t size, int direction) +static rt_ssize_t ch32dma_transmit(struct rt_serial_device *serial, rt_uint8_t *buf, rt_size_t size, int direction) { return RT_EOK; }

    ha) zhWrrEPZgL;4EV+)pv40!ccXgLtvaBW0gDb7M`(|Vs<7mK0J7unNR=Ni#t1V@4U_RA z@dU_9O9n!p1#o2_Fle6+Om{t8UFT?`1XYwZ&FAZPnq`!zbCHLN^{Qi_VcolZn52SZ zoC;I+hq`Xa)VZ5tXa+=5@8jIJQ%vjYYI!3M8s8VhLxxbKX?Ea<``~v*Aq4^aD zE&F1}^%*px63_w(4h)xUk?7_eW9jqCig_^^U(v1$-0jGQY zaQk-(U^fr?aBjzZGT&oXbWl7stt|w+t$69WOh7^$dV<5BF&JoJc|rK)-?M-RE2{7l z$K`c5=!7f!lz{7RmiTKtcfQw{C^4y>6e&_@8o=SqATZHI~!+0@szcSiB^XQEi zDI}YRfim#AHJ*-ani#lglts(yq(h@3Hju!D3T8=4QTvMcQ$jIh=@@}*%I1`=OOc|I zu%WQVUriNe;va9vH!OKEzrMtxS%(k_EhEJp91L|^S(MK&2@+wRaYkm4Q^{Hw{g?o1 zEV;34^b8qav#@gSwt^Vh1R5?1Fl1-3t0BPME@B9soLqGrpHQznYQ_yFw zG=CwdI4vHVu((3j#;_$=ILF6TR`!pO2LSBY3$j&*XPS~oM{`bQWTf6Ph%Tl0?1s+BorBO zB50{k+J3aO^4PC!J?{Fyd>eQbILddh>81o_j=H>wuv&Z(N8iSyet<~|07i5KbOl-_ z8{wJcq!jzX!z7e@t*(rx=%7IVdovXhBrFwxge6caPo^BkDSmLDkR+tT4Sno&As2zE zN%nlF@IV7d(!LTT&0RS+Q0Mp}gLT1ZIu3+r@@cb>2(u+T48xW6J)Me{mbofxNj0Id zlG4(!e#1ShiiXlLM;5giU))g?(JTlVBt(QBM-&maNB4_4dbT;}U-G%zGu4^12UnK( z@NLW1Dkj-%d~`#0qWt(s$9e}8|BIkFZ&aM9>O7$3-pkb!8if0Wq< zsm&Fhktlihf--xmX%P_u`+JBGnSA&}=5>Vu+_Xk?jy$rTjNnR#S|GiT*s(}H^PTr% zJ{SOov!tCQzRP)j0>vBGc2;`LQL5iHWZN1n~(7KuHn$)5(7=GvI=u zxky1`qy3?xg%5E3@bC3h+F<0^R0k#a-Kytdu!?egZqBuz+;wT> z`5PzxlY|Wk^i|Hztp8|WIHQYx{#hKq= zV|$j4PM*?T$Z|wgfE)Ai7+nmR5zvQF#+&H;NO5v7ad$n?m!@`~J#%#CK6o9=kY!){ zn$`2XS6ZMs#5njnD{ee4+1T;%KA)4ta%9G1rnFjyEcD&H6FtKv`A< z#IYrS2u@V8^7{s8Uz08_HhTnu2$op3g8J3D8IX^kA~CH0njXmv=w~%6W8?WT{2NXA z++Up4d8v#Z*nM-@^xTycrCVz!H5CX?{yJ)=B!r5VqNz>Hf4y7M%YpF|G|+_Y@8cH6 z2amk|z=gyV`bqo!dq;?cbDoXa4vQ8HH(YSB^{lR3K-lTd9R5-9gF`#!>FJOOoAsaT z&>w8CNVK>}kbHwv_Xp#OW?^%m;Ed1LQ9hTCwqB0&s~^ZJ(`Ur%U)-CfBM3i2 z^QY{7TFyQ*6f_cLx*;wIP=40ZTjcF^JXG}B;t_t{zdt!7`X+?%8kKcj=u}7!9a*$! zJl0Q%*J(%3MjZGU|5Eri;NG_B8Vrc^a*hecjeHG)JX_GlR2+cN?@|*dE?e8?(3{N* zK2|WeDG~kq264V#SA~rKZWjuAEWK_Ma|wpk3Ca$;^RV>T%V*!XiJMy7T9#JdhOcEa zk^DoC^35xwLQ_E`jE(9I@I#Jnt~f}g&tG#0NAr2*Lqb3$D78m)UMEn=hbyutT~Q(` z_R#Z@2&EGkWVG(c`USe%r~;4JR=u!g5rm84cFw_3sJtb-yyNO=tAhCxucLu)GWJrP z{M_KW^ejP%g`;E9fkPkTU$c688AMzWkI01m{Xfd8s_y-IPtEqfq$HIqn)l)E7u$T1 zzn&8sVpN8R7Mq<9z}-eO_{XmFKC%?P9aIF?{9lf~AtAx&IVj9JAF=D@I@UVL{oQYW zdxAg21^lW1*Fau+ayz8n?f}wsgoK1hoktC;PgPOghrNV)cdKQOvs@l<9I@~1R`zkJ6=Y(nZ} z5%M-xjBJ${`=VLFzMS>Hj?b3_sjS458Bfg7Gu+8^jy-cqkP&=wn`mjRIn|Ykq=CP7 z3@H@T_%w#8E+2MM96S#qk65e`XbiO@O*B7KX@90jbYHEKP7a$8`oklb&TFhfp0g0S9-}^Y!y&00LQno_B5t=a1Tlr0PG+6DDuoJ$ z3CL%RmPLloKah>1Xf)^WNgIXd<_1_YESufNTASmVWvwca>pR_TVgLi0x8Gk^rfTU@ zjEj4l9}E^e(kRurO)1$Mi3h=h^YjVN;rVAGTpz$YrL=~+j&_&F@1&ptZXi1Gx?G@? zfh)x?$T8|n+NZ9@s8QJdY3bK!x<|+qR|eJb?$P1$e3e~SH|PmRxY$ODE+^MzYrj0t z%_EezG;2Iu;(Wv&O?Bl0WT3%|i4h&rsOq2FSL)BNkhtB(l8Qs6j9%_!v=-DgSQ_`^ z^y_iu?42dDl#UABw7J)OrF@tPi3>Oke=gmjGS%8ZNJxZFNVRx3qG8VM+Vl zY2kkRai+0@@p|pT+s@c6(jnO&+gvcMn$#~|<|$b=&>piDTABT+H|PM>KUNQeP#IcZ zh(B&O8fj38m&Pm6p=EZ~k7)i7|9`M#2W-w)IDVk85jh6fiO220RH05i^6tQ>1eS=qy7k?Gm2B(pS zuKgCxSjF!}-qhG2Tt6hc=vYLKgf)F>mr{m_k@hE#QXpj*N%hiTgJFKgq6dMx91nIq zLtInvdPb;|;r%BD{LBJof_gg?6iT>+Sc;QQOF6S?v1CrJZ~s(JjT(2OxoMKAiF2LG zjNJRW*Ru`vrUc~4p8a{2_^h6Ib|(X$VECDs%V)-Nl-cyCv4iqo#VhmcYdBMI^j`$n z_mh0aftrc!t}U`L>V|eZh9Q>K@YHU~i&=j!a7?szwt^*mH(o;gAo{GX+e>>F=uzte=( z>*J0$I%5Y*RXJtNRgGo;GFSB$XRBHBJHH?3e?`_ocvyb^Oy&R4z!dAL5oy7t-skWf z`)RLU0EuJkMDP9+YsOn<<`yvcPD)A&Qp-v2-VhtbPZr1}tvC#`j99)FzP=GAbKI7` zZ_$3#5yq-Ord6Sn$m>=W#qCu8Y^BrUV$}1;WAfvVZEo&QHt^L6EbBJ$%8C_G>2W7A zknFIvML=M$rW$xEf-6e^^+rQ4p(W$Rje_(#SP4T_>{uI>@VDJ5WGLf3(rKuv7=z+L zE&_mvyvudVJSgIj<@@}{5g(2R7J1N3f1eDAilmZ-f*J%wQ4vHjG-3R4)M2T(5`#JH zzZKMpjh27WeUU@aBpN9I^*W`WyjsArvme|bhOo!b!q%9+Wo<=xihUi2j?am(&+95C;7|o32*DNc#ebFDU7R*rK~AC{ zHY`M_G*ufChj+AxuSzr`jZTH}(s7*{@r+h2kAhI3g$1h(j+>v(Q5cX*gQCi2t2hu- zE>x<1g_~r_gyDyQxo082VF2%2ByaKdmKJ%LMyFOUvM7guaSEe$MhugCYt9SHqmcQi z19h4kDd~bx2E>=zX^9wqSL?3L?uStYOIv-?U{_LMl+hk?z@->kIMQs;d5vmBH-hx} z&sW)>B*n)Vs563Yh&q_=(YXxlBLxzY+%b3q*jR-Wdlc+W+gikPjzS8h;i!_PieE^y zvhkP2LU_Boj6Y%W{Q@m?u-x*t>YBEOH3C5z!dE|Ia~Fq67+oiR-y`>PInZhx~EckTD6jl7SOv3CKy!I&BQ3p=tS7t}-f{5u= z%Cy_ep_|3V7)*t@ZzB{}|D%u|rdYE(j?cECDhv}(#hb|Pm zleUwtwDzO-jeo-)MpmDDtjE&R$vz5s+$aF2TZ4A8eBd@lO>@aL3Jv{)`8zd1dn4A9 z$Ihcq^U&hW#SoS%o$RBBmVA=C%GZyo%Je^(?1j*{%ml z$i#FZh$@0+XkYO$N7cNzM+FDyOyH<7ZvWYw1XiTfl~m=zFAKIRD;NL#dijYLBJ@;D zOuv@6zV1aufYmFg&R$rY%9_u(=_o-hL#X^NSM&4A*3u2TvY6H4#{!ke4Vmb84j?)` zYQ~$;Urr<}+fs{{>;*tp&P;{ypuzDG8s{q(<^(o@ZvnJMuMmVrV`3+Wn30bi#FVBY z>}bpGkZ$#ZIIm4e``6tOuscS zAj3tHA>dj8F$9C;B`_wid(_W1NX)<$84qAo4K&SBjJy4U^Q>vJH#D1&LP()5iB_?Y zYPL6|MtBg9C|VYB5Km2QhcFmkQ_f6?&O~58!q)Tyh&Pn>5j6l~pc*?OT`nke|30&& z3fhf@8`7VEEu0`!mF{L1x)rvSCavY^fX9R+)?P%WA;ai;kr{^yOmX$|{P}M)@c;XP zJ`}V@WLjAXCFG*`cVY!Q;YumaZ4kAMp!5+&6j7nExOCM%r>`pGp`yC@BBBMsNR(D= zJ07=X1*lF5w{+xNX(L))d_X8sVd^k8XQAq}8h4nE){@}>zN9!hqo@I(;=e{24Pn2u zOKs5{RS?JNu@8zsL^7x;7s{#$4nyjd_5En4(~ndlODV#O!eh0d!h?pZ-l)SaLN7{* zhMpN;!64#GT@X(xg6P{VI-;!uph&>Tzc;4aNr+vEux1o_Btvl!RFoYnHq%uils?k3 zxk;$OL=qsI{Q3jiD6)K_ivGw>5Nm%d(O|~>O_ZW+%eSv*aNPVn5@}L$=0A&45y3RO zMr5Fl-7z9)d6Cm4BIINVIhV$~WVOT?R4C{Wf&dcb#+Qs!O;o5Ve){ZR)DB8)J{JeU z7G%%wWC%1UMMy`B)FdN_sRXi;5D~Q?#gVv1RK3;Y_}NPANI2#aYJ`}i&e&Cy#K@A- zeDs5w?rM;~O5|!Y638mJm1Ih;;Bc|DC@f`v`PE6I884NYfS_&Ey8%c~b{85krZog{ z(p_D3AUR+G+fim`*?2Yqmn#83NG%ArD($?zWmxF7N;iUJn2&6OG$3Y2X-*^Z5q2R> z8$v;tvMjg{bpD59W;vzc`J?=ZGA4_XQvd^yxuvjwwXf0UTX&B3WlU(ZIiC%oT~=L= zf;i}mMqamp*$1KPwMGK|knk4?*`kj(ub!GnkptydHpGJEgJN~*j&7Q9|AR%Vi14T= z<8Jy4(HKRuJf102<;9Pfa}zk@l@ikS3Ii`)PBfaVh^d#nZ#*4Q+8-SLT~+EozNjp+ zmQ((i5I2U5P#9>Sim`O_nNiA-gg!VMO+N$=_zC7JL!`Rv>gv+oTRSZC5PYk)PE+B3 z&070XL1gSgaBPap`f%;~Xt78k?FEoBE#pxvJ;SBg=*oU1mbS=*@~K4y3<=SHteIF8q%FwUd*RRh|)BfMF4%3?77Pnl>=-a+AK{#^a8! zrQ-a4V~JD@BQn+9$4C&{i?Zn^y>4#7^GGf8$}6i9dw?(&2@RQ6pAxax7mjxf1ro>F zaDT}o=9*S~X>{2;FZ-qUr=2waRWS9sotlM*$5)SZh}PmN6(J{^VTL`K8auUWKe?KhDLd?5{$iZ6A<)*7=mkUFMl)B`mo0KY_``h)_Exm7FM>;wz zLrdD?e_iq@Mn0ZL{kp%q$iPH^b{QG2ihNuC`>)(qyD^vMJm4O+-9g$_dpV2JzVB=L zk6Bn7h~NDnX1cvb{j5{dUjMMzUNxzHyu0E-sY;-x*m6ejv5V>80fVVH+aKdrO%%nE z)M2m+nU({Q3FU=IShqD>fMk6gs}w=((Cb3_LITMNuAr6*Q+M#5l!Ojv?uKj?p%0%b zpwRsOQ>3<5HpPY#pNAv`fen;S31w$;3RhME(;l%Cg(?0#$cqCXTbWv8!YG|{+i{x) z2p5s1;zg1$Qwt#G$~UHHKtVj_`1L9|%mR1hCYhN`3;{394{Z$%05bvW8b-J@R48cZ zL|+vXnvk(+6UgjOasJ}ciV+2Ldqtv4OS~JTeXVj=p7-Q%tkb(YLWkaE6jtDylME^v})FD0_RNs*+l)dXog! zD!Z;99t=HllNJ$vl?^r0u`7Ga{m4+5=Y%T$6{FP9XSxX~++~9NAoQ9$FR)Z1bA&46 zzX?!4k@lxVA=9&0N_ee5X_|f?j?_hjH)f)v4?vbS+9TL+59X56<-(P9b&8Nb0{wW8 z8+5XEstL!Ap*YjM&V206Izyucp(_jVd-Pr z#ztjCtNEm33TXWtD!W+aZ(njgqSc>oMbY=)G&m86&-;^z>govJ{R)@s-}tAP(WmM! z`~FROHA!5bWNE2Cea2|Brw3Rw>f+f}0y(^+CR1`sfcOVS@3qcx!_3OL6*Ao9CHygZ z2OOH>eQ{zbJ*DM>rDj z+nnzC^eRKKBOZNa5*i<+xU$gEtN9C+{n@3&WQGlp@LqQnm-NLK$#7E+-=1F<>k+A8 za;AOaU~{y%t$VgMv+x)4H1M$cSz>|!LAp>0MUb*f#X&&xWAFuh;ehYS7#2ydVGKlt zzGKKs_Cr=w|Hf8h6O9&oxP1w%o9Me(jziB94n777pajDVh+axq`Xxh68y&6k4oZH^ z2|;AR}1|L%Hb%RK9;L*%BK}1rN5xJ> zM73Do($eB{sn;+fuV{(EALgDUCgQl*?JdwCc=4}I;JvVgc7aCq*TLf`8s zRlws5Go_13JmTp`H z{~+zS$w?qxxpx9*!m3{hU`nDW;0LM>Cf=g~HV6>{(|v?K6J51jlSW8|Zmm^s_w6hF zccgE~vV(KL!1kQ8&=}67v<#sPX-X<$87W3gJ?K;XiRfQVtbHPM$mHa5-~wZ$uL_$_ zO>VO?-?M}O zh3IEGv0(u?G3MckT+w6@&`YTLXXZ_m(+Vn~P4?^r>)_aB;5Yz|LE<;hugUH~lY0`tLR{sDy75gPz^g zhGTH=`pPSp=O1_L2P5yYk@+$mDg+vyUY_WbP0rKYj6GhCvpw@J=@_+&al^vy`{dyM z!WY*;wjH@9Z?|-wOLlazxd;@$E;;|$San;i&TBy3fbOJ5*<$WWsGTyi>rH8n?flL9 zGWE1RHzFVw&q3w$Furya^=gY)E^y|Jx09ODB;(0tP~geZ;?2e?_MOhBou$e))4g6( znBMKgh>nZ`)gxX(>FmtY#Q}esD`~oBVI*18Z>t zrVIN>MS;0uXfKcDjuCtzBKYJYY}!acVnsWYR+<11&-B}Mc)x8bc30fwz&V+KF_CZA zC{VZk#PnUt)^HLiCT!Bx)m0RJbmAgm;nd^g}qhU57TX*TBUHScrmJ{*}Xsy%R zpDg!sS^tW1{4yN|D~xR2?_yXKh2K40-{{`lxe|n-vQwLIvWPJPjDfVcp@vn-Y_F+f zLLie&3G7rD1!z=rj{Q>o@VG%^YL29%s`O2(Yb?q@kj`#bB;bA>L2!Z6Zy&4nQDnfG z3_%19niixm@>@v^4lh-c#PO%frwIMAmCjSg>bBk_>~$N*Lq3?u%Q5LQHr9Uo52@p6 zm(WJ8A(?FfHK2-~3fbU;TYI65WRavzl)`3zUtgU@G)JK&2?H7tDg+4E|0}2SHqpZ3 z0F6qz$kjMJrLYN9=z%9!i`3bbl`mhJhBZN%`s#D{OE%;H(Xos7)(cL*FnPcSH8?of zk=3i#WjMS*1Dv)$FI^1O527e^-S{{vzRL|pk`-atLbx7fkb1%JR<&ra^``kHsn6+p zg7EFX{hHMaSm7Fr1$x_AY$4m@{;6uq*Kf~TS7Hx)$Zc7F7Vq7au9+or}qmK7WS!#iJpJ{n_w$ zA1z3#u!2{j*dfpYy5kx(*;QY!(_+*ul(6)tA$j#bfdx_^D5J11PN*f*#oTinEfB5FZpQ9#R-yX4T z|AGvhspM3s_5A$Iq>lHo=8t_ud|+XJB=M_|six(&TGHDC5udvy6EzYMtbczPZ7f4n z07AsG)Xu`4;HF{NP*5~74sF4v|7``F5u-p1qu&K=8RHr96p8p7&s?48xLti+C#PLQ zvFf6aZ26+*{QZ!w*O>{6^j}Ys?ycvY!9T{Uzqt>RYNzRjg-dKobSG6j&1i4_sOny+ zh0f_#!I|_Evs^LOs>p?A20Q0X-)dBuUGug75q?L=@l|}3mX4JTRQDp(b3toPgG7Uy`$y&fV;Hb)HF}B#868^v# zs3QbI@qKrkguRvjcDK*s!9Bb}M4&?NPPaqj&X1FCcD;QBgZ=f2LX9T=FXwfvzg=Ek zv|soUoILDTjNGdLKg(DiX0#Kr}YN?gA#C`G5C9j$=0W&!~81vcSX&ag8!`$I+J!!s9 zB)QQ0R=aBNPFwu@oei$k*TXrzKmTrhbaZOx%Z2%phdc|VR=!CNw|tpd09WnjG*3i+ zZk{Abt_S?mk;C%bNg?*kcOO4eu4|hJx z$BsB$;OX4w=I~G6V&TF868V+i!TZ^)8o{%%)#aP<+0bp0i+J}w832G2?Viw|q~%)d zmz7VhqC~o`8-yctN)qbUI_;?dAhGgE^sV*c@$PQpVfFCPU1i*gxGcJ8I&L)LOA#XU zaIcRcg(`5MGsU#b%&M#ei&SCI0&ugrxR6V7dV6Aq>?=>Tx}?)#u;KNC^yJ${X(YRz z^hRWsS7{xH-W8PUjIj*cL8RbtJ1U&Muau{MD>C9ClFEFhXjJkcJNKCfeMVb}96ZoU@r6@89h=SEOS; zWnOhe^}zds@7pg!8#45-f6G7jo33~c7MfxdEYWCZc4}eXgoLs*jN6(W-(i~eAStWy z| z+S=OI?f!6$R8>{&?^^&r-~Na;3X<$+Pc9-JqPifgsJPpRga#^EmhW*6CL{Zv<4B56 zMuQ4%6cR*NVZP>X<`3mb+ye4!%`=rLfQXem>;?cYXiAv`tZg57V{V~fIcHzxYB%E zCXy1m49cmWY9NkSCoSW^HAWuNuVk*VyHkof{WujkjQKZy4h6ty_XhIKyyyq8nZAme z@lxd_ef5kdl2qTLf6ToS6*=l_cM1R3JRIyCZ zB+Qm<=}A^62$M_`!wN(Mq=0hGh2w?WP^bFBoNvnFm=`QbhUCzy5ZMrYTz2|o|4U-i zva(w-G+xtmM|sA=upP(15LrxGt9*+>oN$I*Kxsf;yIs51MCbH6(he3!DLKmIGG_cr z@<_giYeZw3A~h*}P9cw_5zOdb+#(3z8CqJA}g_= zTUf{59>257lwRuP{}J_;QB{6nxA#qNN;cg{cS<*GQbM{Lq`O;cqXHYGOS(%^xD+z9W)s^v5ug3jWxgsGBWheqf{nV%KNq*t5E*1)VWkx;_T5SuFs)v?Ws~M+ zzC;;xOG~r3NbW4vBY63 zB{*EcHcPF;zW0tTsac%wHsRzX2ARNQKq9F-$jQ&%2-)g0s%`9;&&^+#K)XB|`=FQS zu%Q>L{z`$_=YPG=;}0{}S%ikGmRIgi7cVVBu6MRw2jv~x3W!S6JKcUxf2${}Iyb8_ zuaq~JajeM`+k%RpNX(%wT_w)ueM|L{Pxmoo#C)iw^``7a=Fqs=zX~|7zR#m}1=vnn znHhDvxOa4}uKfAR^>STzG$Z-^r0yBZlXTJLYxWZS(sW$e%>KunSv1KLpXMt0GO9jF zLrB-;71l%GPdc(_daJoBw*dbSx#qU78s4qf$Bb_VuUzEyV? zt5i$0I#2%oeW|lh#TgQ8Z?ycYNdWjgEgoRE7Q z?L1_bXh38()cMG+#Ji1UVAFqRlM7g(<`a?0=g^ehth5=~`z|$R4MG$e?G%+a6AX#) zxITN<6rwEB3f3`=SEh z1_ZpqA<`NU<0oJxsaHY;p;xo=4K>PSwwiDBg+2G;qjIDwF_t|c#r@GXgoIVtSaDI;mX+XT8)?s-;pK8V{~r1(G%}tljV8&ou^JWd zxq5F!Q(RA74Oipfk{slNj+>zjEjo1q!Doa)y!es>pXmnWoRZAY9lM%V9HjU+qLCnG zDLI3(9(r?RAZdmdh7OHK=4n3^`K8Lz6x4NMzP7oY4IqP2r5Ylww0DN-!_PPBw(g8Q z!s~{Dn9^vz7p*IDCec<9{6=FBrYBHE3GED)sh%|2ZR9K~e{-oAvS6pUt1OyHen4A% zS+q+;+{B;UaZl`{ddtr27muW%tqFrzP$Im)(1M7>#c*qsLExNm-C;q==lbsoZ*s$d z(5DDGd|oZ$YJ&lBYx<o_3!<$N!+9LF?IFAr7}- z1(iU?7+aXqm83Kg2U$y4EpvWk;(=rfEdUELMV@gx?zS#E-5IjH6@&s$n%t!ZVo{$_ z>okYV1Q_zw=T|`C-b&{e7fu^b-lRxD&7{Ju$H=;2#3*CmaYQs~t3b7r;{!5Q&VN_cq7A)oRU5hNkV0+m+wT_8f}~n)>!H6)VeF&keGqY$r#hlsH6q8c;6y_}Bt@oYj<_Y#w5! z_;W5@Z7J?|PY?IR{AhI2a!PjP{JAG1VlDog`&W%IMlyf;2XroI@$DBp`~yuwF@+O+ zN>tBG%jEOIfQ%aslFtl3z-vpc@nX#7s)LUa z@Ojyqarb$75(hpepm=Ta8Z^bf&S;826|ZkbC;R@5v$jn5yMM_2a5is@AtVzL=fkW_ z*b&lFh6{AveeDV#NNrYViAOHXsr$8XvM+3Fut}-c=(L)<(@+DSCD`sTzsh7|hjBfh zPti@Pbv_piItt7_J=DA!>gPHNLKJbX=2_k!JNwR?;DaDVbF0W_{idL4E<<%r-d4tZ zXydTdMfA4uhBNpDoAUMDbCSZW_pT!COn;MLiB`!k`gXT1uqU`0w5ZaEjYn!=j7xxp2@G! z{-^uUWK`wDVMz=akp>wNJrp4fISPE6<6BuUxMcw?lKreGoULU2tJRJE5sn&?fl!P7 zvTA@KB)zwPFWF`#lQJ_iai6JPjW$GX*w8Z3t09-v5?S-*B4Ntqis zR3K=wbXlVO)g|QpKlq)YQk1nd(5D#=Z*VXN+>Afs(vsk&{QcHFuXd51_~pkt&G2iS zziVFZS_(4j3C>AysaH#fVW;kYj-mfH1HJYW!6|xw5W%-S^aars4^6qGjfFh;!1|9* zagcDy1l7f{n6h43KY{0oNX_9%{OIEMb}o9{fiyG-qv}DNi(39DKH3|0oS^pwd;)x8 z>iGT=s>P?LiV99)OJfHm0GG8$n+I2O*nmAH$KFZNt(z}}?VDk% z-Ccpy$QelS^wFFij{^h{E-^RTbH>$|B_Xi<@dxWXpBFFCDz8vym`86aV>4J_;&|?xQcF zS&x6m1^@H_6aS3;raP<+mIFRa=7;TN+*NEMfyc2vZJjA%0qOmw*IsJqD4_s=LDXQp zDyS>6n<)U0WY(W)I7M%t?>ICFRA0rVq{nN>u$;6OC0iu9ED3vF-7hV*NC+GOJrl=O z2bfS?RC8lD&e7R9HvK;3_-Zyw8XX<(kh>w0+&^s}?l~|$7g=Dkm7H9RXoodwLU&`FrX~3_i;$i5iO_)MZG~%AP}tVl z00#}=7ci+JlVVze*%}{JyLpcY>)Fi+@v3N>L2X+e`!k0W7knIxY{eHL?yQIa>DMvmi1NdCa@T0W{b%*2U_T2mY& z(hh|YpKSNO%mk*&0DzWNL__RAsi%<+BK41IllC1Edf`mYGqFkUKW;);TzW_rFz01m z0y!NW_e6kWVlm;BCj<+J>LJOJ=7v~|BfQq)Uupq}2E_W{OJh8d5#8fyGUOh#Mx138 zUW~kUqob3eiHHcDI;-abq&`!#7bio(;dRL7usc{_&L@@u5*n$o8+bkf2Ku>v7dlOE z#_m#$`bqg-2K*-t*FOpA;U&Hq)Q_v*qjm+6(E^wcc2FLHQc>6k3C zo<3{pc<#fLklF%21}0G!-u+}FcDPoDocI|t9}UYy4**^vvQEf)>PFD}0OM1(oU<0BN`6awreOmjGkkCR+^Ii0Ma?mH{iZ6wL zkj`ahrTT71C>nTLXM!?L9OZYLtacbPP^5I%yDEdZkc|?`pOTlihZ^2^<%rgfgKjZV znWJjDD$YZurY%R{-Jf~AD%+!}F>Nzht zeLk!BgLcgV)6zkwvF6Kl1%2WYcbqFkYme5_ik+KF%MKP0cQm0TLJ75#4J(9Vl_GDe z=nj`vu>9rUoe$aA88H62{F<~vm{k$VL&}E^+^U#gYVu_zOPyBT=nijeUq+T^9q@)B z05n7R!B)j^ZIK>!_Tz6FvQ957ml}f!ugF9uGW*XWT%96Gi`78|R<5VkLssUrzD}LK z#jsY94`&6OB(wPW-w0Wl_}Gk>uGUNCGaFq74k?*E4s2-7M=&dfhDwts-e;oJ>A9Af ztm58n#qH&@h~~}E8I^x7|304q_WWz%Zod^r>fWF*F~ZxoKf&{ zG4yfG$N!>lXDWZgQn;r0=nSs&n0d(a(=d~1ANvO!9{Ap9hVLLIep&q9pRoJ^LsUj0 zZ4g+yU7YDU2)W&Hj7B-&qiRDz18#eWj}2SSvwY2XYu=~5+Zvo804~)(I9xv42CjN- zI}A0&1w7CX>cbHs_qafw;sR#t=G&wcinxUjJ4QH`;U>)>P1}!daj}|;PP;ltxR3z?0{^5NaiA|wCZU1a>^eY0%~e>o!#%^aRR>7uVCXb3 z&k5l%LXf7?AYxrbr1As_`M$Wp_{+x8>-P0}JqwB8>5q+Pb|hAdq7qM^Y<-Ikr9xQ| zHUo^!u|7ZiY>qnR_CriJk2_fs?7lF;PvRX-<7ljsd}73d{M!3&pXnNWU{ste`LH<2 zJ{mn>snC$R?Qwn=#G2yZ=*UKdS!(Ti(^?g6SC@Cbz7vI(L;kY!pl95Wf6bA`AM*HV zs6Gz4(0Evn5D`c+xFLwH_`;Qe$0xF-$nyH}rU7AHHFu2$3X4pBc~`Rj|6vKNW$|W& zk0)EbQdCzp=@0$WuZ8OW4sIvIG{cpc@e=P~|CTUK(0orOA5u%1d03(*I~BDTR5Qm3 z`Ni|r^Bg@*iUKV)nlL7S<& z4^jkj>e5~VO}+I>QGR`Ol*{hgaw)$~gF|e6in`nRTs-*=l|yNU@`cpBE*UuL92fW} z8w=?Hd-t~8TAh)lv}~Rl5g0F#q1UlvMN;;S#Cf|Dx+o&t1Jkk}s0ljs5Xqi&2zX=8 z=s%pVuR*|Wbi)<6kiK;n7XbIqQ1sazsi(btDnAcpkZ1EvbNOY4PwltbM)rgd`2i zJiv4B*ViQeGC09JdSWW%ww_-qnBDF*$FN)FT3so8`*^WbFyK5s4#el=#OdjLGwmb+ zNJLYDhP>vHLKV8}^B)(UknU<$r{Uv+r9$LZ%FQRBCz9aYnvV#gt}D5R!Kqv@vPfmK z_OX?CooiKFTduJe{h);FNETp7TNrAr>GZu5t#;U>Vp+Xe@!NWfC=W&)L;++GqbDP) zS(`?OwB8L{z;)jXUHX>`!OrTT(T=&41BYs3!LQ-#$4m;{r!oCBNruOxE^h|PRG|53 z{2%83>g%ER*8Gi68S!Tmr8|qiFJFFjESx-tblHA$-FbArBWS#G+v|G5%SQ-sLuL$o zN1rbfo80)yV5M0R5qFK4R#q&#(5#2UVt&{e3-9i^@fy9`-S#fkl3+lE!Td`xh-n}H z%G)}7Z*T1KEdZ0rSMO+h1;qVjcsvN>QJrnVgHVWaNh8uy(-QvI{$(w1FUPa)XgDl@gY&Ef< zUG&Ot6@w@Xrg}!NcS-5#V`RgDsh;tP!5~a{Y-TehSA{t{^pt(Wh~PnAh@|CGd7uw# zkd^}dSunfRX*q6aOV2F$>c@{CCcb}k*omy_^hL7cWNC+F9X~?yrKkbVCYRDhS}ZF* zA$wdHS>j+p>QquR~n^0ih2$*+P-mMnlQQlyi)~ zs<%%AB|~(Dq}EPbj~>v@F*C!d@R9Q|9^f3)e`~JD$BK1VJT7}nQ-9$I6DdRl1-yt^ zmU#wb{vTO=Sn2L$>J;Q!a!lGq=W<-mEDo{T4lrdXaudVAh}W=H7Fn1`?%N3=#x|uo z0uL7&E*s+AU%Xfi+M(f0-V6eJyeY_F<{lL4*A$G!Ulh$N6w|-ZljIa(6z3HbSQDf2 zIuz)J<(*P$VG2uFcTv0^J=_bQZ)(f#v_gA@r9rnj%)GGGl^A#)`DDw5k$bZr!@+cO zxD$AY_loozBH|rw3`1e-EJ2E<9v=#m5O7 z4z>XsSNVP4>YquMzE7?3Zv!c+WZYt`MeOnfN#K_6l^}|~u z{o#9Uz_d**P2!vKiWI0h4a#=l|v92l!><~!XO1v!qN=?3m9Xd z_Mxp)qbP$fPPfQofWrElZDlS3JP3$WTW;D*RS;`06!|S^4jwU3hC&UW zbdnj&6}qF#%j-~-CtEh#nk9oK)#AE^U4vhU^{V&u=fQnbAA3+vf!PY?*5d-g(1Cy7 zpJo)AS`+bELR)16K*sdO^rWbh7o8C%Xu?BGugP2OGc!w4PV@!7cK$1fK@97;yu5@v zEAd`^8Z}^Vc3$@BDB;R}m%HS0+Kp@+I&}L`4e$0bZoA3gSs>2gT74X}0e*k?9GtZm z&gpdR;1jZ7pfePb`fu3|Kk2*IL|Q|r*Gylp8^c$nbi6(W4_O@dPZ207oA5T25V+c+mDxlUSFPgF)bX#$5!sgN9Z+DyZuJNw}wi!ym>s)!yT<*-9cy zDQ-&)g2`}T)+dD3}; zqQA-v;(1*ERFK1W9!pZohc8om5p;AS&WeUE-idh6k63q2IPN3G+RXV81>oqLuD&fD zs;vkpF8DT6&Kf0zJyI4fRUFRCc=SE)7jgEQ&tSpeUZSW_=C@dkco(4pvk!Y@I}ZrZ zoTb)fc&r78C%tH(0EE2H&3u2Ppu9y~4SK?2~G8tqDp}CJAKD%oW z&g>_l4!WsOe=MI4L$7r0m^CH-{Ao6yrM*xh6s%%x5AgCO_*|TrdcHX~?i29(z+Xus zOUr3SQv^;G0>)}nX@R1+uvgfGaOHMY?%Rf)x_vo6Zh*l>F|y168Y%{Gy6<@)ae34^ zrx9!ZBl3`x%R23L0BX`F#@#&cApk4=;| zJN;v+gKIVC)ow&RMe`Q=(~ zZS7`Lmj(s+fj75ndbxkF>ZdHgJ0N^v`~@>^O8GJ*<8M8?qk|>HW5d51xd(*w6Ve5a zl|#tOx7nMx;TKjd!Yp!( z{8u|h5|ot{A?F*SLmvZ1B+XhLt14ZBc1IdG_tt#z1c=H_01_`pp9 zlP}z!cb`zZzMAoTLh%qgGKEjN91Ey$jNu}GWAi-jXW!2HOuH6*)13(`vu?U-f30sH z*wH{UrD=uOQAj!a=VbdJ-csyiHZa4Z)snC7&q+hjLA`Hv8 zvgY9}^aJ5mBp)GlyY&W4j4Y8qdYpAhs$9#el^C74>rvd;M}3tc1TA_6J=~n+541^{ zQVd!`5Phw(K~QQmQxFsgi$_d9;WVE0n=|+(lE5)NsQ)9-PfHhmC&iUB8+Q1APrgR0 zEAb_p>&=>^Xm*>}zvsUYxtAX#=9@>9}ftZTF=*t+F2)Hmh?VKhF#Z^+@2;MM_WFZL;wM1ueu5 z&hld(^s7pvu=HHU=U-zP!t0w1T6+(;OzUk-W01>Y({*t1Wzc(2rc}b2rvdYU`T@C7 zd}>v?O*hh5okALA8hLiIKi+nV>b7$ugzhqEB1XGI*M}eOd!7%tTD`1kTMIhBJ-%-~ zT4}U>=^d?UDd52E+#llw%q=~FF1Fw;^t@L!Ayy{rbryG)`duWzJ!8qs?U-lVB-%Zy z(Xvss_yYwXG$r`m`rsnTN-H+a#Oq4<03nZgWACV)cd<_cd7xa{AAQx>iklNVwkJy4 z5JN6*s5{X0MbFjX9*+2QKCSs4?hn63myURRXiwEuXcQHU-QgIFT>0*~6RtCSk;)Wu zHe@ll&9v6hGdryJ;m^_SBO%dEML5&U@%us5ZSh=m;2$Xm52FZqL|uGahq#A%-jtx} zc?La6=Yh@&gAXSE8rem+B8gX{KUg#$MtY9{w3NA|gsW zc2iCFQZky7eLj>Cva*353w&FcYwp&gGwrrie*_}IZZxjHf1lO~j@@K*Y(-7qLpjyn z5UJSyf$zk<(Vv<=p{`vun!xQ2P;jIoH-Id-J|~IGV)Nerd+%m4D7$Y=8Uytsv{I z5i$a>To*hwo8&PC0jbWY%!mz=n}YHy9E4*wqwEQw8w?zC%CD)Rph0LnNs3Hq9as~? zG_DWd*c^tNXP^*()i2X|gqix`@M7&iq9xEF2&!2e{Ji;b=PqfhusTVBgm)rnO~KQ^ z1PqC;Sc)4b^`x+M2pF-I=#|BY;<3rvzVKhT;vR(6)rTw|-T(kS1Y{K+56)sszL#j= zFaq(2iw_j{K$CfuMXE71ldBR7%0N3=&m%OGAaGxt&igF0hmxD@z(q%#laT4UZ+0C4 z8|S=mAfIG!j>2#KI2(3v_=6oigjSSL^`n=TL3_|!nxV)8HnKWr^0(6QvVc^rc~8)5 zz|hDjyjS)v=k-Yk5k}kibXWHaJH-h zLE(GZ&b>9WAULT_T%}~%*(IQL8gjYb)BItX_>KKk9$XGoXjW~U%SfgjB80!G?v_i3 z1UiLG(q{V^$Bk4F;Um1Pexvd8zov3Ubpw8`0Kb->Q0;dTkpqx@Hh4}C33L1Sz^2%^kdQJ6OEprk1t5D2%E}lw)R9c!0SFj3*7TF34DvpNi zva)EaJk&o%5x0f;|FTLadB*R0P3HTYD%sQ;S3P+C;)`*_}tNEZVOe?>3MPw1c9 z!uDbXSz(Dl5x{O&Wreb4dFRGHtxLSj%7|h&t?2cXp-cz>#6_4`Kga#dqZA=7dpSTvjm@ZUK;R6~%Ct$D#G7gQjwYf2W+!z3<6eOi<}P%Wx7oaYFa7 z^J3&r;>Yg4p*D9I`?lI7_(!~ngH)sV1XahRVo-e^rFiSCw0&j1!jAoC(IF+-k#LZr z;_cSfB&S)u)XcbLdF@Hh2Sz)3jhBa^+|0FUQXBT=p_Wi{9j5q*oeMke^HB79S0Z_)Gucf&8YB)KO5Qv{TOYQGX7cLMT@Iz zVm9UolBjp~7!Ut5PE(aw>SCt*Id<@P59AM@@T2Wvj2-j z+kRr>+(xL!UB(>TG$k42miHmfP=w}V>EXe|w{CNtUuUvLCIIl^Y?zlM4Gz$(r#|gb zN{X3jo$>~1HZjd}!US|lyMrCz2pVr=6kJFwa{9)9vc$Kf>DaY3lB&8UWD8${ow~XE zEBIM>es<4bxeZ-cL>fi-%GAy)9WnN|!#C(|U|FK=zVx+OLnYIKxF-Ao2lvLbceU&( zb1AW4r+mggqG?55AUk#m1P|{+_$e!UM8>MmDd}qMVQN)tUPs4I0|Nfy z9KY6Y=f2)+=3KdbwjIgge!0QE;F4^xu{Ub@#wmsVA2d{Vaw5oAPzlXt_2pz4-KV6v zU5h$|PuiuqtK$TKRJoGP1$~y=rl!u-RKCnz&??_}VMwvPA#2M1@v+3)C24J&E_w>V zgSRXQ@Ff>C9xg3|3uIZ0JSaCtiwGb}P_WKy#-2OGPHXwmKSoc91|#zDr#TlS9lY=P z8qA7W1BPgZ7cl1>w8gc*AO2;|{SyU^1%U<%mM5`DRw})S6k~vD8tOvOIlLIN4FEdTxcce)CrEq3=v4bmX;79`(1g?&(XFx~xiep;-Ub*7WodTj}cUUhrA zdaVCDPF{{6Vk>Br;m?K++k9tBcO^gfG+BIfQmIOUj25zFKdmCBMOo>fr10rvlt2X`^Wp z#GKo@kwIVi^el5<7W3hZ+BeOy7B?}C+$q&;YUHP_Vw|ABN#&bfV(ADU0aPH=o%BuF zd&R1g$ z@H7Yk`9X>}ddhh;{p*R7R3gLNN zYL(Gvovmpm)3W}<3P;YWm;nUypa2IiKWa_>T#G;W6JaLH#0(2`EZZONdp5MYrf5wnNE*kr)I63Z^wWK^?z3A+j)4v zOXUiu>9^#TJ&+uJXh$Sz+4nu7kB%5YlxHh?g=!yDozXl}V|9uSqH#a7X|tppN{U@~ zP+<`QD&yRZ>JyF5Lm8`cR-#>#Zc_OO`xyy&M&Ba`nvZ_N6S43u`A9%`6^sy@o9E-> zYEvk~U`t(HBrOU6?sJRoFvPRCf}afKS!VBGK9@CEDSj#vZO#t1z5=+q{BUpI2;2bG zbDXmhODy1IoFEJQDIECJr1HF3x8Wv*PReIDHEsX!&1~hY+R?B^q8jbN=$iqPX@@uLJ z<$uXznO(VH)&YL^qlRW*=f&Kv4^~gn6F(mZ*R|(6J!k$!d}WFjsEjUC5IjvehJ~h* zd5(5nUgdsxrqpYyG9mf8MlzQ2XeKFf9y9c@{Zq^lHo}KQyEiP>sw%J5gsphrv7RvY zvC0FX;UcQr9cpVnrz76{*l(?9k0{Ec;?;Bc0*0dD7yU5wpA$&MdPN~8w@P{6ac77k z*L5{5!0zDh(_}0;Yq|122~iaGlw?GF!dgwv7=Mb;7ZcbI58c~vgwwr$9droHh*&^0 zg!?u}G*I3XhED{^ED^t&)q=*WGkbl%Wdnm?v9a*lxkyO<)|G;0Y6ON^4k{sla89a4 z0Ez$3j)puW1^(&5PESKX^W)CxJ4sr&xe5q{rFH$zSR_|@k|=VIou3ZDN`*TWfPm!k zt9ReMdpEbRVE^%$7u8N+9hc_tp$n3-lu5P|2=~CiU3%rjb$PI7AzI`%VrIg(Gao~5_piojbPBgoj zlnTq^@v+>XAN=Rlb|=e^+xIm2f(6fo%w%(X`d^1|?;1K>O{cSI5XVr7VkN;LJBymm zNJ!m)tAbnUoX7wceS-Ddj4XU>Zd4GGdt}-~k*6@XcckUv1C_iK2v7`%7VTT9Ik!JP zdLp&G>M63Nt3ZNKDZ+N{>yoKa^62dEM)vvb0iSFLPg3P8MxoMQT8{;2K;C?c(BE41 zzIgK7pQTJ#Q-r`I8$yu|zoI^eZSSL(#mQ=KPxOvHchy3fNLj?dubePGUPOJ~!G;+e zvCgf=wCXrEI0T6yw=6j&DP}JmmUsVYe7S!^$6KSJu2=$Bt&QqnFPAo)EH4g6Rr{0G zF1vHgk4>sjcw)Cwl}VGIm!TSAq}R6HN!LbkzT(q)W-6nOmb~XYJzE=D^7-o6?GMBD zLWZ|ohOMCpz|Xwu#zrqJBJPQ{xv=&6i%I=1%ertdc#1G!@cgd*)t7fFFxHAC0>Sy3 zqcX?pvmmOxqVz`j!5Jj2>(x5@$woRudPStqiXU6iesi|6&Q)$oFME3T@z~yFjQx^3 z!d%WYTUit57IGnDB+{f6OB!yJEg-Hk6WkLqx;aW?!9=(+De=JNLDZ*Fc>}FGg{E*J zhW=2T4tKG*uQ@5ie!CSnq~Pj_`n3X^noF6CguCn@27ZI7P32*~?YE`1o4Ri6dHOnZ z66j*AhYkpF?rI9qW2^SUl#!?O;TDe3?hCeduUHTZWf~Kmp^ot=K}XbO6!t7(^zy;j znuPdu(kHYpcRD)SMk{z9;9q>_By5kY7bHxThL`DxCBx8~_<~_Apu6``iHQGGj%2*Q z$1W`2LaBBLx^*7^)^SS&pqS~=YvG0bjQiO0@B78Z$?=Si3c8K4KIfNDvvD5*NZH@I zBprFZ;h~V0HLH4>ax3TvA`j<#Ba`*0>=_*AY`Y2pm23(Vd@Z_aE{VtN&P*jk$8oRK zmv!H3DwZi*&HOUXd^Unyyd6xb&H99_Gz~Dk^keTvw1WkU$-atcE zED-)$PQ8eNf@Y2<+rfASC>SRv0c;3>j5Caf4wqRjGR+H+ThW{lN* zxEtNiB!k6o36WUQFo0q3MzgLHHt7CG9ubku;Ubx^_~b(p2N@-x$Ftg2L7(UEZ*~Lc zoI1+7eDa&bfMw`D|As_ZSh1{k{We?jy>iEIJ|A!cex#p|c; z+?KnN=9Lc*N0AT&D`O((C;v(vB|^E@-JC$~!Q6+HU4WV__QPf2>!(QeqB{oOH0}W3bEc;IuI_Rk?&}%4o$~H{Uk!XFDrqZi%XM;f4H*($b^p6N zDe-V9ezVfxy-UTpaWb;1g7_l>?&>lN80BcDNb+s`UnKfeP^6L4^B>2q03~8}G7>(V zbEC@(k9ZHp`Vl=aT)kSJn1q3LrC8Rb&WZ=&-jrxCS*uhP&>{fVtsB$gCFEHVpn&FH zxOL!XMRa3xD04z0?=UW2I)F6GtC{(;ogb0yv!gudhm+T5u!K1VHGCkSwj$d~j^1kh zHTF-Ioy?X>T3b&2M`@3=PY;WF&S2y zTW6eD(NVne7%nde%sFXG)Wio4wawg1xE8y5IiBZ+u0WZVnj`p>p-6B!LWvd`luy^B zxv{^9f8}u_{yf_zjsO{>YPEua!=0whx@f%)S~u`bX;UK1!~`@b!`rs%^(~=5ZwIp? zOC(^>MGFDqIiB|3z#(KmQ$j^_$j`K{53`Q`K}cpB6MRgjtowG-(oKK4a(#b|6kWit zKPEs*$MjhFOCE#nJd7G@F1=~Z&x0%LKo6M1HZ?xacU`Jpddv;80Dba&{(dtzJzvY6 z731Fhj_98Oky0N8QT1)WC6ePkQ~(5ptu?V|X1!(t1JR;~@edbkVnQipxRH2#Nz0;%X8US6LtI9sOx{ zeT^tZGWrHv_A>$ExV7wMuuZx=ADIs5%s3>@HmQM0zJA3mTq?EFD6X`T;^7w|TWTXc zg1Om3wPv0A+KPsj_0*E?H_EKb_lZj3gbP>k%%Y{^9-kz(ruEsW)Glvux_6eyyB`O* zD8yP$;BMk0v&GkBPd2u!khYqex!~-B#=nREf)c zoXDM5YR?DV&j&kSU7rKH$iIkP_Nay2&%n(ZJH1G}KpCUepx765@-E zr9EbsW)rZGO79ACwG!|&B1rZ)*&O(O0H^_jhXo3VieMTrS~N&EC=DOV()T$%-!Djn zOWRm8-BpP~00|_8fU1a43&h9wS?jyu&FUa<$CGokWkeR)uMbeUG7i9sO36S%;a*cP z1VBr}dkh5rrLEP>I$wLyqbMSYKXofdPAu!0bm!z?W)V2SzfZoj@a-QrI+fCu&zCga z@AQrke%)j-L1Ak*(*Dz>*h(F#_Q3~~PbmDc(sK4rZ|Sc|TqHsk`NExezZ^V`Rc54JaVpr;<5#y8Y_sHwzR{^h`-K5p!H0f=So%U5Z|@Iru~ zh3!q_IR7NoKa{u<4DGVI!5woeXPp4eIMp#M+w9V&FTclh#KMEP7BxNPgPohoE-$g) zP7uCT;e~QbTx7*?wfxOg{UTnwUFzy!=-+9Sb@%m@RcSj|n5+Jmi^ztnt;g~4!+m5j z>%hxP>Ye05kN67?+eMl>y=<@S(b~Bcbc%G>xWW1+Uy-)OVr$SgH{jB{CgSxYFgUpG z^~NUezN&ZN% zpHYA@smsKLmB-(ml5JP>MsV*q+{k{=7LvLF9{kM>>kPbE_213b)-b!c{(?Rnyo1%H5|A>J3uUA%wXLQB+q~UyG?>gz*po9>=d)kg1`#n-Jz`S|MUha1RMRkOl(N zfLfBVz>0j12k7ur6uww5N+IpnI`|20MuCBxdT+*=A&NH?&E1j|{P9ZSU#yC7QG!zE z35cOgk(0&T1^L4n<(cm<`fnts*4B`K|4^Vzen%)6_C$&}Q2+kqbff=f#d8vl2f^#u zx4ycxp#u^Z8AjhU5v)X9w*qAvF&S>GUJC6NsgPwrD|8tgH>xI_$)Ph8r%$&6Q`)AsU|h`mo<- zS}wzl8t+YnG~FAqH>S+LE2z@5vbgQ^ccpvN;bV;z481fRoj!r3_E`9Cn#H&3a||`!jv!Xwa54BLP8~i;8Iye6`?W)fVnx1 za%R`cP|bC7=*RQJlbq;#GecD`yKQt=*}%`g8UaB)WXe(sR*gAQxV)rgh~pQ)N|lP&uh;`_Uiz(4USHXNjP!^ z)k)naT}-+YHIA+oW{dMqo_9fOzqgD6#7SYK7AeG-iex$sc#Mni z=5PFo7GL!D4Ui{06q(!nX4j+`xPQ+6!;Q&+bO&mUJ~nQ;1&ZeFmH{R6ajxa5WKy02fa zW+;`$zdyM<{f3WU_(iO~rg;03q9#`AHdXC|kyh+kZx^Q7QykjK?eP!w8&`#QlY;_$ z^TC@lxhSEUb#8NxYj?9JAr{Nmf?a2^-SxklP}nBhHyeXLUE^W_nuvX&wik?wZ{ZnF zC14=Z!qH6maHR6mgrw&{^h8^A^{EQ0=hhW@B|iobw`Cq4Hf-=`mi^nL^hiDE zkU`508IC{3YnD|oLgRt-bzw`)FhJ$4|DYT&b^`^&zoFftG>}y445cMaEJ+%Bc0ylr+A@(_s)!H?B%07Z zxbOaHe!3mnAJ#h7{eSD88>CJG7Up7P8e0Qygnx4kY<@FyRX3C6~2Y8@uTx?tfms zzBS0@atG*h3<|94Rmuc#P>>)ef-J*LR~V!Inr;gx4A0tq077S!jWk9U9gK2 zL?$+$l&q~G-c``!(if^Jhp)qed|!U|9#hcLAYe!jo~oP4CP5#hDVOQ>-+%WX-rV3x zX#OK~*5tgn&t6eupBYkX+aqPV@b90ObgZllUs9psbS-XXhGMDBn`!^6naF?N ztqVi5d_3YNfl%5pp_qO7VDG2Z;NaGB(t+JJQbd4p;Bjf`uCY-9Xi)*R7CPE(JWj;< zO%WFdTRn}E5up~|SMctj5D*~R)nKB$?5Qjfbpg-0cy^FL~6P!id(JvGcLSMrupSO9;SMaU4tJ2vpngH7ksXl zk0tox-LC?Wk(a8dYAwh za&LdIZCRgm{!>o2)H+Ips$$4$j@aSqRL_~fjYSzo04tAXEDk+aVKvAwP1Foe_N19_ zP)Seb78iFGeU;C3VTfl8Rsu1?EArCq|4rK+wm<_C&9OjGaPluFPCa%a=KQiOLqh3A z-R`V)O{HOY7i&r~QtN1vP{8n?!ym%-05>86pWge)6QPa}H9ZBHf@;>;#d&Qbt>DGt zU9R!eW4}{57%Tzy+#XcQRL3SG`1nq3|pL{~RWG z4)alZ6Ag4ETtanbgn!JYK{=HjA=FC*8yK*}1?-ACKMbe9HAhYE+hrb02mt9zz+wd< z_zQpSYxw~h!P=RoK;BI>_fjc(MwblwrA!CLQxe#M;K2}4#Tk_c(UsZsQt*DrEzTYz zbBH8$kXWoSuX4ljIJnuVXAaXj!R|uAysII{UvA~l(FxeBp zApF9=MslKSeBGR6(_z#)TD0&-o!_(@6#wwe@iM0_pG>g?e$$pRyEFqU;J1Rmasg!$ z($S9891P;j=))y25W*Eu;r}{LcrKS+p}lZkO&KE}^u;=cfxZ`BzXJmIKoy0L?M7~* zEXbmf2h*t$dE*fCm(KW3$kKx_L4@(i z3#NhFL*pg=e|S2}pf=lRYX^s7L5fq{y|`1Pc%itvySo)@X>oT-k>c*fEybPSQrr^U zf_!<;H|NacSN>!sd9v?)@4eQw95tyIU-}{LlTpyR1va?R5b|re8b=DLw~>xpAaAF} zv<@B@{;kw5eF|?lyu!B1z)^Pj3#YPM=um}RM$HK`O!%s!1Nd_wz72{izLxRETL6xO zU?FXNE-?)!r);=EADDijj*Lm1JprC{D|xAt7gNvS+|W3>}BV! z>udXotU||~)q32&zcQqpNDWYD-3{|ZkCtXc0lY1=;yV`JfEdFxd4(CN?INd4dk_F2 zuS<;yuiG)6i(IZwkZ*!~5BXyVEf5L6yFA~JgFl<{^J1{Go|l5c)iUx(@y;vxb=pTuTh~dOFgM?vS1-E+JO6A zCP{xd@#zUA-xMH)u1Jsn-;fN_c{OPod^cAB>nkF`;JiP*79i$9?%(pNz z=2aS+SFu4f+fI(cWQ;E8xgt)0jfcVvIR&xb(rx8_si00o{vr!kCa@&3r+ns$DIaU` zjlD=u-wz{oYv*cXZj{zgiUmsly(2A@)jS*@_o~;M<-?xRz~8I2bU5g*M)b0Gox?~J5 zx5b$}+CB22pX9)!-3&fw?${BMckJUHj^8=#Fxh7|=WMs-%m}o9R$>7F_S2H^gERL|e=_~?5`iK}IZJcB4IbNO3R2rKPXk8csT3P? zTB)iu8ozsg#0EYN-N}r=xKdj4g=4g^5X0=V0qWOk%WdB=xN&EVe?}EPV;2~`yRzxIW5h#_Un($^Edt9n+X$Z)#)AsFd3a55e_}bZr;kn z?$0z1+0p-mr5FR)Za@=nHg2aT6@$*c9g#f^Espl?d!77^N+Na+f`p^!A)+EkQ%`3~ z*;Kvs+WE-8mYFkV%;EC@Sz<0nsd3Wrkmz+kgCP{P5oQ`vy3K? zQ5ZGAZbc8P!*mH6h%#B+>oKvyZ>yDYCTT5iXpBeAD?ob_rfty|=D%2B4d?}k>}YfL zN(oE|kyL!~7Bp=_=)HSB`-)1h-I%`y&eLfkLCqhvsSv2ro7cbw08ap6JZdWASZ~6G z?>KZ-Y-hde54Rzy&U8OyUcD4Aie#hpc-|6STm^p zzY0FTOZ2~aI6w;fMMtL+N!VLgh(d%a8pL?j0voJBD&6Zj{>4jPQNbO?Bx`E=y0TD- zV7A&~i^*{EFOHbq&KW6;SGQJiUo>d&1O8Z49cs})%7`q7#sEOQ$!O{T8z5Iu_K^ZG zE^FIn6XLM-AbDq${KHc2U1VpItu(rrDO`N9FGWr_*v7ns1qeqi;Zl3!uc5Tp<2xP? z)Wf;URA&+JM&!b!VI}r>>I-=|;A;L?R!OlrOjk|E6w@pPFv{PRdN<*c$c-94jfY~Z z?<>XKPZH^;7~!U3{ep#hJmq@CbNRLBes=Ff=;7jVNcWTnfBcg>r%mZ^LCG6>M8E5q zxx@#=u-Z_{5MM4VtjXAGs@OrthB-RMUTyDbL*yR?N{mu<1b1vw)F@_;4e`t<3OL^Y z{lB9Z(*bjwxhauU6ydoO2-F$*h+%qsL5upf@Oawxnm|5R+DPU`CVAq2un_%sa_GXB zJi|-yhQ|*cQ}!tqr4%`g>gu!o(9ZVm?oVM> zvC6_ZIHWXNxdhULN|dW1At&jgkj^=8<47qt&JuRaHei3`tVTTvI`YPKsUVk8TcQ~| zAQNh7^vOgx5RFjI-RaNo-*>t_FAMX##Wfl%V~XNHX=-}gZsPC5mLsSj?@0J|w6a2k zf3e_`E!TRRgQ7AepvpvqD^DrI=w-Jhg6kbgNVg-p=M1n`gTJ2?ZCDC%Sk?nU3gff) z1jQj0MZsYnWtXtLXFJ@s4X0U6OiW~$hWNuN&#x~qepNO}{>VhRxbvfu|J}N8 z1_5DiTOc|oN&)ZbYfj(arsreq8*qUxco{fI@|~y$+I}ILPeQ6D{2@hFWe-GUc(c}h zeFr(IRXg0PExy`-dsRa_eUH?rNCP~;D%x5|Vc};Wnd1v8iEYdiPaZydxP5hDwZ=}^ zapv^W>8krWf$AMx#M#lssqXQ#Lf=V~B@k-M(nw)?UNJj=x)(-n-tF-Af^ z`RK8y4$_%6u9}TyG#6!8j2DSs<_(F)9kD`oVX)iVJ%a5X#k52*mn~6kV=O$kEA{MX zZP(EC$IzEM1?7_@)3crv`KjQCg6gbJ*S-bGdwZCip!z!dtHYgIPxpf(6-O)&z)r|> zrde|N@}6n4?k)p&Sd@AW%|_$TuJWeA(@F;W!`J-a)AG>Zslmw&y#to59v~p4_jOod z|NoZCiU-XE1m=x4cN&xXrUlKUUy4#K;L|EX^e+J9Zvn9&=@BdH(lkp#ngK@B7Nv|`O3ew!tvbX+$JuHUEJ2GWoPJp zF^LL`OPhm5cw;V8w%z)z9lF(1lkuY7({=jh{+?y8AIEqRgu=A4g+AIjucq zYjjz-eZiFPed3V(2M5m zmM^PHBN5PB!zADwQd(1EJ`wKEq3V3aGSO}#JvXPRDKkgsJ@S!*0_USlL|5Qk%8{Qc z1>hqiV?ZFjyDqaoMVx?|gyW1Sq>k